KR101522725B1 - 고온 원자층 증착용 인렛 매니폴드 - Google Patents

고온 원자층 증착용 인렛 매니폴드 Download PDF

Info

Publication number
KR101522725B1
KR101522725B1 KR1020147014131A KR20147014131A KR101522725B1 KR 101522725 B1 KR101522725 B1 KR 101522725B1 KR 1020147014131 A KR1020147014131 A KR 1020147014131A KR 20147014131 A KR20147014131 A KR 20147014131A KR 101522725 B1 KR101522725 B1 KR 101522725B1
Authority
KR
South Korea
Prior art keywords
gas
valve
reaction
inert gas
reactive species
Prior art date
Application number
KR1020147014131A
Other languages
English (en)
Other versions
KR20140081895A (ko
Inventor
티모시 제이. 프로벤쳐
크레이그 비. 힉슨
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20140081895A publication Critical patent/KR20140081895A/ko
Application granted granted Critical
Publication of KR101522725B1 publication Critical patent/KR101522725B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/003Housing formed from a plurality of the same valve elements
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

원자층 증착(atomic layer deposition; ALD) 반응로에 하나 이상을 가스를 분배하기 위한 시스템 및 방법이다. 샤워헤드 조립체 상으로 탑재되는 통합된 인렛 매니폴드 블록(integrated manifold block)은 이에 직접 탑재되는 (200 ℃ 까지의) 고온 율속되는 밸브들, 및 짧으면서 용이하게 퍼징되는 반응종 라인들을 포함한다. 무결함의 통로들 및 금속 밀봉으로 인하여, O-링들 및 유동 경로들을 따라 수반되는 데드 존들이 회피된다. 본 매니폴드는 상기 블록 인렛 매니폴드 내에, 반응종 라인들을 퍼징하기 위한 내부 비활성 가스 채널을 포함한다.

Description

고온 원자층 증착용 인렛 매니폴드{High Temperature ALD Inlet Manifold}
기술 분야
본 발명은 일반적으로 원자층 증착(atomic layer deposition; ALD) 반응로용 매니폴드 조립체에 관한 것이다.
관련 출원
본 출원은 2006년 1월 19일자로 출원된 고온 원자층 증착용 인렛 매니폴드{High Temperature ALD Inlet Manifold}라는 제하의 임시 출원 제60/760,243호를 기초로 우선권을 주장한다. 상기 출원의 발명 주제는 참조에 의해 그 전체가 본 명세서에 포함된다.
관련 기술의 설명
원자층 증착법은 반도체 산업에서 실리콘 웨이퍼와 같은 기판 상에 물질들의 박막을 형성하기 위한 잘 알려진 공정이다. ALD는 복수의 초박형의 층들의 증착을 통해 형성되고 증착되는 층들의 개수에 의해 박막의 두께가 결정되는 일종의 기상 증착법이다. ALD 공정에서, 증착될 재료로서 하나 이상의 화합물의 가스 상태의 분자들(전구체)은 기판, 즉, 웨이퍼로 공급되어, 상기 웨이퍼 상에 상기 재료로 이루어진 박막을 형성한다. 한번의 펄스 주입에서, 상기 웨이퍼 상에 자기제한적 공정(self-limiting process)으로써 제 1 전구체 재료로 이루어진 일반적으로 1 개 미만의 단일층이 대부분 그대로 흡착된다. 상기 흡착된 전구체 재료는 분해될 수 있으며, 그렇지 않은 경우, 후속하는 반응종의 펄스 또는 펄스들에서 반응하여 소정의 재료로 이루어진 하나의 단분자 층을 형성한다. 예를 들면, 상기 흡착된 전구체 재료는 후속하는 반응종 펄스의 반응종과 반을하여 원자 또는 화합물로 이루어진 하나의 단분자층을 형성할 수 있다. 예로서, 상기 흡착된 종들로부터 리간드들을 제거하는 반응종 펄스들, 리간드들을 다른 종들로 대체하여 화합물을 형성하는 반응종들 및 사이클당 3 이상의 반응종 및/또는 전구체 펄스들을 갖는 시퀀스들이 포함된다. 목표 두께가 얻어질 때까지 성장 사이클을 반복함으로써 더 두꺼운 막들이 형성된다.
ALD 공정에서, 반응로 또는 증착 챔버 내로 적어도 하나의 표면이 코팅되는 하나 이상의 기판들이 인입된다. 일반적으로, 상기 기판은 선택된 기상 반응종들의 응축 온도를 초과하면서도 열 분해 온도 미만인 소정의 온도로 가열된다. 상기 기판 표면 상에 소정의 생성물을 형성하기 위하여, 하나의 반응종이 이전의 반응종의 상기 흡착층과 반응할 수 있다. 상기 생성물은 막, 라이너 또는 층의 형태를 가질 수 있다.
ALD 공정 동안, 일반적으로 전부가 증기(vapor) 또는 가스 형태인 반응종 펄스들이 상기 반응로 내부로 연속적으로 펄스 주입되고, 상기 반응종 펄스 사이에는 제거 단계들이 제공된다. 예를 들면, 반응종들의 펄스들 사이에 비활성 가스 펄스들이 제공된다. 가스 상에서 일어나는 혼합 또는 CVD 유형의 반응들을 방지하기 위하여, 다음 반응종 펄스 이전에 비활성 가스에 의해 반응종 펄스가 챔버로부터 퍼징된다. ALD의 주목할만한 특징은 각 반응종들이 (전구체가 막을 형성하기 위한 종들에 기여하는지 또는 단순히 환원제로서 기여하는지 간에) 표면의 포화 조건이 얻어질 때까지 기판으로 전달된다는 것이다. 소정의 두께를 갖는 원자층을 형성하기 위하여 사이클들이 반복된다. 자기 제한적 성장을 달성하기 위하여, 충분한 양의 전구체가 제공되어 상기 기판을 포화시킨다. 성장 속도가 자기 제한적이므로, 상기 성장 속도는 CVD에서와 같이 반응종의 유동(flux) 및/또는 온도가 아니라, 반응 시퀀스들의 반복 속도에 비례한다.
공정 시간은 더욱 단축되는 원자층 증착 장치가 제공된다.
본 발명의 시스템 및 방법은 몇몇 특징들을 가지며, 본 발명의 바람직한 특성들은 상기 몇몇 특징들 중 어느 하나의 특징에 의해서만 기인하는 것은 아니다. 이하에서는, 첨부된 청구항들에 의해 표현되는 본 발명의 범위를 제한하지 않고서, 본 발명의 현저한 특징들이 간단히 개시된다. 이러한 논의를 고려한 후에, 특히, "실시예(Detailed Description of the Preferred Embodiments"라는 제하의 항목을 읽은 후라면, 누구든지 종래의 ALD 혼합 방법들 및 시스템들에 비하여 본 명세서에 개시된 특징들이 어떻게 이점을 제공하는지를 이해할 수 있다.
일 실시형태는 원자층 증착 장치이다. 상기 장치는 제 1 통로 및 제 2 통로를 가지며, 상기 제 1 통로 및 상기 제 2 통로가 O-링들을 갖지 않은 매니폴드 바디(manifold body)를 포함한다. 상기 장치는 상기 바디 내에 배치되고, 상기 제 1 통로 및 상기 제 2 통로와 연통된 보어(bore)를 더 포함한다. 또한, 상기 장치는상기 보어와 연통되고, 내부에 기판을 수용하도록 구성된 기상 증착 챔버를 포함한다.
다른 실시형태는 반도체 처리 장치를 위한 다편의(multi-piece) 매니폴드 조립체이다. 상기 매니폴드 조립체는 제 1 금속성 재료를 포함하며, 보어 및 상기 제 1 금속성 재료를 포함하고 상기 바디에 체결되는 기저 플레이트를 가지는 바디를 포함한다. 상기 조립체는 제 2 금속성 재료를 포함하고, 상기 기저 플레이트에 본딩되며, 상부에 밸브를 탑재하도록 구성된 캡을 더 포함한다. 또한, 상기 조립체는 상기 바디의 상기 보어와 상기 캡 사이에 형성된 내부 통로를 포함한다. 상기 내부 통로의 적어도 일부는 상기 바디와 상기 기저 플레이트 사이의 본드 계면에 데드 레그들(dead legs)을 형성하지 않고서 상기 바디 및 상기 기저 플레이트를 통하여 연장된다.
또 다른 실시형태는 원자층 증착 장치이며, 상기 원자층 증착 장치는 가스를 분산하도록 구성된 분산 조립체; 상기 분산 조립체 상에 탑재되고, 보어 및 상기 보어와 연통된 제 1 및 제 2 내부 반응종 라인들을 갖는 인렛 매니폴드 블록을 포함한다. 상기 조립체는 상기 인렛 매니폴드 블록 상에 탑재되고, 상기 제 1 내부 반응종 라인으로 제 1 반응종 가스의 공급을 제어하도록 구성된 제 1 반응종 밸브 및 상기 인렛 매니폴드 블록 상에 탑재되고, 상기 제 1 반응종 밸브로 비활성 가스의 공급을 제어하도록 구성된 비활성 가스 밸브를 더 포함한다. 상기 조립체는 상기 인렛 매니폴드 블록에 체결되고, 상기 제 2 내부 반응종 라인으로 제 2 반응종 가스의 공급을 제어하도록 구성된 제 2 반응종 밸브 및 상기 인렛 매니폴드 블록 상에 탑재되고, 상기 제 2 반응종 밸브로 상기 비활성 가스의 공급을 제어하도록 구성된 제 2 비활성 가스 밸브를 더 포함한다.
또 다른 실시형태는 매니폴드 및 반응로를 갖는 원자층 증착 장치에 가스들을 분배하는 방법이다. 상기 방법은, 제 1 반응종 밸브와 매니폴드 아웃렛 사이에 O-링들을 갖지 않는 제 1 통로를 통하여 상기 매니폴드로 제 1 반응종 가스의 경로를 정하는 단계, 상기 제 1 반응종 가스의 유동을 억제하는 단계 및 상기 제 1 통로의 상류에서, 제 1 비활성 가스 밸브와 상기 제 1 통로 사이에 O-링들을 갖지 않는 제 2 통로를 통하여 상기 매니폴드로 비활성 가스의 경로를 정하는 단계를 포함한다.
상기 제 1 스페이서 블록은 기저 플레이트 및 캡을 포함할 수 있다.
상기 제 1 및 제 2 반응종 밸브들 그리고 상기 비활성 가스 밸브는 적어도 200 ℃의 온도에서 동작하도록 율속될(rated) 수 있다.
ALD 공정 동안, 전구체를 제거하기 위해 필요한 시간은 매우 중요하다. ALD 공정은 전구체와 퍼지 가스의 "속사포(rapid fire)"이다. 라인들이 더 짧아지고 컨덕턴스(펌핑 효율)가 더 우수할수록, 공정 시간은 더욱 단축된다. 이러한 점이 ALD 시장에서 중요하다.
이하에서는, 바람직한 실시예들에 관한 도면을 참조하여 본 발명의 다양한 특징들, 실시형태 및 이점들이 개시되며, 이러한 실시예들은 예시적이며 본 발명을 제한하지 않는다.
도 1은 본 발명의 일 실시예에 따른 원자층 증착(ALD) 장치를 개략적으로 도시한다.
도 2는 본 발명의 일 실시예에 따른 장치에 적용가능한 중간 분산 부재의 일 예를 개략적으로 도시한다.
도 3은 일 실시예에 따른 박막 형성 단계들의 일 실시예를 개략적으로 도시한다.
도 4는 일 실시예에 따른 ALD 반응로에 체결되는 매니폴드 조립체를 도시하는 ALD 장치의 단면도이다.
도 5는 도 4에 도시된 매니폴드 조립체의 투시도이다.
도 6은 본 발명의 일 실시예에 따른 도 5의 매니폴드 조립체를 통과하는 가스 유동 경로들을 개략적으로 도시하며, 각각 개별적인 반응종 가스 밸브와 연통되는 4 개의 비활성 가스 밸브들을 도시한다.
도 7은 도 5의 매니폴드 조립체의 상면도이다.
도 8은 도 7의 선 8-8을 따라 절취한 단면도이다.
도 9는 도 7의 선 9-9를 따라 절취한 확대 단면도이며, 반응종 밸브들, 비활성 가스 밸브들 및 매니폴드 조립체의 바디 사이의 유동 통로들을 도시한다.
도 10은 또 다른 실시예에 따른 알루미늄 및 스테인레스 스틸과 같은 이종의 재료로서 서로 본딩된 하위 부품들을 갖는 매니폴드 조립체를 도시한다.
이하에서는, 바람직한 실시예들에 관한 도면들을 참조하여 본 발명의 특징들 및 이점들이 개시되며, 이러한 실시예들은 예시적이며, 본 발명을 제한하는 것이 아니다. 매니폴드 바디에 관한 일부 실시예들은 하나 이상의 특징들을 갖는다. 이러한 특징들은 내부 비활성 가스 채널, 통합(integral) 히터, 짧은 반응종 가스 통로들을 포함하지만, 전구체 경로 내에 O-링(O-ring) 또는 데드 존(dead zone)은 포함하지 않는다.
ALD가 자기 제한적 반응과 이로 인하여 완전히 균일한 조건이 없이도 이론적으로 완전히 균일한 증착(conformal deposition)을 얻을 수 있다는 점에서 각광을 얻음에도 불구하고, ALD로부터 고품질의 층들을 확보하기 위해서는, 다양한 공정 파라미터들이 주의 깊게 제어되어야만 한다. 상기 반응 가스들이 충분히 퍼징되지 않은 경우, 다른 전구체가 펄스 주입되었을 때 상기 전구체가 존재하게 되어, 표면 ALD 반응 대신에, 기상에서 또는 챔버/기판 표면 상에서 CVD 반응들이 초래될 수 있다. 반응종 가스들의 퍼징 단계는, ALD 장치의 부품들을 조립하기 위한 O-링들의 사용에 의해 더 복잡해진다. 이들 O-링들은, 상기 O-링의 밀봉 표면과 전구체를 공급하는 가스 오리피스 근처에, 일반적으로 데드 레그(dead legs)라고 지칭되는 작은 공극들을 생성한다. 이들 공극들 내의 트랩된 체적으로 인하여 이들 전구체들을 적합하게 진공화할 수 없기 때문에 파티클들이 생성되어, ALD 공정에 부정적인 영향을 끼친다. 이러한 O-링들도 밀봉 표면(sealing surface) 그 자체의 파열을 통하여 또는 고온 및 화학적 양립성을 고려하여 선택된 O-링들 재료의 투과성에 의해 누설의 원인이 될 수 있다.
상기 소스(대부분 고형 전구체를 수용하는 용기)로부터 웨이퍼 표면으로 상기 전구체 가스들에 대한 열적 제어를 유지하는 것은 중요하다. 일반적으로 허용되는 열적 허용 오차를 갖는 작은 윈도우가 있다(각 전구체는 다르지만, 동일한 주재(principal)를 따른다). 즉, 고형 매체(solid media)의 열적 특징을 제어함으로써, 증기의 끌어드림(vapor draw; 또는 전구체의 양)이 유지된다. 온도가 임계 설정점 미만이면, 가스 흐름 경로에서의 응축이 발생하여 부정적인 공정 결과를 초래하고 유지 보수 간격을 단축시킨다. 온도가 임계 설정점을 초과하면, 상기 매체의 "분해(decomposition)"가 발생하고, 상기 공정은 위험해진다. 양호한 열적 안정성을 유지하기 위해서는, 모든 영역들을 가능한 한 짧게 유지시키는 것이 중요하다.
매니폴드 조립체가 열적 무결성(thermal integration)이나 제어를 가지지 않는다면, 매니폴드 조립체 내에서 혼합 가스들의 온도가 변할 수 있으며, CVD 성장이 초래될 수 있다. 매니폴드 조립체에 대한 열적 무결성을 추가함으로써 바람직하지 못한 CVD 반응들을 방지할 수 있으면서, 이것은, 또한, 예를 들면, 고속 밸브와 같은 매니폴드의 하위 부품들에 해로운 영향을 줄 수 있다. 상기 고속 밸브는 상승된 온도 환경에서는 동작을 위해 제어되지 않을 수 있다. 또한, 유동 경로를 따라서 데드 존은 반응종 가스들이 증착 챔버의 상류를 재순환시킬 수도 있다.
ALD 공정 동안, 전구체를 제거하기 위해 필요한 시간은 매우 중요하다. ALD 공정은 전구체와 퍼지 가스의 "속사포(rapid fire)"이다. 라인들이 더 짧아지고 컨덕턴스(펌핑 효율)가 더 우수할수록, 공정 시간은 더욱 단축된다. 이러한 점이 ALD 시장에서 중요하다.
도 1은 본 발명의 일 실시예에 따른 박막 형성 장치(100)의 단면도이다. 박막 증착 장치(100)는 진공 이송 챔버(미도시)로부터 게이트 밸브(6)를 경유하여 반응 챔버(1)로 시편 또는 피처리체인 반도체 기판(15)을 이송하는 로보틱스(미도시)를 포함한다. 반응 챔버(1)는 상부 덮개(2), 분산 플레이트(3, 또는 샤워헤드 플레이트라 지칭됨), 배기 덕트(4), 하부 챔버(5), 기판 이송 게이트 밸브(6), 배기 포트(7), 기판 지지부(8) 및 기판 지지부(8)를 상하로 이동시키기 위한 승강 메커니즘(9)을 포함한다.
기판 지지부(8)가 하강 위치(8')에 있을 때, 기판 지지부(8) 상으로 기판(15)이 탑재된다. 이후, 분산 플레이트(3)로부터 적정한 거리에 반도체 기판(15)이 위치할 때까지, 기판 지지부(8)는 상방으로 이동한다. 지지부(8)는, 증착 공정 동안, 장치 내에 배치되고 기판(15) 또는 웨이퍼를 지지하도록 구성된다. 또한, 지지부(8)에는 공정 이전 및 공정 동안 기판(15)을 가열하기 위한 내부 또는 외부 히터(미도시)가 제공된다. 기판(15)이 진공 이송 챔버로부터 반응 챔버(1)로 이송된 이후에, 박막 증착 장치는 예를 들면, 밸브들(31(a), 31(b), 31(c) 및 31(d))을 통하여 반응 가스들과 밸브들(30(a), 30(b), 30(c) 및 30(d))을 통하여 비활성 가스들을 사이클링시켜 반응 공간(22) 내에서 박막 형성 공정을 수행한다.
일부 실시예에서, 각 반응종 가스 밸브 31(a) ~ (d)는 비활성 가스 밸브 30(a) ~ (d)와 연통되고 관련된다. 바람직하게는, 반응종 가스 라인의 적어도 일부는 상기 관련된 비활성 가스 밸브(3)와 직렬로 배치된다. 이러한 방법으로, 상기 반응 가스는, 전체 반응종 가스 라인의 퍼징을 향상시키기 위하여, 바람직하게는 상기 관련된 반응종 밸브(31)의 근처, 그렇지만 상류측의 반응 가스의 유동 경로에 인입된다.
예를 들면, 각 반응종 가스 밸브 31(a) ~ (d)는 3 포트 밸브일 수 있다. 상기 3 포트 밸브는 반응종 가스 소오스 및 비활성 가스 밸브와 연통된 2 개의 입력 포트들을 갖는다. 상기 3 포트 밸브의 출력 포트는 반응 공간(22)과 연통되어 있다. 반응 가스 밸브들(31(a) ~ (d))은 반응 공간(22)로 인입되는 상기 반응종 가스들 및 상기 비활성 가스들의 유동을 개별적으로 제어한다.
일부 실시예에서, 각 비활성 가스 밸브(31(a) ~ (d))는 2 포트 밸브이다. 상기 2 포트 밸브는 내부 비활성 가스 채널(도 4의 610)과 연통된 하나의 입력 포트와 반응종 가스 밸브들(31(a) ~ (d)) 중 어느 하나와 연통된 출력 포트를 갖는다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 반응종 가스 밸브들(31(a) ~ (d)) 중 관련된 어느 하나의 밸브 사이에서 비활성 가스의 유동을 제어한다. 예시적인 본 구성에서, 반응종 가스 밸브(31(a) ~ (d))는 관련된 비활성 가스 밸브(30(a) ~ (d))와 직렬로 그리고 그들의 하류에 배치된다. 반응 공간(22)을 향하여 가스가 흐르기 위해서는, 기판의 처리 공정 동안, 제 1 위치를 향하여 제 2 위치의 가스가 흐르는 경우, 상기 제 1 위치는 상기 제 2 위치의 하류이다.
각 비활성 가스 밸브(30(a) ~ (d))는 관련된 반응종 가스 밸브(31(a) ~ (d))로 흐르는 비활성 가스의 유동을 제어한다. 반응종 가스 밸브(31(a) ~ (d))는 반응종들을 펄싱한 이후 반응종 증기 라인을 퍼징하기 위하여 관련된 비활성 가스 밸브(30(a) ~ (d))로부터 인입된 비활성 가스의 유동을 제어한다. 예를 들면, 밸브들(31(a), 31(b), 31(c), 31(d))에 연결된 반응종 증기 소오스들과 관련된 비활성 가스 소오스(들)은 밸브들(30(a), 30(b), 30(c), 30(d))에 각각 연결된다. 이들 비활성 가스 소오스(들)은 가압될 수도 있으며, 그렇지 않을 수도 있다. 이들 비활성 가스 소오스들은, 예를 들면, 비부식성의(noble) 또는 질소 가스 소오스들일 수 있다. ALD 제어 시스템(미도시)은 메모리 및 처리 모듈들을 포함하며, 각각 반응 공간(22)에 다양한 가스들이 도달하도록 하거나, 도달되지 않도록 하는 다양한 밸브들을 제어할 수 있도록 프로그래밍된다. 예를 들면, 비활성 가스 밸브(30)로부터의 유동은 관련된 반응종 가스 라인으로 인입되어 밴응 챔버(1) 내로 연속적으로 인입되고 상기 챔버로부터 반응종 가스가 퍼징될 수 있다.
상기 비활성 가스들 및 반응종 가스들과 관련된 밸브들(30, 31)과 함께, 상기 ALD 장치는 비활성 가스 소오스를 반응 챔버(1)에 연결하는 분리된 비활성 가스 라인(54) 및 밸브(32)를 포함할 수 있다. 비활성 가스 밸브(32)는 상기 ALD 장치에 부가적인 비활성 가스를 제공하고, 소정의 기판 처리에 따라 연속적으로 또는 주기적으로 동작할 수 있다. 또한, 도시된 실시예에서, 비활성 가스는 비활성 가스 채널 공급 라인(52)을 통하여 내부 비활성 가스 채널(610)로 흐른다(도 6). 비활성 채널 공급 라인(52)은 비활성 가스 밸브(32) 또는 분리된 비활성 가스 밸브(미도시)를 통하여 비활성 가스를 수용할 수 있다. 내부 비활성 가스 채널(610)은 비활성 가스 밸브들(30(a)-30(d))과 연통된다.
ALD 장치(100)는 반응 챔버(1) 내에 기판(15)이 삽입될 때 기판(15) 상에 박막을 증착하도록 구성된다. 일반적으로, 상기 ALD 장치는 하나 이상의 밸브들(31(a), 31(b), 31(c), 31(d))을 통하여 제 1 반응종 가스를 입력받는다. 또한, ALD 장치(100)는 하나 이상의 다른 밸브들(30(a), 30(b), 30(c), 30(d))을 통하여 비활성 가스를 입력받을 수도 있다. 적절한 밸브들을 스위칭함으로써, 상기 제 1 반응종 가스의 유동은 정지되고, 이후, 상기 반응 챔버 및 상기 가스 라인들은 비활성 가스 라인(54)으로부터 흐르는 주 퍼징 유동에 따라, 하나 이상의 밸브들(30(a), 30(b), 30(c), 30(d))로부터 흐르는 비활성 가스로 퍼징된다. 반응 챔버(1) 및 가스 라인들이 퍼징된 이후에, 하나 이상의 다른 반응종 가스들을 사용하는 증착 사이클이 지속된다. 교번하는 펄스들로부터 유입되는 반응종들은 상기 기판의 표면 또는 웨이퍼 상에서만 서로 반응하여, 각 사이클에서 소정의 결과물인 하나의 단일층만을 형성하고, 기상에서는 반응하거나 만나지 않는다. 일부 동작 모드에서, 균일도를 어느 정도 희생하면서 사이클당 하나의 단일층을 초과하는 증가된 증착 속도를 얻을 수 있음을 주목하여야 한다.
ALD 장치(100)의 실시예들에서는, 각 사이클에서 ALD 장치(100)를 통하여 2 이상의 반응종 가스들이 순차적으로 유입되어(퍼징의 주기에 의해 분리됨) 상기 웨이퍼 상에 물질을 형성한다. 후속하여, 상기 반응 공간 내의 각 반응종 가스의 과잉량은, 상기 반응 공간(22) 내에서 흡착되거나 반응한 이후에, 배기 파이프(24)를 통하여 배기된다. 배기 파이프(24)는 터보 분자 펌프(turbo molecular pump; TMP, 50)에 연통되어 반응 챔버(1)로부터 가스들을 제거하는 것을 돕고 반응 챔버(1)에 저압 조건을 제공한다. 또한, 전체 ALD 장치(100)는 ALD 장치(100)의 저부 상의 체결부(couplings)를 진공 펌프, 예를 들면, TMP(50) 또는 건식 펌프(DRY)에 연결함으로써 저압으로 펌핑될 수 있다.
ALD 장치(100)는 가스 인입 매니폴드 조립체(10)를 포함한다. 매니폴드 조립체(10)는 바디(27, 도 5), 내부 비활성 가스 채널(610) 및 중앙 보어(28)를 포함한다. 또한, 매니폴드 조립체(10)는 하나 이상의 반응종 가스 밸브들(31(a), 31(b), 31(c), 31(d)), 하나 이상의 비활성 가스 밸브들(30(a), 30(b), 30(c), 30(d))을 더 포함한다. 매니폴드 조립체(10)는 반응종 밸브들(31(a), 31(b), 31(c), 31(d))을 통하여 인입되는 반응종 가스들, 비활성 가스 밸브들(30(a), 31(b), 31(c), 31(d))을 통하여 인입되는 비활성 가스들이 ALD 장치(100)을 통과하여 이송되도록 구성된다(도 3 참조). 또한, 매니폴드 조립체(10)는, 소정의 펄스 동안, 밸브들 (30(a) ~ 30(d))을 통하여 인입되는 비활성 가스들 중 하나 이상을 밸브들(31(a) ~ 31(d))을 통하여 입인되는 반응종 가스들 중 하나와 선택적으로 혼합하도록 구성된다. 그 결과물인 혼합물은 반응 챔버(1)로 인입된다. 각 펄스 이후에, ALD 장치(100)는 예를 들면, 퍼징을 통하여, 배기 파이프(24)를 통하여 반응 챔버(1)로부터 반응하지 않은 반응종과 비활성 가스들을 배기한다. 본 도면에 도시된 밸브들의 위치들은 예시적인 목적으로 개시된 것이며, 가스 라인을 따라 다른 위치에 배치될 수도 있다. 바람직하게는, 상기 밸브들은 매니폴드 조립체(10)의 근처에 또는 매니폴드 조립체(10) 그 자체 상에 배치되어, 상기 밸브의 가스 라인 하류의 길이를 감소시킬 수 있다. 반응종 가스 밸브(31(a) ~ 31(d))는, 예를 들면, 인랫 매니폴드 블록으로부터 약 10 mm 이격되어 배치되어, 짧고 용이하게 퍼징되는 라인을 제공할 수 있다. 후술하는 바와 같이, 본 명세서에 개시된 예시적인 실시예들에 따른 다양한 밸브들은 가스와 하나 이상의 가스들의 혼합물이 매니폴드 조립체(10) 내로 유입되도록 지정된다. 그러나, 본 발명은 본 명세서에 개시된 예시적인 실시예에 제한되는 것은 아니다.
반응종 가스들이 ALD 장치(100)를 통하여 사이클링되도록 하는 요청은 요구되는 생성물에 의존한다. 각각의 가스가 반응 챔버(10)로 인입되기 전에 하나 이상의 반응종 가스들 사이의 상호 작용을 최소화하기 위하여, 밸브들(30(a) ~ 30(d))을 통하여 인입되는 비활성 가스들은 상기 반응종 가스들의 펄스들 사이에서 ALD 장치(100)를 통하여 주기적으로 사이클링되거나 지속적으로 유입된다. 이러한 방식으로, 상기 비활성 가스들은 상기 라인들 및 반응 챔버(1)를 퍼징한다. 후술하는 바와 같이, 다양한 반응종 가스들 및 비활성 가스들은 게이트 밸브(6)를 통하여 투입된 웨이퍼 상에 증착물을 형성하도록, ALD 장치(100)를 통하여 체계적으로 사이클링된다.
도 4에 상세히 도시된 바와 같이, 분산 플레이트(3) 상으로 가스 인입 매니폴드 조립체(10)가 탑재된다. 매니폴드 조립체(10)는 덥개부(lid, 2)를 통하여 연장된 관형(tubular) 가스 인입 부재(11)에 체결된다(도 1 참조). 이하에서는 도 1을 함께 참조하여, 매니폴드 조립체(10)의 실시예에 관하여 개시한다. 부재(11)는 매니폴드 조립체(10)의 하류 단부에 연결되고, 매니폴드 조립체(10)로부터 반응종 및 비활성 가스들을 입력받는다. 예시적인 비활성 가스들은 질소 및 아르곤 가스를 포함한다. 증착 공정은 퍼징하거나 비활성 가스들을 혼합하기 위하여 상기 비활성 가스들을 이용한다. 도시된 실시예에서, 라디컬 소오스(12)는 완전히 개방되는 밸브(fully opening valve)일 수 있는 밸브(16)를 통하여 매니폴드 조립체(10)에 연결된다. 일부 실시예들에서, 밸브(16)는 이중 액션 게이트 밸브(dual action gate valve)일 수 있다. 밸브(16)가 개방되면, 다양한 가스들의 라디컬들이 매니폴드 조립체(10) 내부로 인입된다. 부재(11)는 가스-분산부(13)와 연통된다. 부재(11)로부터 흐르는 가스는 가스-분산부(13)에 의해서 발산된다. 챔버 세정을 위하여 원격 플라즈마가 우선적으로 이용될 수 있지만, 처리 공정을 위해서도 사용될 수 있다.
일부 실시예에서, 부재(11)는 중간 확산 부재(43)를 갖는다. 도 2는 중간 확산 부재(43)의 일 예를 개략적으로 도시하는 도면이다. 도시된 중간 확산 부재(43)는 도 2에 도시된 바와 같이 실린더 형태를 가지며, 부재(11)의 하류 단부에 또는 부재(11)의 첨부(tip)에 부착될 수 있다(도 1 참조). 일부 실시예에서는, 부재(43)의 벽들 내에 형성된 하나 이상의 기공들 또는 슬릿이 부재(11)를 통하여 인입되는 가스를 위한 확산 유동 배출 경로들을 제공한다. 기공들(44)은 부재(43)로부터 방사형 방향으로 균일하게 가스를 배출하도록 배치된다. 기공들(44)과 함께 또는 이들 대신에, 하나 이상의 기공들(45)이 분산 플레이트(3)를 향하여 수직 방향으로 가스를 배출하는 부재(43)의 저면을 통하여 연장될 수 있다. 바람직하게는, 분산 플레이트(3)에 걸쳐 더 양호한 가스들의 분포를 얻기 위하여, 하나 이상의 기공들(45)이 분산 플레이트(3) 내의 기공들과 나란하게 배열되지 않을 수 있다.
도 1에 도시된 가스-분산부(13)의 단면 프로파일은 혼(horn) 형태를 갖는다. 짧은 시 주기 내에 반응 챔버(1)를 통하여 배출 유동의 변화를 수용하기 위하여, 가스 분산부(13)의 내부 용량은 바람직하게는 작다. 일부 실시예에서, 가스-분산부(13)는 가스-분산부(13)의 수평 저면에 대하여 약 3-30 도를 갖는 평평하게 절단된 콘 형태(flat truncated cone shape)를 갖는다. 실시예들은 5, 10, 15, 20, 25의 각들 및 이들 값들 사이의 각들을 포함할 수 있으며, 바람직하게는, 분산된 가스들 더욱 균일하게 분포시키도록 약 5 ~ 15도일 수 있다.
일부 실시예들에서, 가스-분산부(13)의 저면과 가스-분산 플레이트(3) 사이의 거리는 3 mm. 5 mm, 7 mm 및 이들 사이의 값들을 포함하도록 약 2 ~ 10 mm 이다. 분산부(13)를 분산 플레이트(3)에 더욱 밀착시킴으로써 플레이트(3)에 걸쳐 가스를 더욱 균일하게 분산시킬 수 있다. 일부 실시예에서, 가스-분산부(13)의 내부 벽들의 형상은 원활한 가스 유동을 촉진하기 위하여 평탄할 수 있다.
일부 실시예들에서는, 분산부(13)의 내벽 내에 히터(42)가 제공된다. 히터(42)는 분산부(13)로 인입되는 가스를 가열한다. 분산 플레이트(3) 내에, 특히 주변 가장자리에, 박막 형성을 조절하기 위해 제 2 히터(26)가 제공될 수 있다.
분산부(13)의 첨부와 분산 플레이트(3) 사이에 슬릿 배기 포트(17)가 형성된다. 상기 슬릿은 분산부(13)의 외부 첨부 주위로 연장된 환상(annular shape), 예를 들면, 원형일 수 있다. 상기 배기 포트를 위하여 다양한 형상이 이용될 수 있지만, 가스 유동이 유체역학적으로 교란되는 영역을 최소화하도록 선택되는 것이 바람직하다. 예를 들면, 상기 배기 포트의 형상은 복수의 원호 형상의(multiple circular-arc-shaped) 슬릿들, 복수의 원형 기공(multiple circular pores) 등을 가질 수 있다. 상기 슬릿들 또는 기공들(17)을 통한 개구의 폭은 가스-분사부(13)의 저면과 가스-분산 플레이트(3) 사이의 거리와 동일하거나, 약 2 mm 내지 5 mm일 수 있다.
배기 슬릿(17)은 상부 공간(18)과 연통된다. 상부 공간(18)은 분산부(13)의 상부 외벽과 상부 덥개부(2)의 저면에 의해 형성된다. 상부 공간(18)은 가스 분산부(13)의 저면과 분산 플레이트(3) 사이에 배치된 샤워헤드 플레늄(showerhead plenum, 14)과 연통된다. 일부 실시예에서, 분산부(13)의 상부 외벽과 상부 덥개부(2)의 저면 사이의 거리는 가스 분산부(13)의 저면과 분산 플레이트(3) 사이의 거리와 대략적으로 동일하다.
배기 플랜지(19)는 상부 덥개부(2)에 연결되고, 상부 공간(18)과 샤웨헤드 플레늄으로부터 배기되는 가스를 수용한다. 샤워헤드 배기 밸브(20)의 개폐는 가스가 상부 공간(18)과 샤워헤드 플레늄(14)으로부터 배기되는 것을 허용하거나 방지한다.
슬릿(17)을 통하여 상부 공간(18)으로 가스가 흐르면서 가스 압력이 감소되면, 반응종 펄스들 사이의 짧은 주기에 걸쳐서 상기 가스를 배기하는 것은 더욱 어려워질 수 있다. 그에 따라, 일부 실시예에서는, 슬릿(17)을 통하여 연장되고 배기 플랜지(19)에 연결된 덕트를 가지는 것이 바람직하다. 상부 공간(18)에 관한 실시예와 비교시, 환상 덕트가 배기 플랜지(19)로흐르는 가스의 유동을 증가시키는 것이 관찰되었다. 이것은 가스와 접촉하는 상기 덕트의 내부 표면적이 상부 공간(18)으로부터 가스가 흐를 때에 상기 가스와 접촉하는 표면적보다 더 작기 때문이다. 그러나, 배기 플랜지(19)는 상기 환상 덕트에 대하여 오프셋되어 배치되기 때문에, 상기 환상 덕트는 상부 공간(18)을 사용하는 실시예와 비교시 가스를 균일하게 배기하지 않는다. 예를 들면, 상부 공간(18)을 사용하는 실시예에서, 배기 플랜지(19)는 상부 공간의 중심부 근처에 배치되고 배기된 가스를 균일하게 수용할 수 잇다.
상기 가스는 가스-분산부(13)를 통하여 흐르고, 샤워헤드 플레늄(14)에 도달한다. 또한, 상기 가스는 분산 플레이트(14) 내의 가스-배출 포트들(21)을 통하여 유동한다. 가스-배출 포트(21)을 통과하는 가스는 기판 지지부(8)와 분산 또는 샤워헤드 플레이트(3) 사이의 반응 공간(22)에 도달한다. 이후, 상기 가스는 기판(15)의 표면에서 지속적으로 도달할 수 있다. 이후, 상기 가스는 배기 덕트(4) 내에 형성된 고리형 슬릿(23)을 통하여 연속되고, 슬릿(23)과 연통된 배기 파이프(24)로부터 배기된다. 일부 실시예에서, 분산 플레이트(3)로부터 반응 공간(22) 까지의 가스 유량은 약 2-3 리터/초이다.
전극(25)으로부터 분산 플레이트(3)에 라디오-주파수 전력을 공급함으로써, 분산 플레이트(3)와 기판 지지부(8) 사이에 플라즈마가 생성될 수 있다. 예를 들면, 분산 플레이트(3)와 기판 지지부(8) 사이에 플라즈마 강화 원자층 증착(PEALD) 처리를 위한 인시츄 플라즈마가 생성된다. PEALD의 특정 처리를 수행하기 위하여 그리고 기판(15)의 처리 사이의, 예를 들면, 웨이퍼들의 매 로트들 사이의, 주기적인 반응 챔버(1)의 세정을 위하여 원격 플라즈마 생성이 이용될 수 있다. 상기 원결 플라즈마는 원격 라디컬 또는 여기종 소오스(12)로 도시된 엑스시츄(ex-situ) 플라즈마 발생기를 사용하여 생성된다. 상기 발생기는, 예를 들면, 400 kHz의 주파수로 동작될 수 있으며, 미국 매사츄세스주(MA), 윌밍톤(Wilmington) 소재의 MKS 인스트루먼트로부터 얻을 수 있다. 상기 발생기는 매니폴드 조립체(10)의 상부 상에 또는 그보다 상류에 탑재될 수 있다. 밸브(16)는 원격 플라즈마 발생기를 매니폴드 조립체(10)로부터 격리시킨다. 챔버 세정 또는 증착을 위하여, 상기 원격 플라즈마 발생기 내에서는, 라디칼들이 생성된다. 상기 라디컬들은 분산부(13) 전체에 걸쳐 그리고 기판(15)의 표면으로 유동/표류/확산될 수 있다. 바람직하게는, 라디컬 소오스(12)는 챔버(1)에 가깝게 탑재되고, 밸브(16)는 크게 개방되어 여기된 종들의 수명을 최대화하여 세정 효율을 극대화한다.
인-시츄 다이렉트 플라즈마 생성을 위한 RF 발생기는, 예를 들면, 13.56 MHz로 동작할 수 있다. 이러한 RF 발생기 및 매칭 네트워크는 미국 캘리포니아주(CA), 프리몽(Fremont) 소재의 ADTEC 테크놀로지사로부터 얻을 수 있다. 상기 매칭 네트워크는 반응 챔버(1)의 상부 상에 탑재될 수 있다. 상기 매칭 네트워크의 출력부와 분산 플레이트(3) 사이에는, 전송 라인이 연결된다. 분산 플레이트(도 1의 3), 분산부(도 1의 13) 및 상부 덥개 링(도 4의 113)은 RF 핫(hot)이다. 반응 공간(22)을 한정하는 도전형 부재들의 나머지, 특히 기판 지지부(8)는 접지된다. 다이렉트 플라즈마는 분산 플레이트(3)와 기판 지지부(8) 사이에만 생성된다.
일단 처리가 완결되면, 기판 지지부(8)는 햐향되고 기판(15)은 동일한 게이트 밸브(6)를 통하여 상기 증착 챔버로부터 제거될 수 있다.
제어 시스템(미도시)은 기판(15)의 처리 동안 상기 장치를 제어하도록 구성된다. 예를 들면, 상기 제어 시스템은 컴퓨터 제어 시스템 및 상기 장치의 내부로 및 상기 장치로부터 반응종 가스들과 비활성 가스들의 유동을 제어하기 위한 전기적으로 제어되는 밸브들 및 RF 전력의 인가를 포함한다. 상기 제어 시스템은 특정 임무를 수행하는 FPGA 또는 ASIC과 같은 소프트웨어 또는 하드웨어 부품과 같은 모듈들을 포함할 수 있다. 바람직하게는, 모듈은 상기 컴퓨터 제어 시스템의 주소 접근이 가능한 스토리지 매체에 상주하도록 구성될 수도 있으며, 하나 이상의 프로세서들 상에서 실행되도록 구성될 수도 있다.
도 3은 반응 챔버(1)로 가스들을 인입하기 위한 대표적인 순서를 도시한다. 도 3에 도시된 단계 1에서, 샤워헤드 배기 밸브(20)가 폐쇄된다. 가스 A가 매니폴드 조립체(10)의 중앙 보어(28)에 인입될 수 있도록, 반응종 가스 밸브(31(a))가 개방된다. 본 실시예에서, 가스 A는 가스-분산부(13) 내로 지속적으로 흐르고, 분산 플레이트(3)를 통과하여, 반응 공간(22) 내로 공급된다. 가스 A는 반응 공간(22)으로부터 배기 슬릿(23)을 통하여 배기 파이프(24)로 배기된다.
가스 A가 소정의 시 주기 동안 공급된 후에, 단계 2에서, 가스 A에 대한 반응종 가스 밸브(31(a))는 가스 A가 매니폴드 조립체(10)의 중앙 보어(28)에 인입되는 것을 방지하고, 비활성 가스 밸브(30(a))로부터 흐르는 비활성 가스가 매니폴드 조립체(10)의 중앙 보어(28)로 인입되도록 구성된다. 이 경우, 관련된 특정 공정 또는 캐미스트리에 따라, 샤워헤드 배기 밸브(20)는 완전히 개방될 수 있다. 잔류하는 가스 A는 비활성 가스에 의해 퍼징된다. 상기 비활성 가스는 반응종 가스 밸브(31(a))의 일 지점의 상류에서 비활성 가스 밸브(30(a))로부터 가스 A용인 상기 반응종 가스 라인 내부로 인입된다. 이와 같이, 상기 비활성 가스는 반응종 가스 밸브(31(a))를 통과하여 후속하는 단계들 동안 반응종의 확산을 방지하기 위하여 상기 반응종 가스 라인들을 플러싱 또는 퍼징한다. 내부 비활성 가스 채널(도 4의 610)은 비활성 가스 밸브(30(a))로 인입되는 비활성 가스를 공급한다. 일부 실시예에서, 내부 비활성 가스 채널(610)은 매니폴드 조립체(10) 내에 배치된다.
단계 3에서, 반응종 가스 밸브(31(a))는 반응종 가스 A 및 비활성 가스가 모두 매니폴드 조립체(10)의 중앙 보어(28)에 인입되는 것을 방지하도록 구성된다. 도 3의 비활성 가스 밸브(30(a))는 단계 3에서 폐쇄되지만, 반드시 그러한 것은 아니다. 도시된 실시예에서는 이 채널을 통과하는 비활성 가스를 중단하는 것이 바람직하며, 3 방향 반응종 가스 밸브(31(a))는 비활성 가스 밸브(30(a))의 구성에 관계없이, 비활성 가스가 매니폴드 조립체(10)의 중앙 보어(28)로 인입되는 것을 방지한다.
가스 B는 반응종 가스 밸브(31(b))를 개방함으로써 매니폴드 조립체(10)의 중앙 보어(28) 내로 인입된다. 이 경우, 가스 B는 가스 인입부(도 1의 11)로부터 가스-분산부(13)의 내부로 인입된다. 이후, 가스(B)는 분산 플레이트(3)를 통하여 지속되고 기판 표면(15) 상으로 공급된다. 기판 표면(15)을 가로지르면서, 가스 B의 펄스는 기판의 표면을 포화시킨다. 가스 B와 이전의 펄스에 의해 남겨진 상태의 기판의 표면 사이에서 흡착 또는 반응이 일어난다.
반응 공간(22)을 걸쳐 방사상의 방향으로 흐른 뒤에, 상기 가스 B는 배기 파이프(24)를 향하여 배기 밸브(23)을 통하여 흐른다. 배기 파이프(24)는 상기 가스가 상기 웨이퍼 상에서 포화된 후에 과잉의 가스 및 부산물들을 수집하도록 구성된다. 일 실시예에서, 배기 파이프(24) 내의 영역은 반응 챔버(1)의 압력 보다 낮은 압력이다. 음의 압력 소오스 또는 진공은 반응 챔버(1)로부터 가스를 끌기 위하여 배기 파이프(24) 및/또는 배기 슬릿(23)과 연통될 수 있다. 가스 B는 배기 슬릿(23)으로부터 배기 파이프(24)로 배기된다.
소정의 시 주기 후에, 반응종 가스 밸브(31(b))는 폐쇄되고 가스 B의 공급은 차단된다. 가스 A 채널 대신에 가스 B 채널을 통하여 비활성 가스가 흐르는 점을 제외하고는 단계 2에서 도시된 바와 유사하게, 나머지 가스 B는 밸브(20)로부터 배기된다. 이들 4 단계들의 일부로서, 반응 가스 A의 공급과 반응 가스 B의 공급을 반복함으로써, 각 사이클은 하나의 단분자 단일층 미만을 증착한다. 당업자라면, 부피가 큰 전구체의 입체적 방해(steric hindrance)가 반응성 사이트들을 차단하고 사이클당 1 개의 단일층 미만으로 성장 속도를 감소시키는 경향이 있음을 이해할 수 있다.
3 종류 또는 그 이상의 반응 가스들이 사용되는 경우라 하더라도, 박막 형성은 3 종류의 또는 그 이상의 반응 가스들을 공급하는 단계들 및 각 가스들을 퍼징하는 단계를 반복함으로써 용이하게 얻어질 수 있다.
일부 실시예에서, 샤워헤드 배기 밸브(20)를 개방하거나 폐쇄함으로써 분산 플레이트(3)의 내부 영역을 용이하게 퍼징하는 것이 가능하다. 또한, 밸브가 개방되거나 폐쇄되는 정도가 변할 수 있기 때문에 완전한 차단이 요구되지는 않는다.
또한, 일부 실시예들에서는, 케미스트리에 따라, 하나 이상의 반응종 라인들(A, B, C, D)이 처리 동안 항상 개방될 수 있다. 예를 들면, 상기 반응종 가스 소스들이 펄스 단계들에서 전달되고 RF 전력이 인가된 때에만 반응하는 전구체들에 대한 환원제로서 작용할 때, 이와 같이 될 수 있다.
가스-분산 플레이트(3)에 라디오-주파수 전력을 인가하면, 다이렉트 플라즈마 가스로서 상기 반응 가스도 공급될 수 있다. 가스-분산부(13) 내에 히터(도 1의 42)를 제공함으로써, 분산부(13) 내부의 온도를 상승시키는 것이 가능하다. 결과적으로, 낮은 증기압과 쉽게 응집하는 유기 금속 재료를 사용하면, 응집없이 이들을 배기시키는 것이 가능해진다.
*도 4는 ALD 장치(100)의 실시예를 상세히 도시하는 단면도이다. 본 도면에서는, 기판 지지부 또는 서셉터 및 모든 가스 밸브들을 도시하지 않았다. 가스 A 반응종 가스는 밸브(31(a))를 통하여 매니폴드 조립체(10)로 인입된다. 이후, 가스 A는 중간 분산 부재(43) 내의 슬릿들(44)을 통하여 분산부(13)의 제 1 구획부(82) 내부로 인입된다. 제 1 구획부(82)는 부분적으로 슬릿들을 갖는 저부 플레이트에 의해 정의된다. 가스 A 반응종 가스는 상기 슬릿을 통과하여 복수의 보어들(미도시)을 갖는 분산 플레이트(3)의 상부 표면 위에 있는 제 2 구획부(81) 내부로 흐른다. 제 1 구획부(82) 및 제 2 구획부(81)는 샤워헤드 플레늄을 구성한다.
일부 실시예에서, 제 1 구획부(82)는 저부 플레이트를 갖지 않으며, 제 1 구획부(82)와 제 2 구획부(81) 사이에 분명한 경계가 없다. 가스 A는 분산 플레이트(3)에 형성된 보어들을 통하여 반응 챔버(1)의 반응 공간(22)으로 배출된다. 반응 공간들(22)은 기판 지지부(도 1의 8) 상에 배치된다. 상기 공정 동안에, 반응 공간(22)은 상기 가스가 반응 공간(22)의 외주부를 향하여 방사상으로 끌리는 환상 슬릿(23)을 통하여 배기 덕트(4)를 사용하여 일정하게 배기된다. 기판 지지부(8)의 상기 외주부 주위에는 환상 슬릿(23)이 배치된다. 가스 분산부(13)는 상부에 절연 플레이트(150)가 배치되는 상부 리드 링(113)을 통하여 분산 플레이트(3)에 고정된다.
가스 분산부(13) 및 분산 플레이트(3)는 서로 직접 접촉하지 않으며, 가스 분산부(13)의 외주부를 따라 환상 갭(83)이 형성된다. 이 환상 갭(83)은 상부 리드 플레이트(113)를 통하여 배기 플랜지(도 1의 19 참조)와 연통된다.
제 1 및 제 2 구획부(82, 81)를 퍼징할 때, 밸브들(30(a)-30(d)) 중 어느 하나, 반응종 가스 밸브들(31(a) ~ 30(d)) 중 관련된 어느 하나, 매니폴드 조립체(10) 및 중간 분산 부재(13)를 통하여 이들에 퍼징 가스가 인입된다. 주요 퍼징은 비활성 가스 라인(54)으로부터 매니폴드 조립체(10)를 통하여 흐른다. 반응종 가스 밸브들(31(a) ~ 31(d)) 및 비활성 가스 밸브들(30(a) ~ 30(d))로부터 흐르는 비활성 가스는 상기 반응종 밸브들과 중앙 보어(28) 사이의 상기 라인들을 플러싱 또는 퍼징한다. 동시에, 제 1 및 제 2 구획부(82, 81)는 환상 갭(83)을 통하여 배기 플랜지(19)를 사용하여 진공화된다. 반응 공간(22)은 슬릿들(23) 및 배기 덕트(4)를 통하여 일정하게 진공화된다.
도 5에 가장 잘 도시된 바와 같이, 본 실시예에서는, 매니폴드 조립체(10)가 4 개의 반응종 가스 밸브들(31(a) ~ 31(d)), 비활성 채널 공급 라인(52) 및 비활성 믹서 공급 라인(54)을 포함한다. 각 반응종 밸브(31(a) ~ 31(d))는 비활성 가스 밸브(30(a) ~ 30(d))와 쌍을 이룬다. 반응종 밸브(31(a))은 비활성 밸브(30(a))에 결합된다. 반응종 밸브(31(b))은 비활성 밸브(30(b))와 쌍을 이룬다. 반응종 밸브(31(c))는 비활성 밸브(30(c))와 쌍을 이룬다. 반응종 밸브(31(d))는 비활성 밸브(30(d))와 쌍을 이룬다. ALD 장치(100)는, ALD 장치(100)의 구성에 따라, 더 많거나 더 적은 수의 반응종 밸브들 및 비활성 밸브들을 포함할 수 있다. 또한, 각 반응종 라인은 비활성 가스 밸브와 쌍을 이루거나 이루지 않을 수도 있다. 예를 들면, 하나 이상의 반응종 라인들은 비활성 가스 밸브들에 결합되지만, 다른 반응종 라인은 그렇지 않을 수도 있다. 상기 밸브들과 쌍을 이루지 않는 반응종 라인은 다른 수단들에 의해 퍼징될 수 있다.
체결부(190(a))는 반응종 가스 밸브(31(a))를 반응종 소오스 A(도 6의 620)에 결합시킨다. 체결부(190(b))는 반응종 가스 밸브(31(b))를 반응종 소오스 B(도 6의 626)에 결합시킨다. 체결부(190(c))는 반응종 가스 밸브(31(c))를 반응종 소오스 C(도 6의 632)에 결합시킨다. 체결부(190(d))는 반응종 가스 밸브(31(d))를 반응종 소오스 D(도 6의 638)에 결합시킨다.
체결부(190(f))는 내부 비활성 가스 채널(도 6의 610)을 비활성 또는 퍼징 가스 소오스(도 6의 644)에 결합시킨다. 체결부(190(e))는 중앙 보어(28) 또는 매니폴드 조립체(10)의 내부를 내부 비활성 가스 채널(610)로부터 분리된 비활성 가스 소오스(644)에 결합시킨다.
도 5에 도시된 실시예에서, 비활성 채널 공급 라인(52) 및 체결부들(190(a) ~ (d))은 밸브로 그리고 매니폴드 조립체(10)의 내부를 향하는 유동 경로를 제공한다. 비활성 채널 공급 라인(52)은 내부 비활성 가스 채널(610)에 연결된다. 도시된 실시예에서, 각각의 비활성 가스 밸브들(30(a) ~ (d))은 내부 비활성 가스 채널(610)의 하류에 배치된다. 라인(54)은 밸브를 통과하지 않고서 매니폴드 조립체(10)의 내부로 향하는 경로를 제공한다.
도 5에 도시된 실시예에서, 체결부들(190(a) ~ (d))은 매니폴드 조립체(10) 내부로 반응종 가스들을 유입시킨다. 비활성 가스 라인(54)은 중앙 보어(28)로 직접 비활성 가스를 유입시키기 위한 통로를 제공한다. 그 결과물인 혼합물(비활성 가스와 당시의 반응종)은 반응 챔버(1)를 향하여 하류로 흐른다. 절연체 플레이트(56)는 ALD 장치(100) 상에 조립될 때 절연 플레이트(도 4의 150)에 인접하도록 배치된다.
매니폴드 조립체(10)는 벽의 온도를 제어하도록 구성된 하나 이상의 히터 카트리지들(180)을 포함한다. 매니폴드 조립체(10)를 통과하는 반응종 가스는 매니폴드 및 히터 카트리지들(180)에 의해 가열된다. 반응종 가스들이 매니폴드 조립체(10)를 통과할 때 반응종 가스들의 온도를 제어함으로써 상기 가스의 응축 또는 열 분해가 일어날 수 있는 가능성이 감소된다. 일부 실시예에서, 각 반응종 밸브들(31(a) ~ (d))은 하나 이상의 히터 카트리지들(180)에 의해 개별적으로 가열된다. 도시된 실시예에서, 반응종 밸브들 중 2 개는 (예를 들면, 표준 조건에서, ZrCl2, HfCl2, TMA 및 다른 금속 유기물과 같은) 낮은 증기압을 갖는 전구체들의 사용을 용이하게 하기 위한 히터들을 가지며, 다른 2 개는 그렇지 않을 수 있다. 예를 들면, 하나 이상의 히터 카트리지들(180)의 제 1 세트가 매니폴드 조립체(10) 내에 그리고 반응종 가스 A를 운반하는 라인들 근처에 배치된다. 하나 이상의 히터 카트리지들(180)의 제 2 세트는 매니폴드 조립체(10) 내에 그리고 반응종 가스 B를 운반하는 라인들의 근처에 배치될 수 있다. 히터 카트리지들(180)의 제 1 및 제 2 세트들은 가스 B와 다른 온도로 가스 A를 가열하도록 개별적으로 제어될 수 있다. 일부 실시예에서, 히터 카트리지들(180)은 매니폴드 조립체(10) 내에서 200 ℃까지 벽 온도를 유지한다. 매니폴드 조립체(10)의 온도를 관찰하기 위하여 하나 이상의 열적 스위치들이 채용될 수 있다. 상기 시스템은 다른 온도 센서 및 소정의 온도로 상기 시스템의 다양한 구성 요소들을 유지하기 위한 제어 기구들을 포함한다는 것을 이해할 수 있다.
또한, 상기 시스템은 소정의 처리 공정에 따라 제 1 쌍의 밸브들(30, 31)을 위한 다른 온도 및 제 2 세트의 밸브들(30, 31)에 대한 제 2 온도를 유지할 수 있다. 도시된 실시예는 모노리식 ALD 인렛 매니폴드의 온도 제어를 위한 단일 존(single zone)을 정의하는 온도 센서(들)에 의해 구동되는 히터 카트리지들을 고려하고 있지만, 도시된 실시예는 상기 바디(27) 내에서 각 전구체를 위한 개별적인 존 제어를 위해 채택될 수도 있다. 예를 들면, 개별적인 매니폴드 경로들을 가진 4 개의 전구체들이 도시된 경우에서, 각 전구체를 위한 유동 경로의 개별적인 열적 제어를 위하여 5 개의 존들이 제공될 수 있다: 중심 허브 및 (밸브들을 포함하여) 4 개의 전구체 라인들의 각각은 개별적인 존들로서 취급된다. 존들의 열적 분리를 용이하게 하기 위하여, 상기 허브는, 열적 공기 차단(thermal air break)이 예를 들면, 바디(27)와 기저 플레이트(도 10의 606) 사이의 기계적 및 열적 연결을 전구체 가스 인렛 어퍼쳐들 주위의 작은 스팟 돌출부(spot protrusions)로 제한하도록 제조될 수 있다. 열적 제어를 관찰하기 위한 부가적인 히터들 및 열전대들이 채용될 수 있다. 바람직하게는, 응축, 반응에 의한 것인지 또는 흡착에 의한 것인지와 관련하여 상기 라인들의 코팅을 최소화하기 위하여, 각 반응종에 대하여, 혼합 지점(예를 들면, 상기 중앙 보어)의 유동 경로의 상류의 온도들이 개별적으로 조절될 수 있으며, 이로 인하여, 막힘(clogging) 및/또는 하류의 오염을 최소화한다.
도 6은 도 5에 도시된 매니폴드 조립체(10)를 통하는 가스 유동 경로들을 개략적으로 도시하는 도면으로서, 각각이 개별적인 반응종 가스 밸브들(30(a) ~ (d))과 연통되는 4 개의 비활성 가스 밸브들(31(a) ~ (d))을 도시한다. 매니폴드 조립체(10)는 4 개의 비활성 가스 밸브들(30(a) ~ (d))과 연통되는 내부 비활성 가스 채널(610)을 포함한다. 또한, 도 6은 각각의 반응종 및 비활성 가스를 위한 소오스를 도시한다. 상기 반응종 소오스들은 반응종들이 표준 조건하에서 고상인지, 액상인지 또는 기상인지에 따른 가스 용기들, 버블러들 또는 다른 기화기들을 나타낸다. 상기 반응종 및 비활성 가스 소오스들과 관련된 부가적인 밸브들(미도시)은 매니폴드 조립체(10)의 외부에 배치될 수도 있다.
가스 A는 그 소오스(620)로부터 반응종 밸브(31(a))에 도달하기 전에 라인(622)을 통하여 흐른다. 반응종 가스 밸브(31(a))는 소정의 처리 단계에 따라 라인(624)을 통하여 매니폴드 조립체(10)의 중앙 보어(28) 내부로 인입되는 가스 A의 유동을 허용하거나 방지하도록 구성될 수 있다. 가스 B는 그 소오스(626)로부터 반응종 밸브(31(b))에 도달하기 전에 라인(628)을 통하여 흐른다. 반응종 가스 밸브(31(b))는, 소정의 공정 단계에 따라, 라인(630)을 통하여 매니폴드 조립체(10)의 중앙 보어(28)의 내부로 흐르는 것을 허용하거나 방지하도록 구성될 수 있다.
가스 C는 그 소오스(632)로부터 반응종 밸브(31(c))에 도달하기 전에 라인(634)을 통하여 흐른다. 반응종 가스 밸브(31(c))는 소정의 처리 단계에 따라 라인(636)을 통하여 매니폴드 조립체(10)의 중앙 보어(28) 내부로 인입되는 가스 C의 유동을 허용하거나 방지하도록 구성될 수 있다. 가스 D는 그 소오스(638)로부터 반응종 밸브(31(d))에 도달하기 전에 라인(640)을 통하여 흐른다. 반응종 가스 밸브(31(d))는, 소정의 공정 단계에 따라, 라인(642)을 통하여 매니폴드 조립체(10)의 중앙 보어(28)의 내부로 흐르는 것을 허용하거나 방지하도록 구성될 수 있다. 도시된 4 개의 반응종 밸브에 관한 실시예들은 예시적이며, 다양한 수의 반응종 밸브들이 사용될 수 있다.
비활성 가스는 (복수의 가스 용기들을 포함할 수 있는) 소오스(644)로부터 내부 비활성 가스 채널(610)에 도달하기 전에 비활성 채널 공급 라인(52)을 통하여 흐른다. 바람직하게는, 내부 비활성 가스 채널(610)은 매니폴드 조립체(10) 내에 배치된다. 매니폴드 조립체(10) 내에 비회발성 가스 채널(610)을 포함함으로써, 유지 능률(maintenance proficiency)이 향상된다. 바람직하게는, 매니폴드 조립체(10)는 반응로로 재조립되기 전에 작업대 상에서 테스트될 수 있다. 매니폴드 조립체(10) 내에 비활성 가스 채널(610)이 포함되어 있고 상기 비활성 가스 및 상기 전구체 가스가 동일한 열 질량 또는 매니폴드 조립체(10)를 통하여 공급되기 때문에 전구체 가스와 함께 비활성 가스의 열적 제어는 더욱 균일하다.
상기 비활성 가스 채널이 매니폴드의 외부와 반응로의 상부의 내부에 배치되는 경우, 상기 챔버 내에 부가적인 O-링들이 요구된다. 이들 부가적인 O-링들은 상기 반응로의 무결함의 진공 상태에 영향을 줄 수 있다. 또한, 상기 반응로 내부에 배치된 비활성 가스 채널에 접근하기 위하여 전체 반응로를 해체되는 경우에 세정은 더욱 복잡할 수 있다.
또한, 내부 비활성 가스 채널(610)은 하나 이상의 비활성 가스 밸브들(30(a) ~ (d))과 연통된다. 도 6에 도시된 예시적인 실시예에서, 내부 비활성 가스 채널(610)은 4 개의 비활성 가스 밸브들(30(a) ~ (d))과 연통된다.
비활성 가스는 내부 비활성 가스 채널(610)로부터 비활성 가스 밸브(30(a))에 도달하기 전에 라인(646)을 통하여 흐른다. 일부 실시예에서, 비활성 가스 밸브(30(a))는 2 포트 밸브이다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 반응종 가스 밸브(31(a)) 사이에서의 비활성 가스의 유동을 제어한다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 연통되는 입력 포트 및 라인(648)을 통하여 반응종 가스 밸브(31(a))와 연통되는 출력 포트를 갖는다. 이와 같이, 비활성 가스 밸브(30a)는 라인(646)과 라인(648) 사이의 비활성 가스의 유동을 허용하거나 방지하도록 구성될 수 있다.
반응종 가스 밸브(31(a))은 라인(648)과 연통된다. 또한, 상술한 바와 같이, 반응종 가스 A가 라인(622)로부터 매니폴드 조립체(10)의 중앙 보어(28)에 도달하는 것을 허용하거나 방지하는 것과 함께, 반응종 가스 밸브(31(a)는 라인(624)를 통하여 매니폴드 조립체(10)의 중앙 보어(28) 내부로 비활성 가스의 유동을 허용하거나 방지하도록 구성된다. 따라서, 반응종 가스 밸브(31(a))는 상기 비활성 가스 및 상기 반응종 가스 A가 라인(624)에 인입되는 것을 개별적으로 허용하거나 방지하도록 구성될 수 있다.
바람직한 실시예에서, 반응종 가스 밸브(31(a))는 3 포트 밸브이다. 반응종 가스 밸브(31(a))의 제 1 부는 라인(622)에 연통되고 반응종 가스 A를 입력받는다. 반응종 가스 밸브(31(a))의 제 2 부는 라인(648)에 연통되고 비활성 가스를 입력받는다. 반응종 가스 밸브(31(a))를 위한 제 3 또는 배출 포트는 라인(624)을 통하여 매니폴드 조립체(10)의 중앙 보어(28)와 연통된다.
상기 비활성 가스는 내부 비활성 가스 채널(610)로부터 비활성 가스 밸브(30(b))에 도달하기 전에 라인(650)을 통하여 흐른다. 일부 실시예에서, 비활성 가스 밸브(30(b))는 2 포트 밸브이다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 반응종 가스 밸브(31(b)) 사이의 비활성 가스의 흐름을 제어한다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 연통되는 입력 포트 및 라인(652)을 통하여 반응종 가스 밸브(31(b))와 연통되는 출력 포트를 갖는다. 이와 같이, 비활성 가스 밸브(30(b))는 라인(650)과 라인(652) 사이의 비활성 가스의 흐름을 허용하거나 방지하도록 구성될 수 있다.
반응종 가스 밸브(31(b)는 라인(652)와 연통된다. 또한, 상술한 바와 같이, 반응종 가스 B가 라인(628)으로부터 매니폴드 조립체(10)의 중앙 보어(28)에 도달하는 것을 허용하거나 방지하는 것과 함께, 반응종 가스 밸브(31(b)는 라인(630)를 통하여 매니폴드 조립체(10)의 중앙 보어(28) 내부로 비활성 가스의 유동을 허용하거나 방지하도록 구성된다. 따라서, 반응종 가스 밸브(31(b))는 상기 비활성 가스 및 상기 반응종 가스 B가 라인(630)에 인입되는 것을 개별적으로 허용하거나 방지하도록 구성될 수 있다.
바람직한 실시예에서, 반응종 가스 밸브(31(b))는 3 포트 밸브이다. 반응종 가스 밸브(31(b))의 제 1 부는 라인(628)에 연통되고 반응종 가스 B를 입력받는다. 반응종 가스 밸브(31(b))의 제 2 부는 라인(652)에 연통되고 비활성 가스를 입력받는다. 반응종 가스 밸브(31(b))를 위한 제 3 또는 배출 포트는 라인(630)을 통하여 매니폴드 조립체(10)의 중앙 보어(28)와 연통된다.
상기 비활성 가스는 내부 비활성 가스 채널(610)로부터 비활성 가스 밸브(30(c))에 도달하기 전에 라인(654)을 통하여 흐른다. 일부 실시예에서, 비활성 가스 밸브(30(b))는 2 포트 밸브이다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 반응종 가스 밸브(31(c)) 사이의 비활성 가스의 흐름을 제어한다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 연통되는 입력 포트 및 라인(656)을 통하여 반응종 가스 밸브(31(c))와 연통되는 출력 포트를 갖는다. 이와 같이, 비활성 가스 밸브(30(c))는 라인(654)과 라인(656) 사이의 비활성 가스의 흐름을 허용하거나 방지하도록 구성될 수 있다.
반응종 가스 밸브(31(c)는 라인(656)과 연통된다. 또한, 상술한 바와 같이, 반응종 가스 C가 라인(634)으로부터 매니폴드 조립체(10)의 중앙 보어(28)에 도달하는 것을 허용하거나 방지하는 것과 함께, 반응종 가스 밸브(31(c)는 라인(636)을 통하여 매니폴드 조립체(10)의 중앙 보어(28) 내부로 비활성 가스의 유동을 허용하거나 방지하도록 구성된다. 따라서, 반응종 가스 밸브(31(c))는 상기 비활성 가스 및 상기 반응종 가스 C가 라인(636)에 인입되는 것을 개별적으로 허용하거나 방지하도록 구성될 수 있다.
바람직한 실시예에서, 반응종 가스 밸브(31(c))는 3 포트 밸브이다. 반응종 가스 밸브(31(c))의 제 1 포트는 라인(634)과 연통되고, 반응종 가스(C)를 입력받는다. 반응종 가스 밸브(31(c)) 의 제 2 포트는 라인(656)과 연통되고, 비활성 가스를 입력받는다. 반응종 가스 밸브(31(c))에 대한 제 3 포트 또는 배출 포트는 라인(636)를 통하는 매니폴드 조립체(10)의 중앙 보어(28)와 연통된다.
상기 비활성 가스는 상기 내부 비활성 가스 채널(610)로부터 비활성 가스 밸브(30(d))에 도달하기 전까지 라인(658)을 통하여 흐른다. 일부 실시예에서, 비활성 가스 밸브(30(d))는 2 포트 밸브이다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 반응종 가스 밸브(31(d)) 사이의 상기 비활성 가스의 유동을 제어한다. 상기 2 포트 밸브는 내부 비활성 가스 채널(610)과 연통되는 하나의 입력 포트를 갖고, 라인(660)을 통하여 반응종 가스 밸브(31(d))와 연통되는 출력 포트를 갖는다. 이러한 방법으로, 비활성 가스 밸브(30(d))는 라인(658)과 라인(660) 사이에 비활성 가스의 유동을 허용하거나 방지하도록 구성될 수 있다.
반응종 가스 밸브(31(d))는 라인(660)과 연통된다. 전술한 바와 같이, 반응 가스(D)가 라인(640)으로부터 상기 매니폴드 조립체(10)의 상기 중앙 보어(28)에 도달하는 것을 허용하거나 방지하는 것과 함께, 상기 반응종 가스 밸브(31(d))는 라인(642)를 통하여 매니폴드 조립체(10)의 중앙 보어(28)의 내부로 비활성 가스의 유동을 허용하거나 방지하도록 구성될 수 있다. 따라서, 반응종 가스 밸브(31(d))는 인입 라인(642)으로부터 상기 비활성 가스 및 상기 반응종 가스(D)를 허용하거나 방지하도록 개별적으로 구성될 수 있다.
바람직한 실시예에서, 반응종 가스 밸브(31(d))는 3 포트 밸브이다. 반응종 가스 밸브(31(d))의 제 1 포트는 라인(640)과 연통되고 반응종 가스(D)를 입력받는다. 반응종 가스 밸브(31(d))의 제 2 포트는 라인(660)과 연통되고 비활성 가스를 입력받는다. 반응종 가스 밸브(31(d))를 위한 제 3 포트 또는 배출 포트는 라인(642)를 통하여 상기 매니포트 어셈블리(10)의 상기 중앙 보어(28)와 연통된다.
상기 "방지(prevent)" 및 "허용(allow)"이라는 용어는 상대적인 용어들이며, 가스 흐름을 밀봉하는 것 또는 완전히 유동하도록 허용하는 것에 한정되지 아니한다. 예를 들어, 상기 밸브를 통한 반응종 가스의 흐름이 증가하는 경우, 반응종 가스 밸브(31(a))는 반응종 가스가 흐르는 것을 허용하도록 구성된다. 유사하게는, 상기 밸브를 통한 반응종 가스의 흐름이 감소하는 경우에, 반응종 가스 밸브(31(a))는 반응종 가스가 흐르는 것을 방지하도록 구성된다. 또한, 도 6 에 도시된 상기 라인들의 길이는 용이하게 식별되고, 소정의 구성에 따라 더 짧거나 더 길 수 있다. 일부 실시예에서는, 매니폴드 조립체(10)로부터 퍼징될 미반응 반응종들의 양을 감소시키기 위하여 하나 이상의 라인들을 더 짧게하는 것이 적합할 수 있다. 실제적으로, 매니폴드 조립체(10) 내의 도 6의 "라인들"은 상기 밸브들과 상기 반응종 챔버 사이의 간격을 최소화하고, 퍼징 시간들을 감소시키기 위한 상기 중앙 블록 및/또는 부속된 플레이트들 내의 모든 가공된 채널들이며, 도 4 내지 도 5 및 도 7 내지 도 10으로부터 이해될 수 있다.
비활성 믹서 공급 라인(54)은 내부 비활성 가스 챔버(610)로부터 개별적으로 상기 비활성 가스 소스(644)를 갖는 상기 중앙 보어(28) 또는 상기 매니폴드 조립체(10)의 내부와 결합한다. 라인(54)은 밸브를 통과함 없이 중앙 보어(28)로의 경로를 제공한다. 일부 실시예에서, 밸브(662)는 라인(54)으로부터 매니폴드 조립체(10)로 인입하는 상기 비활성 가스의 흐름을 제어한다.
도 7은 매니폴드 조립체(10)의 중앙 바디(27)에 체결된 반응종 가스 밸브들(31(a) ~ 31(d)) 및 비활성 가스 밸브들(30(a) ~ 30(d))을 나타내는, 도 5의 매니폴드 조립체(10)의 평면도이다. 매니폴드 조립체(10)는 체결부들(190(a) ~ 190(d))을 통하여 인입하는 반응종 가스들 및 체결부(190e)를 통하여 인입하는 비활성 가스가 매니폴드 조립체(10)의 중앙 보어(28)를 향하여 이송되도록 구성된다. 상기 체결부(190(a))는 라인(622)를 통하여 반응종 가스 밸브(31(a))와 연통된다. 체결부(190(b))는 라인(628)를 통하여 반응종 가스 밸브(31(b))와 연통된다. 체결부(190(c))는 라인(634)를 통하여 반응종 가스 밸브(31(c))와 연통된다. 상기 체결부(190(d))는 라인(640)를 통하여 반응종 가스 밸브(31(d))와 연통된다. 상기 커플링(190(e))은 라인(54)를 통하여 매니폴드 조립체(10)과 연통된다.
매니폴드 조립체(10)는 동시에 하나의 가스 또는 복수의 가스들을 주어진 펄스 동안 매니폴드 조립체(10)의 중앙 보어(28)를 향하여 이송할 수 있다. 적합하게는, ALD 모드에서, 하나의 반응종 가스는 보어(28) 내의 비활성 가스와 혼합된다. 상기 결과 혼합물은 증착 챔버(1, 도 1)로 인입된다. 각 펄스 이후에, 상기 ALD 는, 일부의 미반응된 반응종 및 비활성 가스들을 퍼징을 통하는 것처럼, 배출 파이프(24)를 통하여 상기 증착 챔버로부터 배출하고, 샤워헤드 배출 밸브(20, 도 1)를 통하여 상기 샤워헤드 어셈블리로부터 배출한다.
비활성 가스는, 처리 동안, 중간에 삽입되어 또는 퍼징 동작 동안에만, 라인(54)를 통하여 상기 매니폴드 조립체(10)의 중앙 보어(28)에 연속적으로 흐를 수 있다. 상술한 바와 같이, 비활성 가스도 매니폴드 조립체(10) 내의 비활성 채널 공급 라인(52, 도 6)을 통하여 내부 비활성 가스 채널(610)로 흐를 수 있다. 내부 비활성 가스 채널(610)은 비활성 가스 밸브들(30(a) ~ 30(d))과 연통된다.
비활성 가스 밸브들(30(a) ~ 30(d))은 매니폴드 조립체(10)의 바디(27)에 직접적으로 부착된다. 도 8 및 도 9에서 나타난 바와 같이, 각 반응종 가스 밸브들(31(a) ~ 31(d))는 바디(26)에 부착된 스페이서 블록(700(a) ~ 700(d))을 이용하여 바디(27) 상에 탑재될 수 있다. 스페이서 블록들(700(a) ~ 700(d))은 반응종 가스 밸브들(31(a) ~ 31(d))과 체결(mate)되는 개구들 및 스크류 홀들과 함께 공급된다. 스페이서 블록들(700(a) ~ 700(d))은 매니폴드 조립체(10)의 제조를 용이하게 한다. 스페이서 블록(700(a))은 가스 밸브(31(a))와 연통되고, 매니폴드 조립체(10)의 바디(27) 및 상기 반응종 가스 밸브(31(a)) 사이의 유동 경로를 공급한다. 스페이서 블록(700(b))은 가스 밸브(31(b))와 연통되고, 매니폴드 조립체(10)의 바디(27) 및 반응종 가스 밸브(31(b)) 사이의 유동 경로를 공급한다. 스페이서 블록(700(c))은 가스 밸브(31(c))와 연통되고, 매니폴드 조립체(10)의 바디(27) 및 반응종 가스 밸브(31(c)) 사이의 유동 경로를 공급한다. 스페이서 블록(700(d))은 가스 밸브(31(d))와 연통되고, 매니폴드 조립체(10)의 바디(27) 및 반응종 가스 밸브(31(d)) 사이의 유동 경로를 공급한다.
도 8은 도 7의 라인들(8-8)을 따라 절취한 단면도이며, 도 9는 도 7의 라인들(9-9)을 따라 절취한 단면도이다. 각 스페이서 블록(700(a) ~ 700(d))은 해당 반응종 가스 밸브(31(a) ~ 31(d))로의 및 해당 반응종 가스 밸브(31(a) ~ 31(d))로부터의 가스 이송 경로들(gas routing paths) 중 일부를 제공한다. 도 8 및 도 9에 도시된 가스 이송 경로들은 도 6를 참조하여 개시된 라인들에 대응된다. 도 6 에 개시된 전체 라인은 매니폴드 조립체(10)의 단일 부품 내의 전체 통로 또는 상기 매니폴드 조립체(10)의 복수의 부품들의 통로들 중 일부분을 대표할 수 있다. 예를 들면, 도 6 및 도 8에 도시된 라인(652)은 매니폴드 조립체(10)의 바디(27) 및 스페이서 블록(700(b)) 내의 통로들의 적어도 일부에 대응된다. 도 6 및 도 8에 도시된 라인(660)은 매니폴드 조립체(10)의 바디(27) 및 스페이서 블록(700(d)) 내의 통로들의 적어도 일부에 대응된다.
도시된 실시예의 바디(27)는 중앙 보어(28)를 갖는 관 형상을 갖는다. 바디(27)는 입구(612) 및 출구(614)를 포함한다. 중앙 보어(28)는 원통 형상을 갖는 하부와 원뿔 형상을 갖는 상부를 포함할 수 있다. 바람직하게는, 입구(612)의 영역 내의 단면은 출구(614)의 단면보다 더 크다. 일부 실시예에서, 중앙 보어(28)의 단면 유동 면적은 상기 혼합물이 출구(614)를 향하여 이동함에 따라 점차적으로 감소하고, 테이퍼형(tapered) 또는 깔대기형(funnel) 통로를 형성한다.
일부 실시예에서, 바디(27)의 내부 표면의 적어도 일부는 상기 혼합물이 출구(614)를 향하여 흐름에 따라 바디(27)를 통하여 상기 개구 단면이 감소하는 원뿔 형상을 갖는다. 바디(27)는 반응 챔버(1)의 샤워헤드 플레이트에 매니폴드 조립체(10)를 부착하기 위하여 하류 상의 또는 저면 상의 부착 홀들을 더 포함한다.
도시된 실시예에서, 각 스페이서 블록(700(a) ~ 700(d))은 상기 두 개의 입력 포트들 및 상기 연관된 반응종 가스 밸브(31(a) ~ 31(d))의 단일 출력 포트와 연결된 세 개의 다른 통로들을 갖는다. 예를 들어, 제 1 통로 또는 스페이서 블록(700(b)) 및 상기 매니폴드 조립체(10)의 바디(27) 내의 라인(652)은 비활성 가스 밸브(30(b))의 출력 포트를 반응종 가스(31(b))를 위한 두 개의 입력 포트들 중 하나에 연결한다. 상기 제 2 통로 또는 라인(628)은 체결부(190(b))를 반응종 밸브(31(b))를 위한 다른 입력 포트에 연결한다. 상기 제 3 통로 또는 라인(630)는 반응종 가스 밸브(31(b))의 출력 포트를 매니폴드 조립체(10)의 중앙 보어(28)에 연결한다. 반응종 가스 밸브(31(d))에 대하여, 제 1 통로 또는 스페이서 블록(700(d)) 및 매니폴드 조립체(10)의 바디(27) 내의 라인(660)은 비활성 가스 밸브(30(d))의 출력 포트를 반응종 가스 밸브(31(d))를 위한 상기 두 개의 입력 포트들 중 하나에 연결한다. 상기 제 2 통로 또는 라인(640)은 체결부(190(d))를 반응종 밸브(31(d))를 위한 다른 입력 포트에 연결한다. 상기 제 3 통로 또는 라인(642)은 반응종 가스 밸브(31(d))의 출력 포트를 매니폴드 조립체(10)의 중앙 보어(28)에 연결한다. 비활성 가스 밸브들(30(a) ~ 30(d))는 도 7의 반응종 가스 밸브들(30(a) ~ 30(d))에 의하여 부분적으로 감춰져 있다.
도 9는 반응종 가스 밸브들(31(a), 31(c)) 및 매니폴드 조립체(10)의 바디(27)에 연결된 비활성 가스 밸브들(30(a), 30(c))를 나타내는 도 7의 라인들(9-9)을 따라 절취된 확대된 단면도이다. 도 7 및 도 9를 참조하면, 스페이서 블록(700(a))은 반응종 가스 밸브(31(a))와 결합되어지고, 매니폴드 조립체(10)의 바디(27)와 반응종 가스 밸브(31(a)) 사이에 유동 경로들을 제공한다. 스페이서 블록(700(c))은 반응종 가스 밸브(31(c))와 결합되어지고, 매니폴드 조립체(10)의 바디(27)와 반응종 가스 밸브(31(c)) 사이에 유동 경로들을 제공한다. 제 1 통로 또는 스페이서 블록(700(a)) 및 매니폴드 조립체(10)의 바디(27) 내의 라인(648)은 비활성 가스 밸브(30(a))의 출력 포트를 반응종 가스 밸브(31(a))를 위한 상기 두 개의 입력 포트들 중 하나에 연결한다. 상기 제 2 통로 또는 라인(622)은 체결부(190(a))를 반응종 밸브(31(a))를 위한 다른 입력 포트에 연결한다. 상기 제 3 통로 또는 라인(624)은 반응종 가스 밸브(31(a))의 출력 포트를 매니폴드 조립체(10)의 중앙 보어(28)에 연결한다. 반응종 가스 밸브(31(c))에 대하여, 제 1 통로 또는 스페이서 블록(700(c)) 및 매니폴드 조립체(10)의 바디(27) 내의 라인(656)은 비활성 가스 밸브(30(c))의 출력 포트를 반응종 가스 밸브(31(c))를 위한 상기 두 개의 입력 포트들 중 하나에 연결한다. 상기 제 2 통로 또는 라인(634)은 체결부(190(c))를 반응종 밸브(31(c))를 위한 다른 입력 포트에 연결한다. 상기 제 3 통로 또는 라인(636)은 반응종 가스 밸브(31(c))의 출력 포트를 매니폴드 조립체(10)의 중앙 보어(28)에 연결한다.
통로 또는 라인(654)은 비활성 가스 밸브(30(c))의 입력 포트를 내부 비활성 가스 채널(610)에 연결한다. 통로 또는 라인(646)은 비활성 가스 밸브(30(a))의 입력 포트를 내부 비활성 가스 채널(610)에 연결한다.
도 8 및 도 9를 참조하면, 반응종 가스는, 혼합을 촉진하기 위하여 중앙 보어(28) 내에서 상기 가스가 소용돌이치도록 라인들(624, 630, 636, 642)을 통하여 바람직하게는 센터라인(702)으로부터 벗어나서 매니폴드 조립체(10)의 중앙 보어(28)에 인입된다. 소용돌이치는 가스는 상기 소정의 생성물에 따라서 비활성 가스 및/또는 다른 반응종 가스와 함께 상기 반응종 가스의 혼합을 촉진할 수 있다. 상기 가스 혼합물은 상기 혼합물이 증착 챔버(1)를 향하여 이동함에 따라 관 형상의 바디 내부를 일주한다.
일부 실시예에서, 바디(27), 스페이서(700(a) ~ 700(d)), 및 밸브(30(a) ~ 30(d), 31(a) ~ 31(d)) 중 하나 이상의 부품들은 스테인레스 스틸 또는 다른 금속성 물질이다. 스테인레스 스틸을 포함하는, 매니폴드 조립체(10)는 O-링을 포함할 필요가 없어 결과적으로 데드존을 갖지 아니한다. 바람직하게 상기 라인들 또는 통로들은 내화학성 금속 블록 또는 바디(27) 내부에 일체로(integrally) 형성된다. 일부 실시예에서, 상기 비활성 및 반응종 밸브들(30, 31)은 스테인레스 스틸로 형성되며, 미국 오하이오주 살론 소재의 스와지록사(Swagelok Co.)로부터 상업적으로 입수될 수 있다. 바람직한 실시예에서, 상기 스와지록(Swagelok)사의 두 개의 포트 비활성 가스 밸브들(30(a) ~ 30(d))은 부분 번호 6LVV-MSM-ALD3T-W2-P-CS 와 동일하고, 상기 세 개의 포트 반응종 가스 밸브들(31(a) ~ 31(d))은 부분 번호 6LVV-MSM-ALD3T-W3-PCS와 동일하다. 금속 밸브들(30,31) 각각은 상기 금속에 대하여 밀봉될 수 있고, 적합하게는 금속 밀봉들을 갖는 스테인레스 스틸 스페이서(700) 및 상기 매니폴드들의 바디(27)일 수 있다. 일부 다른 실시예에서는, 세라믹 금속으로부터 매니폴드 조립체(10)의 하나 이상의 부품들을 제조할 수 있다.
도 9는 체결하는 부품들의 표면들 사이에 위치하는 다양한 금속 밀봉들을 도시한다. 물론, 더 많거나 더 적은 금속 밀봉들이 예를 들어, 상기 체결되는 부품들과 연관된 물질들, 허용 오차들, 동작 압력들 및 가스들에 의존하여 이용될 수 있다. 또한, 일부 실시예에서는, 하나 이상의 부품들이 단일의 부품에 결합될 수 있고, 그에 따라 상기 결합된 부품들 사이에 밀봉은 불필요해진다. 예를 들어, 스페이서 블록(700(a) ~ 700(d)) 및 연관된 반응종 가스 밸브(31(a) ~ 31(d))는 단일의 부품에 결합될 수 있고, 상기 결합된 부품들 사이에 밀봉들을 위한 필요는 제거될 수 있다. 또한, 반응종 가스 밸브와 연관된 스페이서 블록(700(a) ~ 700(d))은 상기 인접한 비활성 가스 밸브(도 10을 보라.)를 위한 스페이서를 형성하도록 상기 반응종 가스 밸브의 측면을 벗어나게 확장될 수 있다. 택일적으로, 상기 반응종 가스 밸브 및 상기 반응종 가스 밸브와 연관된 상기 비활성 가스 밸브는 별도의 스페이서들을 가질 수 있다. O-링들과 같은 중합 물질들로 만들어진 일반적인 밀봉들(900)도 상기 샤워헤드 어셈블리에 대해 매니폴드 조립체(10)를 밀봉하기 위하여 채택될 수 있다.
도 10은 매니폴드 조립체(10)의 다른 실시예를 개략적으로 도시하며, 상기 스페이서 블록들은 반응종 가스 밸브(31(a)), 그것의 연관된 비활성 가스 밸브(31(a)) 및 매니폴드 조립체(10)의 바디(27) 사이가 연결된 알루미늄 및 스테인레스 스틸과 같은 비유사 물질들의 하위 부품들을 포함한다. 본 실시예의 경우에, 반응종 가스 밸브들(31(b) ~ 31(d)) 및 비활성 가스 밸브들(30(a) ~ 30(d))가 도시되지 않는 반면, 반응종 가스 밸브(31(a)) 및 비활성 가스 밸브(30(a))가 도시된다. 그러나, 이하의 설명은 반응종 가스 밸브들의 다른 세 개의 집합과 연관된 비활성 가스 밸브들(30(b), 31(b); 30(c), 31(c); 30(d), 31(d))에 동일하게 적용된다.
바람직한 실시예에서, 밸브들(31(a), 30(a))은 스테인레스 스틸, 예를들어, 316 SS 로 형성된다. 바람직하게는 스테인레스 스틸은 더 작은 강도를 갖는 금속들에 비하여 상기 밸브들의 내구성을 증가시킨다. 매니폴드 조립체(10)의 바디(27)는 알루미늄 또는 유사 물질로부터 만들어지고, 높은 열 전도성을 제공한다. 바람직하게는, 알루미늄은 상대적인 경 금속이며, 스테인레스 스틸과 비교시 향상된 열적 분포를 제공한다. 선택적으로는, 바디(27)는 316 스테인레스 스틸로 형성될 수 있다. 바디(27)를 위하여 다른 물질들도 이용될 수 있다.
도 6에 도시된 것처럼, 매니폴드 조립체(10) 내의 많은 내부 통로들은 부품들 사이에 공유된다. 다른 부분들 내의 연결 통로들 사이의 경계면은 일반적으로 O-링 또는 다른 밀봉 장치(900, 도 9)를 공급하기 위하여 채택된 표면들 내의 리세스들을 이용한다. 상기 리세스들 및 연관된 밀봉들은 상기 계면에서 데드 존이 형성될 가능성을 증가시킨다. 더 적은 수의 리세스형(recessed) 또는 매입형(embedded) 밀봉들, O-링들 및 매니폴드 조립체(10)의 중앙 보어(28), 상기 반응종 및 비활성 가스 밸브들 사이의 유동 경로들을 따르는 임의의 생성된 데드 존들을 갖는 것이 바람직하다. 이러한 데드 존들은 상기 유동 경로들의 완전한 퍼지를 저지하는 갭들 또는 보이드(void)들을 제공할 수 있다. 불완전하게 퍼지된 제 1 반응종 가스는 상기 보이드의 위치에서 또는 상기 제 1 반응종이 확산가능한 상기 유동 경로들을 따르는 위치에서 부적합하게 반응할 수 있다.
바디(27) 및 밸브들(30, 31) 사이에 위치한 중간 계면들의 수가 감소함에 의하여, 데드 존들을 형성에 대한 민감도에 따라 밀봉들의 수가 감소되는 것으로 관찰되었다. 계면들이 나타나는 위치에서, 향상된 제조 기술들이 상기 계면의 데드 존들의 형성을 최소화하기 위하여 이용될 수 있다. 이들 제조 기술들은 전자 빔 용접(electron beam welding), 금속 밀봉(metal sealing) 기술의 채택, 폭발 본딩(exposion boding) 등을 포함한다. 매니폴드 조립체(10)의 제조를 위하여 이들 기술들 중 하나 이상을 사용할 수 있다.
바람직한 실시예에서, 하나 이상의 부재들은 바디(27) 및 밸브들(31(a), 30(a)) 사이에 배치된다. 상기 도시된 실시예에서는, 알루미늄 기저 플레이트(606) 및 스테인레스 스틸 캡(608)가 바디(27)를 밸브들(30(a), 31(a))에 연결한다. 기저 플레이트(606) 과 캡(608)는 서로를 더 연결할 수 있다. 바람직하게는, 기저 플레이트(606) 및 캡(608)은 바디(27)에 연결되기 전에 함께 연결된다. 일부 실시예들에서는, 기저 플레이트(606) 및 캡(608)은 기술분야에서 알려진 폭발 본딩 기술을 이용하여 함께 부착된다. 폭발 본딩은 이들 사이에 밀봉이 없는 계면을 제공하기 위하여 기저 플레이트(606) 및 캡(608)의 다른 물질들을 융합한다.
바람직하게, 기저 플레이트(606)는 서로간에 부착을 간단하게 하기 위하여 바디(27)와 같은 동일한 물질로 제조된다. 대표 실시예에서는, 모두 알루미늄으로부터 제조된다. 기저 플레이트(606) 및 캡(608)의 어셈블리를 바디(27)에 부착하기 이전에, 내부 비활성 가스 채널(610)은 바디(27)에 탑재된다. 기저 플레이트(606)의 표면은 내부 비활성 가스 채널(610)의 바깥 표면을 형성한다. 내부 비활성 가스 채널(610)의 도시된 형상 및 크기는 단지 실시예이며, 다른 형상 및 크기를 가질 수 있다. 또한, 내부 비활성 가스 채널(610)의 위치는 단지 실시예이며, 바디(27) 내부의 상기 도시된 위치로부터 이동될 수 있다.
폭발 본딩된 기저 플레이트(606) 및 캡(608)은 바디(27)의 바깥 표면에 부착된다. 에너지 빔 용접 방법은 기저 플레이트(606)를 바디(27)에 부착하기 위하여 채용될 수 있다. 예를 들면, 레이저 빔 또는 전자 빔이 이용될 수 있고, 상기 물질들을 함께 용접하기 위한 고 집중된(highly-focused) 에너지 빔을 제공할 수 있다. 일부 실시예에서는, 기저 플레이트(606)는 바디(27)에 전자 빔 용접된다.
이후 상기 밸브들은 캡(608)과 연결된다. 일부 실시예에서는, 금속 밀봉이 밸브들(30, 31) 및 캡(608) 사이에 밀봉을 형성하기 위하여 채용된다. 중합체로 형성된 O-링들에 대조적으로 금속 밀봉들은 증가된 내화학성을 갖는다. 일부 실시예에서는, W 형상의 금속 밀봉이 밸브들(30, 31) 및 캡(608) 사이의 계면에서 채용된다. 또한, 금속 밀봉들은, 중합체로 형성된 O-링들과 비교시, 과도하게 변형됨이 없이 더 높은 부하를 견딜 수 있는 이점을 갖는다. 상기 금속 밀봉은 코팅되거나 코팅되지 않을 수 있다.
일단 조립되면, 상기 비활성 가스는 내부 비활성 가스 채널(610)로부터 비활성 가스 밸브(30(a))에 도달할 때까지 라인(646)를 통하여 흐른다. 바람직하게는, 바디(27)와 기저 플레이트(606) 사이의 상기 본드는 어떠한 개별적인 밀봉들도 갖지 않는 전자 빔 용접이다. 기저 플레이트(606) 및 캡(608) 사이의 상기 본드는 어떠한 개별적인 밀봉들도 갖지 않는 폭발 본드이다. 해제 가능한 금속 밀봉은 정밀 검사, 세척 및 유지를 위하여 밸브들(30(a), 31(a))의 제거를 허용하는 밸브들(30(a), 31(a)) 및 캡(608) 사이에 채용된다.
비활성 가스 밸브(30(a)) 출력 포트는 라인(648)을 통하여 반응종 가스 밸브(31(a))와 연동된다. 라인(648)은 바람직하게는 내부 비활성 가스 채널(610)의 부품들 중에 공유되지 않고, 라인(648)의 인렛 및 아웃렛을 제외하고는 밀봉들을 필요로 하지 아니한다. 라인(646)으로부터 상기 출구를 밀봉하는 상기 밀봉들, 라인(648)으로의 상기 인렛, 라인(648)으로부터의 상기 아웃렛, 라인(622)으로부터의 상기 출구 및 라인(624)으로의 상기 인렛은 바람직하게는 금속이다. 바람직하게는, 금속 밀봉들의 이용은 종래의 중합체로 된 밀봉들에 비하여 상기 밀봉의 수명을 증가시킬 수 있고, 그들의 높은 내화학성에 기인하여 오염의 배제를 강화할 수 있다.
반응종 가스 밸브(31(a))는 라인(648)에 연동한다. 반응종 가스(A)가 라인(622)으로부터 매니폴드 조립체(10)의 중앙 보어(28)에 도달하는 것을 허용하거나 방지하는 것과 함께, 반응종 가스 밸브(31(a))도 라인(624)를 통하여 매니폴드 조립체(10)의 중앙 보어(28) 내로 비활성 가스의 흐름을 허용하거나 방지하도록 구성된다. 따라서, 반응종 가스 밸브(31(a))는 인입 라인(624)로부터 상기 비활성 가스 및 반응종 가스(A)를 개별적으로 허용하거나 방지하도록 구성될 수 있다.
바람직한 실시예에서는, 반응종 가스 밸브(31(a))는 3 포트 밸브이다. 반응종 가스 밸브(31(a))의 제 1 포트는 라인(622)와 연동하고, 반응종 가스(A)를 입력받는다. 반응종 가스 밸브(31(a))의 제 2 포트는 라인(648)와 연통되고, 비활성 가스를 입력받는다. 반응종 가스 밸브(31(a))의 제 3 포트 또는 배출 포트는 라인(624)를 통하여 매니폴드 조립체(10)의 중앙 보어(28)와 연통된다.
기저 플레이트(606) 및 캡(608)의 가공 허용 오차를 제어하는 것은 일 계면의 제 1 측부 상의 라인의 제 1 부를 상기 동일 계면의 제 2 측부 상의 동일한 라인의 제 2 부에 정렬하는 것에 도움이 되며, 그에 따라 매니폴드 조립체(10) 내의 재순환(recirculation) 또는 보이드들이 감소된다. 매니폴드 조립체(10)의 하위 부품들의 채결 표면들 상의 표면 마감과 편평도를 제어하는 것은 인접하는 하위 부품들을 밀봉하는데 도움이 될 수 있다. 일부 실시예에서는, 상기 밀봉 표면 상에서 16 내지 32의 마이크로 마감 표면이 유지된다.
상기 제어 시스템은 하나 이상의 밸브들(30, 31)이 매니폴드 조립체(10)의 중앙 보어(28)에 도달하는 것을 선택적으로 허용하거나 방지하는 것을 제어한다. 바람직하게, 매니폴드 조립체(10)의 실시예들은 매니폴드 조립체(10)의 부품들 사이의 계면에서 일반적인 밀봉들이 필요하는 것을 감소시킨다. 일반적인 밀봉들의 수를 감소시키는 것은 데드 레그들 또는 데드 존들이 형성되는 기회를 감소시킨다. ALD 동작에 있어서, 데드 레그들을 감소시키는 것은, 반응 공간의 상류에서의 반응종들의 상호 작용을 방지하기 위하여 필요한 퍼징의 지속 시간을 감소시킨다. 이러한 상호 작용은, 기판들 상의 상기 증착시 오염 또는 불균일성을 초래할 수 있다. 계면들이 일어나는 경우에, 데드 존들의 형성을 최소화하기 위하여 향상된 제조 기술들이 채용될 수 있다. 이러한 제조 기술들은 전자 빔 용접, 금속 밀봉 기술의 채택, 폭발 본딩 등을 포함한다. 또한, 매니폴리 어셈블리(10)는, 매니폴드 조립체(10)의 중앙 보어(28)에 인입되는 다양한 가스들의 온도를 개별적으로 제어하기 위하여 분산된 히터들(180)을 채용한다.
본 발명이 특정 바람직한 실시예들의 관점에서 개시되었지만, 당업자에게 자명한 다른 실시예들도 본 발명의 범위 내에 속한다. 따라서, 본 발명의 사상과 범위를 벗어나지 않고서도 다양한 변형과 변경이 실시될 수 있다. 예를 들면, 필요에 따라 다양한 구성 부재들이 재배치될 수 있다. 또한, 본 발명을 실시하기 위하여 특징들, 실시형태들 및 이점들 모두가 반드시 요구되는 것은 아니다.

Claims (24)

  1. 가스를 분산하도록 구성된 분산 조립체;
    상기 분산 조립체의 상류에 연결되고, 보어를 가지는 바디;
    상기 바디 상에 탑재되고, 상기 보어로 제 1 반응종 가스의 공급을 제어하도록 구성된 제 1 반응종 밸브;
    상기 바디 상에 탑재되고, 상기 보어로 제 2 반응종 가스의 공급을 제어하도록 구성된 제 2 반응종 밸브;
    상기 바디 상에 탑재되고, 상기 보어로 비활성 가스의 공급을 제어하도록 구성된 비활성 가스 밸브; 및
    상기 제 1 반응종 밸브, 상기 제 2 반응종 밸브 및 상기 비활성 가스 밸브 중 적어도 하나와 상기 바디 사이에 배치된 제 1 스페이서 블록을 포함하는 원자층 증착 장치.
  2. 제 1 항에 있어서,
    상기 제 1 스페이서 블록은 상기 바디에 용접된 것을 특징으로 하는 원자층 증착 장치.
  3. 제 1 항에 있어서,
    상기 제 1 스페이서 블록은 기저 플레이트 및 캡을 포함하는 것을 특징으로 하는 원자층 증착 장치.
  4. 제 3 항에 있어서,
    상기 기저 플레이트 및 상기 캡은 함께 융합되는(fused) 것을 특징으로 하는 원자층 증착 장치.
  5. 제 1 항에 있어서,
    상기 제 1 스페이서 블록은 상기 바디와 상기 제 1 반응종 밸브 사이에 배치된 것을 특징으로 하는 원자층 증착 장치.
  6. 제 5 항에 있어서,
    상기 제 1 스페이서 블록과 상기 제 1 반응종 밸브 사이에 배치된 금속 밀봉을 더 포함하는 것을 특징으로 하는 원자층 증착 장치.
  7. 제 5 항에 있어서,
    상기 바디는 내부 비활성 가스 채널을 가지고, 상기 비활성 가스 밸브는 상기 내부 비활성 가스 채널로부터 상기 제 1 반응종 밸브로의 비활성 가스의 공급을 제어하도록 구성된 것을 특징으로 하는 원자층 증착 장치.
  8. 제 7 항에 있어서,
    상기 내부 비활성 가스 채널은 상기 제 1 스페이서 블록을 통하여 연장되는 것을 특징으로 하는 원자층 증착 장치.
  9. 제 5 항에 있어서,
    상기 바디와 상기 제 2 반응종 밸브 사이에 배치된 제 2 스페이서 블록을 더 포함하는 것을 특징으로 하는 원자층 증착 장치.
  10. 내부에 보어를 가지는 매니폴드 바디 및 반응로를 갖는 원자층 증착 장치에 가스들을 분배하는 방법으로서,
    제 1 반응종 밸브와 상기 보어 사이에 O-링들을 갖지 않는 제 1 통로를 통하여 상기 보어로 제 1 반응종 가스를 이송하는 단계;
    상기 제 1 반응종 가스의 유동을 억제하는 단계; 및
    상기 제 1 통로의 상류에서, 제 1 비활성 가스 밸브와 상기 제 1 통로 사이에 O-링들을 갖지 않는 라인을 통하여 상기 제 1 비활성 가스 밸브로부터 상기 제 1 반응종 밸브로 비활성 가스를 이송하는 단계를 포함하고,
    상기 라인의 적어도 일부는 상기 매니폴드 바디의 내부에 있는 원자층 증착 장치에 가스들을 분배하는 방법.
  11. 제 10 항에 있어서,
    상기 제 1 반응종 밸브와 상기 보어 사이의 일 지점에서 상기 제 1 반응종 가스를 가열하는 단계를 더 포함하는 것을 특징으로 하는 원자층 증착 장치에 가스들을 분배하는 방법.
  12. 제 10 항에 있어서,
    상기 보어 내에서 상기 제 1 반응종 가스를 소용돌이치게 하는 단계를 더 포함하는 것을 특징으로 하는 원자층 증착 장치에 가스들을 분배하는 방법.
  13. 제 10 항에 있어서,
    제 2 반응종 밸브와 상기 보어 사이에 O-링들을 갖지 않는 제 2 통로를 통하여 상기 보어로 제 2 반응종 가스를 이송하는 단계;
    상기 제 2 반응종 가스의 유동을 억제하는 단계; 및
    상기 제 2 통로의 상류에서, 제 2 비활성 가스 밸브와 상기 제 2 통로 사이에 O-링들을 갖지 않는 라인을 통하여 상기 보어로 상기 비활성 가스를 이송하는 단계를 더 포함하고,
    상기 라인의 적어도 일부는 상기 매니폴드 바디 내에 있는 것을 특징으로 하는 원자층 증착 장치에 가스들을 분배하는 방법.
  14. 제 13 항에 있어서,
    상기 제 1 반응종 가스의 공급으로, 상기 보어로 상기 제 2 반응종 가스의 공급을 교번시키는 단계를 더 포함하는 것을 특징으로 하는 원자층 증착 장치에 가스들을 분배하는 방법.
  15. 제 13 항에 있어서,
    적어도, 상기 보어로 상기 제 1 반응종 가스를 이송하는 단계와 상기 보어로 상기 제 2 반응종 가스를 이송하는 단계 사이의 시간에 상기 반응로를 배기시키는 단계를 더 포함하는 원자층 증착 장치에 가스들을 분배하는 방법.
  16. 가스를 분산하도록 구성된 분산 조립체;
    상기 분산 조립체의 상류에 연결되고, 보어, 제 1 내부 반응종 라인 및 제 2 내부 반응종 라인을 가지며, 상기 제 1 및 제 2 내부 반응종 라인들은 상기 보어와 연통되는 바디;
    상기 바디 상에 직접 탑재되고, 상기 제 1 내부 반응종 라인으로 제 1 반응종 가스의 공급을 제어하도록 구성된 제 1 반응종 밸브;
    상기 바디 상에 직접 탑재되고, 상기 제 2 내부 반응종 라인으로 제 2 반응종 가스의 공급을 제어하도록 구성된 제 2 반응종 밸브; 및
    상기 바디 상에 탑재되고, 상기 보어로 비활성 가스의 공급을 제어하도록 구성된 비활성 가스 밸브를 포함하는 원자층 증착 장치.
  17. 제 16 항에 있어서,
    상기 제 1 반응종 밸브 및 상기 제 2 반응종 밸브를 제어하도록 구성되고, 상기 제 1 내부 반응종 라인 및 상기 제 2 내부 반응종 라인으로 상기 제 1 반응종 가스 및 상기 제 2 반응종 가스의 공급을 각각 교번시키는 제어부를 더 포함하는 것을 특징으로 하는 원자층 증착 장치.
  18. 제 16 항에 있어서,
    상기 분산 조립체는 샤워헤드 조립체를 포함하는 것을 특징으로 하는 원자층 증착 장치.
  19. 제 16 항에 있어서,
    상기 보어의 적어도 일부는 상기 분산 조립체의 방향으로 감소하는 단면을 가지는 것을 특징으로 하는 원자층 증착 장치.
  20. 제 16 항에 있어서,
    상기 보어의 적어도 일부는 원뿔 형상을 갖는 것을 특징으로 하는 원자층 증착 장치.
  21. 제 20 항에 있어서,
    상기 제 1 내부 반응종 라인은, 상기 보어 내에 상기 제 1 반응종 가스의 소용돌이를 촉진하도록 상기 원뿔 형상의 보어를 통과하는 중심선을 기준으로 각을 갖는 것을 특징으로 하는 원자층 증착 장치.
  22. 제 21 항에 있어서,
    상기 원뿔 형상은 상기 분산 조립체의 방향으로 좁아지는 것을 특징으로 하는 원자층 증착 장치.
  23. 제 16 항에 있어서,
    상기 제 1 내부 반응종 라인은 상기 제 1 반응종 밸브와 상기 바디 사이에 O-링들을 갖지 않는 것을 특징으로 하는 원자층 증착 장치.
  24. 제 16 항에 있어서,
    상기 제 1 및 제 2 반응종 밸브들 그리고 상기 비활성 가스 밸브는 적어도 200 ℃의 온도에서 동작하도록 율속되는 것을 특징으로 하는 원자층 증착 장치.


KR1020147014131A 2006-01-19 2007-01-17 고온 원자층 증착용 인렛 매니폴드 KR101522725B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US76024306P 2006-01-19 2006-01-19
US60/760,243 2006-01-19
PCT/US2007/001114 WO2007084493A2 (en) 2006-01-19 2007-01-17 High temperature ald inlet manifold

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087020164A Division KR101474879B1 (ko) 2006-01-19 2008-08-18 고온 원자층 증착용 인렛 매니폴드

Publications (2)

Publication Number Publication Date
KR20140081895A KR20140081895A (ko) 2014-07-01
KR101522725B1 true KR101522725B1 (ko) 2015-05-26

Family

ID=38185832

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147014131A KR101522725B1 (ko) 2006-01-19 2007-01-17 고온 원자층 증착용 인렛 매니폴드
KR1020087020164A KR101474879B1 (ko) 2006-01-19 2008-08-18 고온 원자층 증착용 인렛 매니폴드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020087020164A KR101474879B1 (ko) 2006-01-19 2008-08-18 고온 원자층 증착용 인렛 매니폴드

Country Status (6)

Country Link
US (2) US7918938B2 (ko)
JP (1) JP5280861B2 (ko)
KR (2) KR101522725B1 (ko)
CN (1) CN101370963B (ko)
TW (1) TWI424084B (ko)
WO (1) WO2007084493A2 (ko)

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP2009224590A (ja) * 2008-03-17 2009-10-01 Tokyo Electron Ltd 基板処理装置
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8580044B2 (en) 2010-08-13 2013-11-12 Samsung Austin Semiconductor, L.P. Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
JP6097742B2 (ja) * 2011-05-27 2017-03-15 クリスタル・ソーラー・インコーポレーテッド エピタキシャル堆積によるシリコンウェハ
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
CN102868092A (zh) * 2011-07-08 2013-01-09 苏州徕卡节能电气技术有限公司 双前门节电柜
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5868219B2 (ja) * 2012-02-29 2016-02-24 株式会社フジキン 流体制御装置
JP5513544B2 (ja) * 2012-04-23 2014-06-04 東京エレクトロン株式会社 基板処理装置
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6001015B2 (ja) 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6446881B2 (ja) * 2014-07-17 2019-01-09 東京エレクトロン株式会社 ガス供給装置及びバルブ装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5800969B1 (ja) 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
KR102017962B1 (ko) 2014-09-17 2019-09-03 도쿄엘렉트론가부시키가이샤 샤워 헤드 및 성막 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) * 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102632725B1 (ko) 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
CN105839079B (zh) * 2016-06-07 2019-05-14 江苏微导纳米装备科技有限公司 真空镀膜装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102204297B1 (ko) * 2016-12-02 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 박막 캡슐화 처리 시스템 및 프로세스 키트
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6697640B2 (ja) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN109321897B (zh) * 2017-07-31 2022-01-07 北京北方华创微电子装备有限公司 一种原子层沉积***及方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
AU2018320958A1 (en) 2017-08-24 2020-03-26 Forge Nano, Inc. Manufacturing processes to synthesize, functionalize, surface treat and/or encapsulate powders, and applications thereof
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7119779B2 (ja) * 2018-08-30 2022-08-17 住友金属鉱山株式会社 成膜装置と成膜方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111286721A (zh) * 2018-12-06 2020-06-16 北京北方华创微电子装备有限公司 一种薄膜沉积方法及设备
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
SG11202105321TA (en) * 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
CN113396240A (zh) * 2019-03-11 2021-09-14 应用材料公司 用于基板处理腔室的盖组件设备及方法
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210017147A (ko) 2019-08-07 2021-02-17 주성엔지니어링(주) 가스 유입 장치 및 이를 이용한 기판 처리 장치
FI4013905T3 (fi) * 2019-08-12 2023-05-19 Kurt J Lesker Company Erittäin puhtaat olosuhteet atomimittakaavan prosessointiin
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021050395A1 (en) * 2019-09-10 2021-03-18 Applied Materials, Inc. Vapor delivery methods and apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146691A (zh) * 2020-02-13 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FI129868B (en) * 2021-03-30 2022-10-14 Beneq Oy Gas supply cup and gas manifold assembly
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI814435B (zh) * 2022-06-09 2023-09-01 友達光電股份有限公司 具抽氣結構的箱體
CN118136544A (zh) * 2022-12-02 2024-06-04 盛美半导体设备(上海)股份有限公司 一种整流装置
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备
CN116641041A (zh) * 2023-05-06 2023-08-25 拓荆科技(上海)有限公司 一种气体分配装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050208217A1 (en) * 2003-10-09 2005-09-22 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050263197A1 (en) * 1998-03-05 2005-12-01 Eidsmore Paul E Modular surface mount manifold

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5080549A (en) * 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5166092A (en) * 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5071460A (en) * 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
JPH01266715A (ja) * 1988-04-18 1989-10-24 Hitachi Ltd 薄膜成長装置
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4907534A (en) * 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JPH0364465A (ja) * 1989-08-02 1991-03-19 Fujitsu Ltd 有機金属気相成長法
JPH03166719A (ja) * 1989-11-27 1991-07-18 Mitsubishi Electric Corp 気相結晶成長装置
DE4006489A1 (de) * 1990-03-02 1991-09-05 Hoechst Ag Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH04145621A (ja) * 1990-10-08 1992-05-19 Furukawa Electric Co Ltd:The 半導体薄膜気相成長装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) * 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5248253A (en) * 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5229615A (en) * 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3405466B2 (ja) * 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) * 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3042335B2 (ja) * 1994-10-25 2000-05-15 信越半導体株式会社 気相成長方法及びその装置
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2000172343A (ja) * 1998-12-02 2000-06-23 Hitachi Ltd ガス供給装置と成膜装置
WO2001029282A2 (en) * 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US20020072164A1 (en) * 2000-09-13 2002-06-13 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050263197A1 (en) * 1998-03-05 2005-12-01 Eidsmore Paul E Modular surface mount manifold
US20050208217A1 (en) * 2003-10-09 2005-09-22 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms

Also Published As

Publication number Publication date
JP2009524244A (ja) 2009-06-25
CN101370963A (zh) 2009-02-18
WO2007084493A2 (en) 2007-07-26
US7918938B2 (en) 2011-04-05
TW200732501A (en) 2007-09-01
US20110162580A1 (en) 2011-07-07
WO2007084493A3 (en) 2007-10-04
KR101474879B1 (ko) 2014-12-19
TWI424084B (zh) 2014-01-21
CN101370963B (zh) 2012-03-28
KR20080106520A (ko) 2008-12-08
KR20140081895A (ko) 2014-07-01
US8372201B2 (en) 2013-02-12
JP5280861B2 (ja) 2013-09-04
US20080202416A1 (en) 2008-08-28

Similar Documents

Publication Publication Date Title
KR101522725B1 (ko) 고온 원자층 증착용 인렛 매니폴드
TWI490366B (zh) Cvd腔室之流體控制特徵結構
US7479303B2 (en) Method for chemical vapor deposition (CVD) with showerhead and method thereof
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
KR20180070971A (ko) 기판 처리 장치
US7273526B2 (en) Thin-film deposition apparatus
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7601223B2 (en) Showerhead assembly and ALD methods
JP4451221B2 (ja) ガス処理装置および成膜装置
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
US20040154538A1 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20190382895A1 (en) Blocker plate for use in a substrate process chamber
TW202334495A (zh) 基座總成及噴淋頭總成
JP2022077993A (ja) 反応器および関連する方法
KR20040098875A (ko) 배치 방식 반도체 제조 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180417

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190417

Year of fee payment: 5