JP4778655B2 - 1つまたは多くの被膜を基板に沈積する方法および装置 - Google Patents

1つまたは多くの被膜を基板に沈積する方法および装置 Download PDF

Info

Publication number
JP4778655B2
JP4778655B2 JP2001555911A JP2001555911A JP4778655B2 JP 4778655 B2 JP4778655 B2 JP 4778655B2 JP 2001555911 A JP2001555911 A JP 2001555911A JP 2001555911 A JP2001555911 A JP 2001555911A JP 4778655 B2 JP4778655 B2 JP 4778655B2
Authority
JP
Japan
Prior art keywords
gas
introduction device
space
gas introduction
reaction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001555911A
Other languages
English (en)
Other versions
JP2003525349A (ja
Inventor
シューマッハー、マルクス
ストルツィゼウスキー、ピョートル
ストラウハ、ゲルト
ダエルスベルク、マルティン
ジューゲンゼン、ホルガー
Original Assignee
アイクストロン、アーゲー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アイクストロン、アーゲー filed Critical アイクストロン、アーゲー
Publication of JP2003525349A publication Critical patent/JP2003525349A/ja
Application granted granted Critical
Publication of JP4778655B2 publication Critical patent/JP4778655B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1016Apparatus with means for treating single-crystal [e.g., heat treating]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、少なくとも一つの液体または固体の少なくとも1つの反応ガスおよび必要な場合は少なくともさらに一つの室温でガス状の反応ガス用の初期物質を使用して、1つまたは多くの被膜を反応室に配置される少なくとも一つの基板に作成する方法に関するものである。
【0002】
【従来の技術】
この種の方法およびこの種の装置は、WO95/02711またはWO99/02756により既知である。この両方の文書においてここでは詳細のすべてについて言及しない説明を明確に引用している。既知の装置は少なくとも一つの個別または混合した初期物質(前駆体)の貯蔵容器を備えている。さらに既知の方法では基板が特に1つまたは多数の支持体に配置される反応室を備え、その中て被膜が基板に形成される。制御装置により制御される供給装置は、初期物質を少なくとも一つの供給配管により貯蔵容器から初期物質が蒸発される領域に供給される。(いわゆる「蒸発器」)
【0003】
WO95/02711により既知の装置は、前駆体(初期物質)を「液滴の形状」で下流側に接続される温度調節される蒸発室に導入し、そこで蒸発されるかまたは直接容器を温度調整することによってガス状の製品を反応炉に供給する。
【0004】
この定期的な噴射において、すべての操作条件において反応室における反応ガスは十分に均等な配分にならない。
【0005】
さらに反応ガスは必ずしも最適な温度で反応室に噴射されないことが多い。
【0006】
US-PS5554220により既知の凝縮被膜生成装置においても同様な問題がある。
【0007】
【発明が解決しようとする課題】
本発明は一般的な装置およびそれに対応する方法を、従来の技術では発生する恐れのある供給されるガスの配分および/または作製される被膜の成分を持つ供給ガスの温度の不均等性による欠陥を防止するように発展させることである。
【0008】
【課題を解決するための手段】
この課題は請求項に示す本発明により解決される。
【0009】
本発明によれば、反応ガスは反応室に入る前でガス導入装置に導入され、使用される反応ガスの数より少ないかまたは同じ数の多数に分割されたガス通路を通り、種々の反応ガスが基板の寸法に対し均等に平均化されるが、基板の表面より前では実質的に互いに反応しないように、空間的に分離して反応室に供給するように配置した多くの出口開口を使用する。この反応ガスはそれぞれのガス通路でガス導入装置によって温度調整、すなわち加熱されるかまたは冷却され、特にガス温度は制御されるか一定温度に保持される。
【0010】
シャワーヘッドとも呼ばれるこのようなガス導入装置は、他のこの種の方法でも既知であるが、ガス導入装置でガスの温度調整および特に必要な場合は事前温度調整による温度制御が行なわれる本発明による形態は知られていない(US5871586)。
【0011】
供給されるガスの温度特別に簡単な調整、および特に制御は、個々のガスの温度をガス導入装置の水平および/または垂直温度勾配を異なった温度に調整するか制御することによって行なう。
【0012】
さらに本発明による方法では、ガス導入装置に供給されるガスの体積調整し特に制御する。ガス導入装置は少なくとも一つの搬送ガスおよび/または掃気ガスの導入に使用することができる。
【0013】
少なくとも一つの基板に被膜を形成する本発明による方法は、CVD、MOCVDまたはOVPD法(凝着被膜形成)に使用され、特に酸化材料のグループに属する例えばBaSrTiO3、PbZrTiO3、SrBi2Ta2O9のようなペロブスカイト、または被膜ペロブスカイトの製作、または有機被膜の製作、特に「小さな分子」および例えばOLEDまたは太陽電池のような薄い被膜部品の重合体に使用される。
【0014】
本発明による基板を被膜する反応炉、特に本発明による方法が使用できる構造は、少なくとも2つの異なるガスまたは混合ガスを別々に準備するガス供給装置、少なくとも一つの被膜する基板を少なくとも一つの加熱または冷却した支持体に配置した反応室、および少なくとも2つのガスまたは混合ガスが互いに分離されて反応室に供給され、グループに統合される多数のガス出口開口を備え、その数は別々に供給されるガスまたは混合ガスの数に対応し、ガス出口開口のそれぞれのグループからガスまたは混合ガスの1つが反応室に流出する少なくとも1つの温度調整するガス導入装置(シャワーヘッド)を備えている。
【0015】
このような反応炉は下記の特長によって改善されている。
・ ガス導入装置はガス出口開口を有する板を備えている。
・ 板は基板または支持体加熱または冷却、および/または加熱されたまたは冷却された基板または支持体によって、直接的または間接的に温度調整される。
・ 板とガス導入装置の基本体および/またはガス導入装置の基本体および熱冷却体または熱源の間に、ガスよって形成される調整可能な熱抵抗体が配置される。
【0016】
本発明による構成では、ガス導入装置を例えば電気的に加熱する必要はない。ガス導入装置の温度調整はむしろ1つまたは多数の調整可能な熱抵抗によって行なわれ、高温の場所からガス導入装置へ、またはガス導入装置から反応室の低温の場所への熱の流れの調整また制御が可能となる。したがって簡単に構成され、しかも容易に正確に制御できるガス導入装置が得られる。
【0017】
特に支持体および/または基板を直接的または間接的に冷却または加熱し、支持体または基板からまたは支持体または基板への熱流を調整または制御する。
【0018】
本発明による装置の好ましい構成は次の特長によって特徴付けられる。
・ 出口開口の反対側に板が種々の別々に供給されるガスまたは混合ガスの緩衝空間に配置され、その数は少なくともグループの数に対応し、配管によってガス供給システムおよびそれぞれに対応するガス出口開口に流体的に接続される。
・ 緩衝空間は熱的に板および熱冷却体または熱源に結合される。
【0019】
これらの構成は、緩衝空間に存在するガスが希望する方法で温度調整できるよう十分長くガス導入装置に残留する利点がある。可変熱抵抗との結合は、特に少なくとも一つの媒体を調節可能な圧力にしながら中間空間で実施する。
【0020】
さらに緩衝空間を加熱または冷却される板の垂直な方向に重なり合ってガス導入装置のケースに配置すると好都合である。この構成によって区分した温度に対応する緩衝が得られ、簡単な方法で必要な場合はガスを種々な温度に温度調整することができる。
【0021】
垂直方向に半径対称としたケースの構成は、半径方向の均等な温度分布をもたらす。
【0022】
中間空間に異なる熱伝導率の媒体を使用した場合、簡単な方法で熱抵抗を調整することができる。媒体にガスまたは混合ガスを使用すると、特別に迅速な調整または制御が得られる。
【0023】
中間空間を基板が配置される空間に対して気密とする構成によって、反応室のガス流は乱されなくなる。
【0024】
熱源または熱冷却体として、請求項に示すように反応室の温度調整される部分を好都合に使用することができる。特に温度調整する板を、基板または支持体から熱輻射、熱伝導などによる熱移動により温度調整することができる。
【0025】
半径方向および/または垂直方向の温度勾配の調整のため、ガス導入装置を異なった種類に構成することができ、例えば少なくとも1種類の材料から構成させ、特に1つまたは多数の異なった材料による水平または垂直の多層構造として製作する。この場合多層組織の内部は温度調節のためダクトを設けることができる。材料として例えばアルミニウム、特殊鋼、水晶ガラスおよびセラミックを使用することができる。
【0026】
さらにガス導入装置は少なくとも1つの必要によって除去できる中間板を設け、垂直方向および/または水平方向に対する温度勾配の調整のため互いに向かい合ったガス導入装置の仕切り壁に熱的に結合させ、特に緩衝空間を制約することができる。特に少なくとも1つの中間板に少なくとも1つの開口を設けることができる。さらに少なくとも2つの中間板を熱的な橋状体によって垂直、水平および/または半径方向の熱の流れを結合することができる。また少なくとも1つの中間板をガス導入装置内のガスの方向転換に役立てることもできる。代わりにまたは追加して少なくとも1つの中間板をガス導入装置の外側に配置し衝撃防止板として役立てることもできる。
【0027】
さらに加熱した板に設けたガス出口開口の短管を、個々の緩衝空間に接続することができる。この場合開口を適切な形状に形成すると有利である。
【0028】
本発明による装置は、特に少なくともプロセスガスの一部が固体または液体の前駆物質から生成される被膜の製作に適している。さらにガス導入装置はプロセスガスに加えて少なくとも一つの搬送ガスおよび/または掃気ガスを供給することができる。
【0029】
本発明の実施例を以下添付した図面によって説明する。
【0030】
【発明の実施の形態】
ここで図式的に概略を図示した反応炉は壁31の形状をした反応炉周壁を備えている。この反応炉周壁31によって反応炉の底部32は囲まれる。例えば円筒状の構造を有する底部32に1つまたは多くの基板2の保持体である支持体14が置かれる。支持体14は下側から加熱器16によって加熱される。加熱器16の代わりに支持体14を例えば室温に保持するため冷却器を設けることもでき、これによって支持体14の上に置かれる基板2に凝縮による被膜を形成することができる。
【0031】
底部32または支持体14の上側に外部に対しガス気密に閉じられた空間1があり、反応室1を形成する。反応室1では支持体14の上側に配置されたガス導入装置8にガス3、4、5が供給される。これらのガスは反応ガスか反応ガスを含むもので、例えば支持体上に凝縮することができる。別の方法ではこれらのガスはガス相で、または好ましくは基板表面で化学的に互いに反応し、基板表面2は反応生成物によって被膜が形成される。被膜形成は結晶の成長が含まれる。被膜の成長は殆ど多結晶によって行なわれる。特別の場合は被膜の成長は単結晶で行なわれる。
【0032】
ガス導入装置8は反応炉のカバー19の空洞に設けられる。この反応炉のカバー19は図示しない加熱器または同様に図示しない冷却器によって事前設定された温度に保持される。ガス導入装置8は反応炉のカバー19との表面の接触はない。むしろ反応炉のカバー19とガス導入装置8の外表面の間の空間20がガスで掃気される。図1または図7にはこのための掃気ガス配管33が示され、これによって掃気ガス23を供給することができる。掃気ガスは反応室1に存在するプロセスガスに応じて選定される。これは不活性ガスであることか好ましい。MOCVDプロセスの場合は窒素または窒素と水素の混合物である。しかし水素であってもよい。例えば最初に説明した酸化プロセスのような別のプロセスの場合は希ガスの混合物、例えばヘリウムとアルゴンの混合物とすることができる。互いに極端に異なる熱伝導特性を備えたガスの混合物が好ましく、両方のガスの混合の割合を調整することによって反応炉のカバー19からガス導入装置8の熱の移動を調整することができる。熱の移動を熱伝導により確実に実施するため、空間20を適応する圧力に調節しなれればならない。反応室1のプロセス圧力がこの圧力より低いと、空間20は反応室1から絶縁される。これはガス気密または絞りの役目を果たす絶縁体29によって行なわれるので、ガスは空間20から反応室に流れることができる。空間20は幾つかの専用のガス排出配管を備えている。反応室の半径方向の外側にあるガス排出配管は図示していない。
【0033】
反応ガス3、4、5をガス貯蔵装置からガス導入装置8に供給する供給配管21、22が空間20を貫通する。ガス3、4は蒸気の形態で供給される液状の初期物質’、’であってもよい。初期物質3’、4’は、反応ガス3、4に昇華する固体であってもよい。固体材料3’または液体4’は、図1に図式的に示される容器7に保管される。容器7から出たガス3、4は配管21を経て反応室のカバー19を通りガス導入装置8に供給される。配管21には追加的に搬送ガスまたは掃気ガス13が送り込まれる。
【0034】
図9に図示した実施例において、液体の初期物質は温度調整される蒸発器38に供給される。初期物質はここで既知の方法によって表面接触または好ましくは温度の高い搬送ガスからの熱の供給によって蒸発され、ガス配管21を経て反応炉に供給される。この実施例で初期物質が入っている容器7は加熱されないことが好ましい。
【0035】
配管22によってガス状の初期物質5はガス導入装置8に到達する。
【0036】
ガス導入装置8の説明を図2を参照して実施する。ガス導入装置8は円板状のカバー板17を備え、そのなかに中心から縁に対して多くの星形に走るダクト24、25が配置される。ダクト24は配管21によって接続され、これによって反応ガス3、4が上部室9の外周に導かれる。ダクト25を通って供給配管22によって供給された反応ガス5は室9の下側にある室10の周辺部に流れる。空間9、10はガス気密されて互いに分離され緩衝空間を形成する。両方の緩衝空間9、10の分離は、中間板18によって行なわれカバー板17と同様に金属から製作することができる。中間板18およびカバー板17は,熱を伝導する橋状体26によって互いに結合される。橋状体26を除去するとカバー板17から中間板18への熱移動は緩衝空間9に供給される反応ガス3、4、または追加の搬送ガスまたは掃気ガス13およびガス導入装置8の外周部の熱伝導によって行われる。カバー板17はほとんど空間20を介しての熱伝導によって加熱されたり冷却されたりする。
【0037】
中間板18は多くの開口を備え、室10を貫通してガス導入装置8底板を形成する穴板15まで突き出す管27が接続される。板15および中間板18の間には緩衝空間10がありこの内部に反応ガス5が流れる。管27またはその出口開口11の間の空間に開口12が設けられ、緩衝空間10にある反応ガス5が流出することができる。
【0038】
板15は多数の互いに近接して設けられる出口開口11、12を有する穴板として構成される。管27に所属する出口開口11は専ら緩衝空間に存在する反応ガスおよびが排出される第1のグループを形成する。それぞれが出口開口11に隣接する第2のグループに所属する出口開口12は、緩衝空間10に存在する反応ガス5を排出する。
【0039】
緩衝空間9、10の圧力は出口開口11、12の直径および数に関連して、板15の全面積にわたって均等な流れの状態が発生するよう選定する。反応室1の高さは、出口開口11、12から流出するガス流が基板2までの間に混合するように選定する。
【0040】
中間板18から板15への熱の移動は熱伝導によって行なわれる。管が熱伝達材料から製作される場合の熱伝達は管27によって行われる。しかし熱伝達は緩衝空間に存在するガスによって実施することもできる。さらにガス導入装置8の外周から実施される。
【0041】
図3に図示したガス導入装置は上側緩衝空間9に中間板28を備えている。この中間板28は同様に橋状体26によってカバー板17に接続される。また橋状体26は同様に中間板28を中間板18に接続するため設けることもできる。さらに中間板18は衝突緩和壁の機能も有する。供給配管21からガス導入装置8に流出するガス流は中心に流れ、半径方向の外側に向きを変え中間板28の周辺に流れ、同時に外側から内側へ緩衝空間9を流れる。
【0042】
上記に説明した部品の温度調節/制御特性は図5によって明らかである。空間20の動作は、ここでは調節可能な抵抗として示される。ガス導入装置8も同様である。図1にT1で示した反応炉のカバー19の位置は、例えば1000℃の温度T1となる。基板2の表面温度T4は約200℃となる。この両方の温度は反応炉のカバー19の加熱、または支持体14の加熱または冷却によって調節することができる。形状、または空間20のガス、または緩衝空間9、10のガス3、4、5または13の成分または圧力の変化によって、温度T2、T3およびカバー板17または板15の温度が調整される。
【0043】
温度経過を図6に示す。板15は例えば400℃の低い温度となる。カバー板17は例えば800℃の温度となる。
【0044】
上記に説明した装置によって実施でき別のプロセスにおいて、基板2は加熱器16による適切な加熱によって、例えば冷却によって室温に保持される反応炉カバーの温度T1より高い温度になる。空間20のガスおよびその圧力の適切な選定、およびガス導入装置8における流れのパラメータまたは形状の調整によって、温度T2またはT3を制御することができる。例えば反応ガスが反応温度より高い温度で分解するガスの場合は、パラメータをこれらのガスが付属する緩衝空間の温度を分解温度より低くするように調節する。凝縮温度より低い温度で反応ガスが凝縮する恐れのある反応ガスの場合は、緩衝空間の対応する温度をそれに応じて高く保持する。
【0045】
配管21、22を通じてガス導入装置に進入するガスは、ガス導入装置8によって温度調整される。
【0046】
図8に図示する実施例においては、温度調整はダクト34、35または36を流れる媒体例えばガスによって行なわれる。温度調整は加熱導線によって実施することができる。ダクト34は穴板15を横断している。ダクト34を通って冷却または加熱媒体が流れる。ダクト3は中間板18に配置される。このダクトを通って冷却または加熱媒体が流れる。最後にカバー板17にもダクト36が設けられ、同様に媒体を流すことができる。図8にはダクト34、35、36を図式的に示してあるだけである。ダクトは板が均等に温度調整されるよう個々の板に配置する。例えば板にダクトを波状に貫通させる。ダクトはそれぞれの端部を互いに接続する穴で形成することができる。またダクトを溝として加工した後板で覆うことも可能で、板15、18、17は2つの互いに重なった互いに結合された板で構成される。ガス導入装置8またはその板は水平方向の多層構造物として構成される。
【0047】
ガス出口開口11、12をできるだけ確実に層流で流出するため、開口は漏斗状に広げる。これは図4に示される。
【0048】
図7に示した実施例では、ガス導入装置は図3または図4に対応して構成される。この実施例では空間20に衝突緩和板30の形状し別の中間板が設けられる。この衝突緩和板30に向って、配管33によって空間20に進入するガス23が流れる。この実施例の場合は追加のガス配管が空間20に導入される。この配管33’は衝突緩和板30を貫通して突き出し、配管33’から流出するガス流23’はカバー板17に向って流れる。
【0049】
空間20に供給される掃気ガス23、23’は温度調整することができる。
【0050】
開示されたすべての特徴は本発明に対し基本的なものである。従って、対応する/添付の優先書類(事前出願のコピー)の開示もまたすべて本出願の開示内に含まれるものであり、その目的のためこれらの書類の特徴もこの出願の請求事項に含まれるものである。
【発明の効果】
供給されるガスの配分および/または作製される被膜の成分を持つ供給されるガスの温度の不均等性による欠陥を防止することができる。
【図面の簡単な説明】
【図1】 本発明による装置の図式的断面図である。
【図2】 図式化したガス導入装置の拡大断面図である。
【図3】 図2に変更を加えた図である。
【図4】 ガス導入装置の板の拡大図である。
【図5】 緩衝体積の技術的作用の接続図的な図である。
【図6】 緩衝体積の範囲の温度経過である。
【図7】 本発明の別の実施例に対する図1による図である。
【図8】 本発明の別の実施例に対する図2による図である。
【図9】 別の実施例の図1による図である。

Claims (17)

  1. 少なくとも1つの液体または固体の初期物質(3’、4’)から生じる少なくとも1つ反応ガス(3、4)を使用して、かつ、必要な場合はさらに別の少なくとも1つの室温でガス状の反応ガス(使用して、反応室内で温度調整される支持体(14)に配置される少なくとも1つの基板に1つまたは多数の被膜を形成するための方法であって
    液体または固体の初期物質(3’、4’)が1つまたは多数の蒸発器(7)で、反応室(1)に供給される前に液体相または固体相から直接蒸気相に移行され、
    ガス導入装置(8)に供給される反応ガス(3,4,5)は、それらの使用される反応ガス(3、4、5)の数より少ないかまたは同じ数の複数に分割されたガス通路(9、10)を通り、そのガス通路(9、10)は多数の出口開口(11、12)を使用し、
    使用される反応ガス(3、4、5)が基板表面上に均等に平均化して供給され、
    反応ガス(3、4、5)はそれぞれのガス通路(9、10)でガス導入装置(8)によって温度調整され、ガス導入装置(8)の支持体(14)に対向する一方の面は支持体(14)と熱の授受を行ない、ガス導入装置(8)のはガス掃気される空間(20)を介して熱を熱冷却体に放出し又は熱源より吸収し、その空間(20)の熱抵抗は掃気ガスの成分の変更によって調整可能である、上記方法において、
    反応ガス(3、4、5)は基板の表面と実質的に反応しないよう反応室(1)に空間的に分離して供給され、
    ・反応室(1)に対して空間(20)を絶縁および絞り(29)によって分離することにより、空間(20)の熱抵抗は掃気ガスの変化によって調整可能であり、および
    ス導入装置(8)に配置されガス通路(9、10)によって構成されるガス導入装置(8)の両方の面の間の緩衝空間の熱抵抗が、反応ガス(3、4、5)の圧力および/または成分の変更によって可変に調整される
    ことを特徴とする方法。
  2. ガス導入装置(8)内で個々のガスの温度を水平方向および/または垂直方向の温度勾配の調整によって異なった温度に調整または制御することを特徴とする請求項1による方法。
  3. を形成するための方法がCVD、MOCVDまたはOVPD法であることを特徴とする請求項1またはよる方法。
  4. BaSrTiO 、PbZrTiO 、SrBi Ta を含む酸化材料のグループに属するペロブスカイトまたは被膜ペロブスカイトである1成分、2成分または多成分の酸化材料を製作するために、または、OLEDまたは太陽電池のための有機薄膜を製作するために使用されることを特徴とする請求項1ないし3の何れかによる方法。
  5. ス導入装置(8)が少なくとも1つの搬送ガス(13)および/または掃気ガスの供給のために使用されることを特徴とする請求項1ないし4の何れかによる装置。
  6. 求項1ないし5のいずれかによる方法を使用して基板(2)に被膜を形成する装置であって
    ・少なくとも2つの異なるガス(3、4、5)または混合ガスを分離して準備するガス供給容器(7)
    ・少なくとも1つの被膜を生成させる基板(2)を少なくとも1つの加熱または冷却した支持体(14)に配置する反応室(
    ・少なくとも2つのガス(3、4、5)または混合ガスを複数のガス通路(9、10)を経て分離して反応室(1)に供給し、多数のガス出口開口(11、12)備えた少なくともつのガス導入装置(8)
    ・ガス出口開口(11、12)を備えてガス導入装置(8)の支持体(14)に対向する一方の面に設けられ基板(2)または支持体(14)の加熱器(16)または冷却器、および/または加熱されたまたは冷却された基板(2)または支持体(14)と直接的または間接的に熱の授受を行なう板(15)
    ガス導入装置(8)の他方の面と熱冷却体または熱源の間で熱の授受を行なうためのガス掃気される空間(20)であってその熱抵抗は掃気ガス成分の変更によって調整できる空間(20)、を備えた装置において、
    ・空間(20)と反応室(1)の絶縁および絞り(29)によって、掃気される空間(20)の熱抵抗が掃気ガスの圧によって調整でき、
    ・板(15)および空間(20)の間に配置され、ガス導入装置に設けられ、ス通路(9,10)で構成される少なくとも1つの緩衝空間の熱抵抗が、空間(9、10)のガス(3、4、5)の圧力および/またはガスの成分で調整でき、
    ・ガス出口開口(1112)がグループにまとめられ、その数は分離された個々のガス(3、4、5)または混合ガスに対応し、ガス出口開口(11、12)のそれぞれのグループからガス(3、4、5)または混合ガスの1つが反応室に流出する、
    ことを特徴とする装置。
  7. 空間(9、10)が、加熱または冷却される板(15)と垂直方向に配置されかつガス導入装置(8)のケース(15、17)内にて上下に配置されることを特徴とする請求項による装置。
  8. 源(19)または熱冷却体は反応炉の温度調整される部分であり冷却体は反応炉の冷却された部分であり、冷却または加熱される反応炉カバー(17)であることを特徴とする請求項6または7によ装置。
  9. ス導入装置(8)が水平方向または垂直方向の多層組織として1つまたは多くの異なる材料から製作されることを特徴とする請求項ないし8の何れかによる装置。
  10. ス導入装置(8)は垂直方向および/または水平方向の温度勾配を調整するため、ガス導入装置(8)の互いに対向する仕切り壁(15、17)に熱的に結合(26、27)されかつ2つのガス通路(9、10)の間に位置する少なくともつの第1の中間板(18)を備えることを特徴とする請求項ないし9の何れかによる装置。
  11. なくともつの第1の中間板(1)に少なくとも一つの開口を備えたことを特徴とする請求項10による装置。
  12. なくとも1つの第1の中間板(18)及び1つのガス通路(9)内に位置する少なくとも1つの第2の中間板(28)が、垂直、水平および/または半径方向の熱流を調整するため、熱的な橋状体(26、27)によって結合されることを特徴とする請求項10又は11の何れかによる装置。
  13. なくとも1つの第2の中間板(28)がガス導入装置(8)内においてガスの方向転換の役目を果たすことを特徴とする請求項12による装置。
  14. なくとも1つの第3の中間板(30)が、ガス導入装置(8)の外部の空間(20)に配置され衝緩和板として機能することを特徴とする請求項ないし13の何れかによる装置。
  15. 第1の中間板(18)が緩衝空間(9、10)を分離することを特徴とする請求項10による装置。
  16. ス導入装置(8)の少なくとも1つの仕切り壁(17)の内部に少なくとも1つのダクト(24、25)が設けられ、ガス導入装置(8)おける温度勾配調整することを特徴とする請求項10による装置。
  17. 管(2)がガス出口開口(11)少なくとも1つの緩衝空間(9)を接続することを特徴とする請求項ないし16の何れかによる装置。
JP2001555911A 2000-02-04 2001-02-02 1つまたは多くの被膜を基板に沈積する方法および装置 Expired - Fee Related JP4778655B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE10004899 2000-02-04
DE10004899.4 2000-02-04
PCT/EP2001/001103 WO2001057289A1 (de) 2000-02-04 2001-02-02 Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat

Publications (2)

Publication Number Publication Date
JP2003525349A JP2003525349A (ja) 2003-08-26
JP4778655B2 true JP4778655B2 (ja) 2011-09-21

Family

ID=7629796

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001555911A Expired - Fee Related JP4778655B2 (ja) 2000-02-04 2001-02-02 1つまたは多くの被膜を基板に沈積する方法および装置

Country Status (9)

Country Link
US (1) US6849241B2 (ja)
EP (1) EP1252363B1 (ja)
JP (1) JP4778655B2 (ja)
KR (1) KR100780143B1 (ja)
AT (1) ATE249532T1 (ja)
AU (1) AU2001242363A1 (ja)
DE (1) DE50100603D1 (ja)
TW (1) TWI289611B (ja)
WO (1) WO2001057289A1 (ja)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP4141613B2 (ja) * 2000-03-09 2008-08-27 富士通株式会社 密閉サイクル冷凍装置および密閉サイクル冷凍装置用乾式蒸発器
AU2001283944A1 (en) * 2000-09-22 2002-04-02 Aixtron Ag Gas inlet mechanism for cvd-method and device
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6734038B2 (en) * 2001-09-04 2004-05-11 The Trustees Of Princeton University Method of manufacturing high-mobility organic thin films using organic vapor phase deposition
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
EP1434897A1 (de) * 2001-10-08 2004-07-07 Aixtron AG Verfahren und vorrichtung zum abscheiden einer vielzahl von schichten auf einem substrat
US7067170B2 (en) * 2002-09-23 2006-06-27 Eastman Kodak Company Depositing layers in OLED devices using viscous flow
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
KR20060064067A (ko) * 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
KR100521698B1 (ko) * 2003-12-04 2005-10-14 한국전자통신연구원 시간 분할 폴리머 박막 증착 장치 및 방법
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
DE102005003984A1 (de) 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060185590A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7645483B2 (en) * 2006-01-17 2010-01-12 Eastman Kodak Company Two-dimensional aperture array for vapor deposition
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
JP2007227086A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 成膜装置および発光素子の製造方法
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7556840B2 (en) * 2006-06-30 2009-07-07 Caterpillar Inc. Coating using metal organic chemical vapor deposition
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
KR101128747B1 (ko) 2007-09-10 2012-03-23 가부시키가이샤 알박 유기 박막 제조 방법
KR101132581B1 (ko) 2007-09-10 2012-04-06 가부시키가이샤 알박 유기 재료 증기 발생 장치, 성막원, 성막 장치
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100111650A1 (en) * 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
JP5042354B2 (ja) 2008-02-26 2012-10-03 株式会社アルバック 開閉バルブ
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8673081B2 (en) 2009-02-25 2014-03-18 Crystal Solar, Inc. High throughput multi-wafer epitaxial reactor
US8298629B2 (en) 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
TW201039381A (en) * 2009-04-29 2010-11-01 Applied Materials Inc Method of forming in-situ pre-GaN deposition layer in HVPE
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
WO2011105830A2 (ko) * 2010-02-26 2011-09-01 주식회사 테라세미콘 다결정 실리콘층 제조방법 및 이를 위한 금속 혼입층 형성장치
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9205392B2 (en) 2010-08-30 2015-12-08 Entegris, Inc. Apparatus and method for preparation of compounds or intermediates thereof from a solid material, and using such compounds and intermediates
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
DE102011002146B4 (de) * 2011-04-18 2023-03-09 Aixtron Se Vorrichtung und Verfahren zum Abscheiden von Halbleiterschichten mit HCI-Zugabe zur Unterdrückung parasitären Wachstums
JP6097742B2 (ja) 2011-05-27 2017-03-15 クリスタル・ソーラー・インコーポレーテッド エピタキシャル堆積によるシリコンウェハ
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI583442B (zh) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4之製造程序
EP2766468B1 (en) 2011-10-10 2019-02-06 DASGIP Information and Process Technology GmbH Method for controlled operation of a biotechnological apparatus and bioreactor systems
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
CN103614707B (zh) * 2013-11-25 2015-08-05 扬州中科半导体照明有限公司 一种提高mocvd外延片均匀性的石墨盘
DE102014106523A1 (de) 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102014115497A1 (de) * 2014-10-24 2016-05-12 Aixtron Se Temperierte Gaszuleitung mit an mehreren Stellen eingespeisten Verdünnungsgasströmen
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102369676B1 (ko) 2017-04-10 2022-03-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102474847B1 (ko) * 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20210155812A (ko) * 2019-05-31 2021-12-23 어플라이드 머티어리얼스, 인코포레이티드 기판들 상에 막들을 형성하기 위한 방법들 및 시스템들
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN110983300B (zh) * 2019-12-04 2023-06-20 江苏菲沃泰纳米科技股份有限公司 镀膜设备及其应用
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020112568A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlassorgan für einen CVD-Reaktor
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195595A (ja) * 2020-06-15 2021-12-27 東京エレクトロン株式会社 シャワープレート及び成膜装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114790543A (zh) 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和***
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115558905B (zh) * 2022-12-01 2023-07-07 浙江晶越半导体有限公司 一种提高碳化硅沉积速率与均匀性的方法与反应器
CN115537768B (zh) * 2022-12-01 2023-07-07 浙江晶越半导体有限公司 一种碳化硅化学气相沉积方法及多热源水平壁热式反应器

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05343331A (ja) * 1992-06-05 1993-12-24 Hitachi Ltd Cvd装置
JPH07302765A (ja) * 1994-05-02 1995-11-14 Nippon Asm Kk 空冷式の処理装置および該装置を利用して連続して被処理体を処理する方法
JPH0891989A (ja) * 1994-06-14 1996-04-09 Thomas Swan & Co Ltd 化学蒸着に関する改良
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JPH09232298A (ja) * 1996-02-21 1997-09-05 Nec Corp プラズマcvd装置およびそのクリーニング方法
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
DE19813523C2 (de) * 1998-03-26 2000-03-02 Aixtron Ag CVD-Reaktor und dessen Verwendung

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05343331A (ja) * 1992-06-05 1993-12-24 Hitachi Ltd Cvd装置
JPH07302765A (ja) * 1994-05-02 1995-11-14 Nippon Asm Kk 空冷式の処理装置および該装置を利用して連続して被処理体を処理する方法
JPH0891989A (ja) * 1994-06-14 1996-04-09 Thomas Swan & Co Ltd 化学蒸着に関する改良
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JPH09232298A (ja) * 1996-02-21 1997-09-05 Nec Corp プラズマcvd装置およびそのクリーニング方法
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法

Also Published As

Publication number Publication date
EP1252363B1 (de) 2003-09-10
WO2001057289A1 (de) 2001-08-09
EP1252363A1 (de) 2002-10-30
WO2001057289B1 (de) 2002-02-07
KR100780143B1 (ko) 2007-11-27
AU2001242363A1 (en) 2001-08-14
US6849241B2 (en) 2005-02-01
KR20020089341A (ko) 2002-11-29
ATE249532T1 (de) 2003-09-15
TWI289611B (en) 2007-11-11
US20030056720A1 (en) 2003-03-27
JP2003525349A (ja) 2003-08-26
DE50100603D1 (de) 2003-10-16

Similar Documents

Publication Publication Date Title
JP4778655B2 (ja) 1つまたは多くの被膜を基板に沈積する方法および装置
US7201942B2 (en) Coating method
KR100496914B1 (ko) 기화장치
CN102056679A (zh) 聚对苯二亚甲基或聚取代的对苯二亚甲基薄层的沉积方法和装置
JP5036516B2 (ja) 有機物、及び/又は、無機物が多成分である有機物と無機物の複合薄膜の蒸着方法及び蒸着装置
JP4074574B2 (ja) 有機物気相蒸着装置
JP4933894B2 (ja) 気化器モジュール
JP3534940B2 (ja) 薄膜気相成長装置
JP4677873B2 (ja) 成膜装置
KR100322411B1 (ko) 액체원료 기화장치
JP2721222B2 (ja) プラズマcvd用原料ガス供給装置
KR100631719B1 (ko) 플라즈마 중합장치의 가스 공급 구조
KR101490438B1 (ko) 증착장비의 기화기
JPH06168877A (ja) 半導体装置の製造装置
JPS61269307A (ja) Cvd装置
JP2003201566A (ja) 化学気相堆積装置
JPS62169410A (ja) 気相成長装置
JPH01279763A (ja) 気相成長装置
JPH09246195A (ja) 縦型気相成長装置
JPH03249178A (ja) Cvd装置
JPS6076127A (ja) 薄膜形成装置
JPH10280149A (ja) ガス噴射装置
JPS61117280A (ja) 気相反応装置
JPH03247768A (ja) 薄膜成長装置
JPH02244614A (ja) 気相成長装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110519

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110614

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110704

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140708

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees