KR100614801B1 - 반도체 장치의 막 형성방법 - Google Patents

반도체 장치의 막 형성방법 Download PDF

Info

Publication number
KR100614801B1
KR100614801B1 KR1020040051855A KR20040051855A KR100614801B1 KR 100614801 B1 KR100614801 B1 KR 100614801B1 KR 1020040051855 A KR1020040051855 A KR 1020040051855A KR 20040051855 A KR20040051855 A KR 20040051855A KR 100614801 B1 KR100614801 B1 KR 100614801B1
Authority
KR
South Korea
Prior art keywords
film
titanium nitride
forming
chamber
nitride film
Prior art date
Application number
KR1020040051855A
Other languages
English (en)
Other versions
KR20060003939A (ko
Inventor
서정훈
박영욱
홍진기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040051855A priority Critical patent/KR100614801B1/ko
Priority to US11/154,110 priority patent/US7439192B2/en
Publication of KR20060003939A publication Critical patent/KR20060003939A/ko
Application granted granted Critical
Publication of KR100614801B1 publication Critical patent/KR100614801B1/ko
Priority to US12/212,466 priority patent/US7902090B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 장치의 막 형성방법에 있어서, 유전막이 형성된 기판 상에 원자층적층 방법을 사용하여 제1 온도에서 20 내지 80Å의 두께를 갖는 제1 티타늄 질화막을 형성한다. 제1 티타늄 질화막 상에 화학기상증착 방법을 사용하여 제1 온도 이상의 제2 온도에서 제2 티타늄 질화막을 형성한다. 따라서, 우수한 전류 특성을 갖는 티타늄 질화막을 형성함과 동시에 티타늄 질화막을 형성하는 공정의 생산성을 향상시킬 수 있게 된다.

Description

반도체 장치의 막 형성방법{METHOD FOR FORMING A LAYER IN A SEMICONDUCTOR DEVICE}
도 1은 종래의 화학기상증착 방법을 사용하여 티타늄 질화막을 형성하는 공정을 설명하기 위한 타이밍도이다.
도 2 및 도 3은 각각 상이한 온도에서 티타늄 질화막을 형성한 결과를 나타내는 SEM사진이다.
도 4는 종래의 화학기상증착 방법을 사용하여 티타늄 질화막을 형성하는 공정을 설명하기 위한 타이밍도이다.
도 5는 종래의 원자층적층 방법을 사용하여 티타늄 질화막을 형성하는 공정을 설명하기 위한 타이밍도이다.
도 6a 내지 도 6g 본 발명의 일 실시예에 의한 반도체 장치의 막 형성방법을 설명하기 위한 단면도들이다.
도 7은 본 발명의 제1 실시예에 따른 반도체 장치의 막 형성 장치의 단면도이다.
도 8a 및 도 8b는 도 7에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정단계들을 나타낸 단면도들이다.
도 9는 본 발명의 제2 실시예에 따른 반도체 장치의 막 형성 장치의 단면도이다.
도 10a 및 도 10b는 도 9에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정단계들을 나타낸 단면도들이다.
도 11은 본 발명의 제3 실시예에 따른 반도체 장치의 막 형성 장치의 단면도이다.
도 12a 및 도 12b는 도 11에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정단계들을 나타낸 단면도들이다.
<도면의 주요부분에 대한 부호의 설명>
10, 110, 210, 310: 챔버 12,120,220,320: 기판
20: 제1 반응물질 22: 제2 반응물질
30: 단일원자층 40, 50, 170, 270, 380: 제1 막
60, 180, 280, 385: 제2 막 70, 190, 290, 390: 반도체장치의 막
100, 200, 300: 막 형성장치 130, 230, 330: 스테이지
132, 232, 332: 히터 134, 238, 338: 열교환기
140, 240, 340: 샤워헤드 142, 236: 구동원
144, 234: 신축부재 150, 250, 350: 백사이드가스 도입관
160, 260: 거리조절유닛 334: 제2 신축부재
336: 제2 구동원 342: 제1 구동원
344: 제1 신축부재 360: 제1 거리조절유닛
370: 제2거리조절유닛
본 발명은 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체 장치의 막 형성장치에 관한 것으로, 보다 상세하게는 동일 챔버 내에서 원자층적층 방법(atomic layer deposition process; 이하, 종종 ALD라 한다.) 및 화학기상증착 방법(chemical vapor deposition process; 이하, 종종 CVD라 한다.)을 이용한 반도체 장치의 막 형성방법에 관한 것이다.
근래에 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 메모리 소자도 비약적으로 발전하고 있다. 그 기능 면에 있어서 반도체 메모리 소자는 고속으로 동작하는 동시에 대용량의 저장능력을 가질 것이 요구된다. 이러한 요구에 부응하여 반도체 제조 기술은 소자의 집적도, 신뢰성, 응답속도 등을 향상시키는 방향으로 발전되어 왔다.
이러한 고속 소자를 구현하기 위하여, 최근 반도체 소자를 제작하는 경우에 있어서 고강도, 양호한 전기전도도, 높은 용융점 등의 우수한 재료적 특성을 갖는 티타늄 질화물(TiN)을 많이 사용하고 있다. 특히, 티타늄 질화막은 우수한 전기 전도도와 낮은 확산율로 인하여 금속배선과 반도체 재료 사이의 확산 방지막으로 많이 쓰인다.
한편, 종래에는 낮은 비저항과 공정의 용이성 때문에 배선재료로서 주로 알루미늄을 사용하였다. 그러나, 최근에는 알루미늄보다 비저항이 작고 응력에 대한 저항성이 큰 구리를 배선재료로서 사용하고 있다. 구리는 스텝커버리지(step-coverage) 개선을 위하여 화학기상증착 공정을 수행할 수 있는 재료인 반면, 알루미늄에 비해서 건식식각이 어렵다. 또한, 상온에서 확산상수가 높아서 실리콘 기판에 박막을 형성할 때 실리콘 쪽으로 확산이 일어날 수 있기 때문에 소자의 성능이 열화될 우려가 있다. 또한 다른 물질과의 부착력이 좋지 않기 때문에 선택적인 성장으로 인한 기공 발생 등의 문제가 야기된다. 따라서 소자의 신뢰성을 향상시키기 위해서는 이들 사이의 반응을 막아줄 확산 방지막(diffusion barrier)이 필요하다. 이러한 확산 방지막으로서 티타늄 질화물이 많이 사용되고 있다. 또한 티타늄 질화물은 반도체 장치에 포함된 캐패시터의 전극으로 사용되기도 한다.
이러한 티타늄 질화물을 물리기상증착 방법(physical vapor deposition; PVD)에 의하여 증착하는 경우, 스텝커버리지가 불량해지기 때문에 고집적 소자의 적용에는 한계가 있다. 또한, 금속 유기 화학기상증착 방법(metalorganic chemical vapor deposition; MOCVD)을 적용할 경우에는 박막 내에 불순물로서 탄소와 산소가 포함되게 된다. 이러한 불순물들을 제거하고 비정질인 박막을 결정화시키기 위해서는 플라즈마 처리가 장시간 동안 진행되어야 하기 때문에 효율적이지 못하다.
상술한 바와 같은 문제점들을 보완하기 위한 방법으로 티타늄 염화물(TiCl4)가스를 증착 소오스 가스로 이용하는 화학기상증착 방법을 사용하고 있다. 도 1은 상술한 화학기상증착 방법을 사용하여 티타늄 질화막을 형성하는 공정을 설명하기 위한 타이밍도이다. 도 1을 참조하면, 티타늄 염화물 가스와 암모니아 가스를 도입하여 티타늄 염화물을 형성한 후, 질소 가스를 도입하여 챔버 내부를 퍼지한다. 이어서 암모니아가스를 사용하여 기 형성된 티타늄 질화막을 어닐링함으로써, 막 내에 잔류하는 염소 이온을 제거한다.
그러나, 상술한 화학기상증착 방법을 사용하여 반도체 장치에 포함된 커패시터의 상부전극 등으로 티타늄 질화막을 형성하는 경우에 있어서, 600℃ 이상의 고온에서는 염소이온(Cl-)이 하부막인 유전막으로 노출되는 문제점이 있다. 즉, 티타늄 염화물이 하프늄 산화막과 같은 하부막질과 반응함에 따라 하부막의 특성이 열화되어 누설전류가 발생하는 등 반도체 장치의 불량이 야기될 우려가 있다.
도 2 및 도 3은 각각 상이한 온도에서 티타늄 질화막을 형성한 결과를 나타내는 SEM사진이다. 도 2는 550℃ 미만의 온도에서 티타늄 질화막 형성 공정을 수행한 결과를 나타내는 SEM 사진이다. 도 2를 참조하면, 티타늄 질화물과 함께 부산물로서 TiClxNy가 생성되었음을 확인할 수 있다. 반면, 도 3은 550℃ 초과의 고온에서 티타늄 질화막 형성 공정을 수행한 결과를 나타내는 SEM사진이다. 도 3을 참조하면, 550℃ 초과의 온도에서 티타늄 질화막 형성공정을 수행한 경우 TiClxNy과 같은 부산물의 생성이 없이 티타늄 질화막이 형성되었음을 알 수 있다. 그러나 이러한 경우에도 상술한 바와 같이 Cl-의 노출로 인한 하부막 특성의 열화가 초래될 것이 다.
따라서, 이를 해결하기 위해 기존의 CVD chamber에서 NH3 anneal을 반복적으로 수행하여 Cl-의 노출을 최소화하려고 하였다. 도 4는 기존의 화학기상증착 방법에 있어서 암모니아 어닐링을 반복적으로 수행하여 티타늄 질화막을 형성하는 공정을 설명하기 위한 타이밍도이다. 도 4를 참조하면, 티타늄 염화물 가스와 암모니아 가스를 사용하여 티타늄 질화막을 형성한 후, 주기적으로 암모니아 가스를 도입하여 기 형성된 티타늄 질화막을 어닐링 한다. 그러나, 이는 생산성 측면에서 매우 불리하다.
또한, TiCl4 유량을 작게 적용하면서도 스탭커버리지를 확보하기 위해서 원자층 적층 방법을 적용하는 방법도 고려해볼 수 있다. 도 5는 상술한 원자층적층 방법을 사용하여 티타늄 질화막을 형성하는 공정을 설명하기 위한 타이밍도이다. 도 5를 참조하면, 티타늄 염화물 가스를 도입하여 단일원자층을 형성한다. 이어서 암모니아 가스를 도입하여 기 형성된 단일원자층과 반응시켜 티타늄 질화막을 형성한다. 그러나, 상술한 바와 같은 ALD 방식을 적용할 경우 역시 생산성이 감소하는 문제가 발생한다.
이에 따라, ALD 방식과 CVD 방식을 모두 적용하여 티타늄 질화막을 형성하는 방법이 대한민국특허출원 제2002-36718호에 개시되어 있다. 그러나 이는 각각 다른 챔버 내에서 ALD 공정과 CVD 공정을 수행하기 때문에, 동일 챔버 내에서 수행하는 경우보다 효율이 떨어진다.
따라서, 본 발명의 목적은 하부 막질의 손상을 방지하면서도 쓰루풋을 확보할 수 있는 원자층적층 방법과 화학기상증착 방법을 사용한 반도체 장치의 막 형성방법을 제공하는 것이다.
삭제
상술한 본 발명의 목적을 달성하기 위한 본 발명의 일 측면에 따른 반도체 장치의 막 형성 방법에 있어서, 먼저 유전막이 형성된 기판 상에 원자층적층 방법을 사용하여 제1 온도에서 20 내지 80Å의 두께를 갖는 제1 티타늄 질화막을 형성한다. 다음에, 상기 제1 티타늄 질화막 상에 화학기상증착 방법을 사용하여 상기 제1 온도 이상의 제2 온도에서 제2 티타늄 질화막을 형성한다.
본 발명의 목적을 달성하기 위한 일 실시예에 따른 반도체 장치의 막 형성방법은, 상기 제1 티타늄 질화막을 형성하기 전에 챔버의 상부에 상기 기판과 마주보도록 배치된 샤워헤드와 상기 기판 사이의 간격을 제1 간격으로 조절하는 단계를 더 포함할 수 있으며, 상기 제2 티타늄 질화막을 형성하기 전에 상기 샤워헤드와 상기 기판 사이의 간격을 상기 제1 간격보다 큰 제2 간격으로 조절하는 단계를 더 포함할 수 있다.
삭제
삭제
본 발명에 의하면, 동일 챔버 내에서 원자층 증착방법 및 화학기상증착 방법을 사용하여 티타늄 질화막을 형성한다. 원자층 증착 방법에 의해 제1 티타늄 질화막을 형성함으로써, 반도체 장치의 베리어막 또는 커패시터의 상부 전극을 형성할 때에 그 하부에 위치한 막질에 불순물이 침투하여 유전막과 같이 상기 하부 막질이나 베리어막 또는 상부 전극의 특성이 열화되는 것을 방지할 수 있다. 이어서, 화학기상증착 방법에 의해 제2 티타늄 질화막을 형성함으로써 반도체 장치의 쓰루풋을 향상시킬 수 있다. 결과적으로, 반도체 장치의 불량을 방지함으로서 반도체 장치의 수율을 향상시킬 수 있으며, 동시에 반도체 제조 공정의 생산성을 향상시킬 수 있게 된다.
이하, 첨부한 도면을 참조하여, 본 발명의 바람직한 실시예들에 따른 반도체 장치의 막 형성방법과 이를 수행하기 위한 반도체 장치의 막 형성장치를 상세히 설명한다.
반도체 장치의 막 형성 방법
도 6a 내지 도 6g 본 발명의 바람직한 실시예에 따른 반도체 장치의 막 형성방법을 설명하기 위한 단면도들이다.
도 6a 내지 도 6e를 참조하면, 반도체 기판(12)을 챔버(10) 내에 위치시킨 후, 상기 챔버(10) 내부에서 원자층적층 방법을 사용하여 제1 온도에서 반도체 기판(12) 상에 제1 막(40,50)을 형성한다. 이 경우, 제1 온도는 550℃ 이하로 유지하는 것이 바람직하다. 이는 상술한 범위 초과의 온도에서 티타늄 염화물 가스를 도입하는 경우 하부막질로 Cl-가 노출되어 안정적인 TiN 막을 얻을 수 없기 때문이다. 보다 구체적으로, 도 6a를 참조하면, 제1 반응물질(20) 또는 상기 제1 반응물질(20)을 포함하는 가스, 예컨대 티타늄 염화물 가스를 챔버(10) 내부로 도 입한다. 본 발명에 따른 반도체 장치의 막 형성방법에 있어서, 제1 반응물질(20)은 25sccm 이하의 유량으로 챔버(10) 내로 도입하는 것이 바람직하며, 보다 바람직하게는 10sccm 이하의 유량으로 챔버(10) 내로 도입한다. 원자층 적층방법을 사용하여 막을 형성하는 경우, 적은 유량으로도 기판(12) 상에 균일하게 단일원자층을 형성할 수 있기 때문에 25sccm 이상의 유량은 경제적인 측면에서 불필요하다. 만약 25sccm 이상의 유량으로 제1 반응물질(20)을 도입하면 후속의 퍼지 단계에서의 효율성이 떨어지므로 바람직하지 않다.
상술한 바와 같이 상기 제1 반응물질(20)을 챔버 내부로 도입함에 따라 상기 제1 반응물질들(20)의 일부분이 챔버(10) 내부에 있는 기판(12)의 공정표면 상에 화학흡착됨으로써, 상기 기판(12) 상에 단일원자층을 형성한다.
이어서 도 6b에 도시한 바와 같이, 화학흡착하지 않은 제1 반응물질(20)들을 챔버(10)로부터 제거하기 위한 제1 퍼지(purge)단계를 진행한다. 여기서, 화학흡착하지 않은 제1 반응물질들(20)이란 기판(12) 상에 물리흡착되는 제1 반응물질들(20)을 포함한다.
도 6c를 참조하면, 상술한 바와 같이 화학흡착하지 않은 제1 반응물질들(20)을 챔버(10)로부터 제거한 다음, 상기 챔버(10) 내부에 제2 반응물질들(22) 또는 제2 반응물질(22)을 포함하는 가스, 예를 들어 암모니아 가스 또는 질소 가스를 도입한다.
도 6d를 참조하면, 상술한 바와 같이 제2 반응물질(22)을 도입함에 따라, 기판(12) 상에 형성되어 있는 단일원자층(30)과 상기 제2 반응물질들(22)이 화학적으 로 반응하여 제1 막(40)이 형성된다. 이어서, 제1 반응물질들(도시되지 않음)에 적용한 것과 동일한 방법을 사용하여 상기 화학흡착하지 않은 제2 반응물질들(22)을 상기 챔버(10)로부터 제거시키기 위한 제2 퍼지 단계를 수행한다.
도 6e를 참조하면, 상술한 바와 같이 제1 및 제2 반응물질들(도시되지 않음)의 도입과 화학흡착하지 않은 제1 및 제2 반응물질들을 챔버(10)로부터 제거하는 상기 단계들을 반복적으로 수행함으로써 원하는 두께를 갖는 제1 막(50)을 형성할 수 있다. 이 경우, 제1 막(50)은 20Å 내지 80Å의 두께를 가지는 것이 바람직하며, 보다 바람직하게는, 35Å 내지 65Å의 두께를 갖는다. 상술한 두께보다 얇은 두께를 갖는 제1 막(50)은 후속의 CVD 증착공정에서 Cl-가 하부막으로 노출될 가능성이 있다. 또한 상술한 두께보다 두꺼운 두께를 갖는 제1 막(50)은 막 형성에 오랜 시간이 소요되므로, 생산성 측면에서 불리할 수 있다. 본 발명의 바람직한 실시예에 따른 반도체 박막 형성방법에 있어서 공정조건의 일 예를 하기 표 1에 나타낸다.
Figure 112004029541122-pat00001
이어서, 도 6f 및 6g를 참조하면, 상기 챔버(10) 내부에서 화학기상증착 방 법을 사용하여 제2 온도에서 상기 제1 막(50) 상에 제2 막(60)을 형성한다. 이 경우, 제2 온도는 제1 온도와 같거나, 제1 온도보다 높은 것이 바람직하며, 보다 구체적으로는 550℃ 이상으로 유지하는 것이 바람직하다. 이는 화학기상증착 방법을 사용하여 티타늄 질화막을 형성하는 경우, 상술한 범위 미만의 온도에서는 TiClxNy와 같은 불순물이 발생하여 안정적인 TiN 막을 얻을 수 없기 때문이다.
도 6f를 참조하면, 상기 챔버(10) 내부로 반응가스들(20, 22)을 도입한다. 이 경우 사용할 수 있는 반응가스들(20, 22)로는 티타늄 염화물가스와 암모니아 가스, 티타늄 염화물 가스와 질소 가스 또는 티타늄염화물 가스, 질소 가스 및 암모니아 가스 등을 사용할 수 있다. 본 발명에 따른 반도체 장치의 막 형성방법에 있어서, 티타늄염화물 가스는 10sccm 이상의 유량으로 챔버(10) 내로 도입하는 것이 바람직하며, 보다 바람직하게는 20sccm 이상의 유량으로 챔버(10) 내로 도입한다. 화학기상증착 방법을 사용하여 상술한 유량보다 적은 유량으로 막을 형성하는 경우 스텝커버리지가 열화될 수 있어 바람직하지 않기 때문이다.
도 6g를 참조하면, 상술한 바와 같이 반응가스들(도시되지 않음)을 챔버(10) 내부로 도입함에 따라, 반응가스들이 서로 화학반응하여 티타늄 질화물 등과 같은 물질을 형성한다. 이러한 물질이 상기 제1 막(50) 상에 증착되어 원하는 두께를 갖는 제2 막(60)을 형성한다.
상술한 바와 같이 반응가스들의 도입하여 물질을 형성하는 단계들을 반복적으로 수행함으로써 원하는 두께를 갖는 제2 막(50)을 형성할 수 있다. 이 경우, 본 발명에 따른 일 실시예에 의하면, 제2 막(60)은 150Å 내지 400Å의 두께를 갖는다. 그러나, 본 발명의 목적에 비추어 제2 막(60)의 두께는 상술한 범위에 한정되지 않고, 그 두께를 다양하게 변화시켜 반도체 장치에 적용할 수 있다.
본 발명의 바람직한 실시예에 따르면, 물질 형성 후, 챔버 내로 암모니아 가스를 도입하여 상기 형성된 물질을 어닐링 하는 단계를 선택적으로 수행할 수 있다. 이에 따라, 반응가스를 도입함에 따른 물질의 형성 및 형성된 물질을 암모니아 가스로 어닐링 하는 단계를 반복적으로 수행하여 원하는 두께를 갖는 제2 막을 형성할 수 있다. 본 발명의 일 실시예에 따른 반도체 박막 형성방법에 따른 공정조건의 일 예를 하기 표 2에 나타낸다.
Figure 112004029541122-pat00002
상술한 방법을 통하여, 제1 막(50)과 제2 막(60)으로 이루어진 반도체 장치의 막(70)을 형성할 수 있다. 바람직하게 상기 반도체 장치의 막(70)은 티타늄 질화물을 포함한다. 또한, 상기 반도체 장치의 막(70)은 금속이온의 확산을 방지하는 확산방지막이나 반도체 장치에 포함된 커패시터의 상부전극 또는 하부전극으로 적용할 수 있으며, 이 경우 상기 반도체 장치의 막(70)은 약 170Å 내지 약 480Å의 두께를 가질 수 있다.
반도체 장치의 막 형성 장치
실시예 1
도 7은 본 발명의 제1 실시예에 따른 반도체 장치의 막 형성 장치의 단면도이고, 도 8a 및 도 8b는 도 7에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정단계들을 나타낸 단면도들이다.
도 7을 참조하면, 본 발명의 제1 실시예에 따른 반도체 장치의 막 형성장치(100)는 반도체 기판(120)을 수용하는 챔버(110), 상기 챔버(110) 상부에 위치하며, 막을 형성하기 위한 반응가스를 상기 챔버(110) 내로 도입하는 샤워헤드(140), 상기 샤워헤드(140)와 상기 반도체 기판(120) 사이의 거리를 조절하기 위한 거리 조절 유닛(160) 및 상기 반도체 기판(120)을 지지하기 위한 스테이지(130)를 포함한다.
이 경우, 상기 거리조절 유닛(160)은 상기 샤워헤드(140)와 상기 챔버(110) 사이에 연결된 신축 부재(144) 및 상기 샤워헤드(140)를 이동시키기 위한 구동원(142)을 포함한다.
상기 신축부재(144)는 반도체 장치의 막을 형성하는 공정 수행 동안에, 증착 방법에 따라 적절하게 상기 샤워헤드(140)와 상기 기판(120) 사이의 거리를 조절할 수 있도록 길이의 신장이 가능한 벨로우즈관 또는 안테나 구조의 관일 수 있다. 또한, 상기 구동원(142)으로는 모터, 실린더, 리드 스크류 등을 사용할 수 있다.
도 7에 도시한 바와 같이 상기 구동원(142)은 샤워헤드(140)에 연결되어 있으며, 이 경우 상기 구동원(142)은 상기 샤워헤드(140)에 동력을 공급함으로써 샤 워헤드(140)를 기판(120)에 수직인 방향으로 전후진시킬 수 있다. 본 발명의 다른 실시예에 의하면, 샤워헤드(140)에 연결된 신축부재(144)와 연결되어 있는 구동원(도시되지 않음)도 사용할 수 있으며 이 경우, 상기 구동원은 상기 신축부재(144)에 동력을 공급함으로써 상기 샤워헤드(140)를 기판(120)에 수직인 방향으로 전후진시킬 수 있다.
상기 스테이지(130)는 상기 챔버(110)의 하부에서 상기 샤워헤드(140)와 대향하도록 위치하며, 상기 스테이지(130)는 상기 반도체 기판(120)의 온도를 조절할 수 있도록 히터(132)를 포함한다. 또한, 상기 히터(132)는 일정 온도의 용매를 순환시키거나 냉각 가스를 사용하여 히터(132)의 온도를 조절할 수 있는 열 교환기(134)를 포함할 수도 있다.
또한, 상기 반도체 장치의 막 형성장치(100)는 상기 스테이지(130)를 관통하며, 상기 기판(120) 하부로 아르곤과 같은 백사이드 가스를 흐르도록 하여 상기 반도체 기판(120)의 온도를 조절하기 위한 백사이드 가스 도입관(150)을 구비할 수도 있다. 이러한 백사이드 가스는 상기 히터(132) 내부의 열 교환기(134)의 역할과 동일하게 상기 기판(120)의 온도를 상승시키거나 하강시키는 역할을 할 수 있으며, 상기 반도체 장치의 막 형성장치(100)는 상기 열교환기(134)와 상기 백사이드 도입관(150)을 선택적으로 또는 모두 포함할 수 있다.
또한, 본 발명의 바람직한 실시예에 따르면, 원자층 적층 방법이나 화학기상증착 방법 수행 시에 플라즈마를 사용할 수 있도록, 반도체 장치의 막 형성장치(100)는 가스 도입부(도시되지 않음)를 감싸는 상부 전극(도시되지 않음) 과 주입된 가스에 고주파를 가하여 플라즈마 상태로 여기시키는 RF전원(도시되지 않음) 및 상부 전극 아래에 위치하며 도입된 가스를 플라즈마 상태로 여기시키는 버퍼공간(도시되지 않음)을 더 포함할 수 있다. 이러한 버퍼 공간 하부에는 버퍼 공간에서 플라즈마 상태로 여기된 가스를 기판 상으로 균일하게 증착시키기 위한 샤워헤드(140)가 구비된다. 이에 따라 챔버(110) 내에서 다이렉트로 플라즈마를 형성할 수 있다.
본 발명의 다른 실시예에 따르면 반도체 장치의 막 형성장치(100)는 챔버(110) 외부에 위치하며, 챔버(110) 내부로 플라즈마를 도입할 수 있는 리모트 플라즈마 발생부를 더 포함할 수도 있다.
이하, 도 8a 및 도 8b를 참조하여 도 7에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정 단계들을 구체적으로 설명한다.
도 8a를 참조하면, 우선 챔버(110) 내부에 반도체 기판(120)을 위치시킨다. 이어서, 구동원(142)에서 샤워헤드(140)로 동력을 공급하여, 샤워헤드(140)를 기판(120) 방향으로 하강시킨다. 도 8a에 도시한 바와 같이, 적절한 거리, 바람직하게는 약 25mm 이하의 간격을 유지하면서 제1 온도에서 원자층 적층 방법을 사용하여 제1 막(170)을 형성한다. 샤워헤드(140)와 기판(120)사이의 간격이 약 25mm를 초과하는 경우, 후속의 퍼지단계에서의 효율성이 저하되어 바람직하지 않기 때문이다. 원자층 적층 방법을 사용하여 제1 막(170)을 형성하는 방법은 상술한 바와 같으므로 구체적인 설명은 생략한다.
이어서, 챔버(110) 내부를 퍼지한 후, 아르곤과 같은 백사이드 가스를 백사 이드 가스 도입관(150)을 통하여 챔버(110) 내부로 도입하여 기판(120)의 온도를 제 1 온도에서 제 2온도로 상승시킨다.
도 8b를 참조하면, 구동원(142)에서 샤워헤드(140)로 동력을 공급하여 샤워헤드(140)를 기판(120) 반대 방향으로 상승시킨다. 도 8b에 도시한 바와 같이 적절한 거리, 바람직하게는 약 25mm 내지 80mm 정도의 간격을 유지하면서 제2 온도에서 화학기상증착 방법을 사용하여 제2 막(180)을 형성한다. 샤워헤드(140)와 기판(120)사이의 간격이 약 25mm 미만인 경우 가스플로우(gas flow)가 고르지 않아 산포의 열화를 발생시키며, 약 80mm 초과의 간격은 경제적으로 불필요하기 때문이다. 화학기상증착 방법을 사용하여 제2 막(180)을 형성하는 방법은 상술한 바와 같으므로 구체적인 설명은 생략한다. 이에 따라, 반도체 장치의 막(190)을 형성할 수 있다.
실시예 2
도 9는 본 발명의 제2 실시예에 따른 반도체 장치의 막 형성 장치의 단면도이고, 도 10a 및 도 10b는 도 9에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정단계들을 나타낸 단면도들이다.
도 9를 참조하면, 본 발명의 제2 실시예에 따른 반도체 장치의 막 형성장치(200)는 상술한 바와 같이 반도체 기판(220)을 수용하는 챔버(210), 상기 챔버(210) 상부에 위치하며, 막을 형성하기 위한 반응가스를 상기 챔버(210) 내로 도입하기 위한 샤워헤드(240), 상기 샤워헤드(240)와 상기 반도체 기판(220) 사이의 거리를 조절하기 위한 거리 조절 유닛(260) 및 상기 반도체 기판(220)을 지지하 기 위한 스테이지(230)를 포함한다.
이 경우, 상기 거리조절 유닛(260)은 상기 스테이지(230)와 상기 챔버(210) 사이에 연결된 신축 부재(234) 및 상기 스테이지(230)를 이동시키기 위한 구동원(236)을 포함한다.
상기 신축부재(234)는 본 발명에 따른 반도체 장치의 막을 형성하는 공정을 수행하는 동안, 증착 방법에 따라 적절하게 상기 샤워헤드(240)와 상기 기판(220) 사이의 거리를 조절할 수 있도록 길이의 신장이 가능한 벨로우즈관 또는 안테나 구조의 관일 수 있다. 또한, 상기 구동원(236)으로는 모터, 실린더, 리드 스크류 등을 사용할 수 있다.
상기 구동원(236)은 스테이지(230)에 연결되어 있으며, 이 경우 상기 구동원(236)은 상기 스테이지(230)에 동력을 공급함으로써 스테이지(230)를 기판(220)에 수직인 방향으로 전후진시킬 수 있다. 본 발명의 다른 실시예에 따르면, 스테이지(230)에 연결된 신축부재(234)와 연결되어 있는 구동원(도시되지 않음)도 사용할 수 있으며, 이 경우 상기 구동원은 상기 신축부재(234)에 동력을 공급함으로써 상기 스테이지(230)를 기판(220)에 수직인 방향으로 전후진시킬 수 있다.
상기 스테이지(230)는 상기 챔버(210)의 하부에서 상기 샤워헤드(240)와 대향하도록 위치하며, 상기 스테이지(230)는 상기 반도체 기판(220)의 온도를 조절할 수 있도록 히터(232)를 포함할 수 있다. 또한, 상기 히터(232)는 일정 온도의 용매를 순환시키거나 냉각 가스를 사용하여 히터(232)의 온도를 조절할 수 있는 열 교 환기(238) 포함할 수 있다.
또한, 본 발명에 따른 반도체 장치의 막 형성장치(200)는 상기 스테이지(230)를 관통하며, 상기 기판(220) 하부로 아르곤과 같은 백사이드 가스를 흐르도록 함으로써 상기 반도체 기판(220)의 온도를 조절하기 위한 백사이드 도입관(250)을 구비할 수도 있다. 이는 상기 히터(232) 내부의 열 교환기(238)의 역할과 동일하게 기판(220)의 온도를 상승시키거나 하강시키는 역할을 할 수 있으며, 상기 반도체 장치의 막 형성장치(200)는 상기 열교환기(238)와 상기 백사이드 도입관(250)을 선택적으로 또는 모두 포함할 수 있다.
또한, 본 발명의 바람직한 실시예에 따르면, 원자층 적층 공정이나 화학기상증착 공정 수행 시에 플라즈마를 사용할 수 있도록, 상술한 바와 같은 상부 전극(도시되지 않음), RF전원(도시되지 않음) 및 버퍼공간(도시되지 않음) 등을 더 포함할 수 있다. 이러한 버퍼 공간 하부에는 버퍼 공간에서 플라즈마 상태로 여기된 가스를 기판 상으로 균일하게 증착시키기 위한 샤워헤드(240)가 구비된다. 이에 따라 챔버(210) 내에서 다이렉트로 플라즈마를 형성할 수 있다.
본 발명의 다른 실시예에 따르면 반도체 장치의 막 형성장치(200)는 챔버(210) 외부에 위치하며, 챔버(210) 내부로 플라즈마를 도입할 수 있는 리모트 플라즈마 발생부(도시되지 않음)를 더 포함할 수도 있다.
이하, 도 10a 및 도 10b를 참조하여 도 9에 도시된 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정 단계들을 상세히 설명한다.
도 10a를 참조하면, 우선 챔버(210) 내부에 기판(220)을 위치시킨다. 이어 서, 구동원(236)에서 스테이지(230)로 동력을 공급하여, 스테이지(230)를 샤워헤드(240) 방향으로 상승시킨다. 도 10a에 도시한 바와 같이, 적절한 거리, 바람직하게 약 25mm 이하의 간격을 유지하면서 원자층 적층 방법을 사용하여 제1 막(270)을 형성한다. 원자층 적층 방법을 사용하여 반도체 장치의 제1 막을 형성하는 방법은 상술하였으므로 구체적인 설명은 생략한다. 이어서, 챔버(210) 내부를 퍼지한 후 아르곤과 같은 백사이드 가스를 백사이드 가스 도입관(250)을 통하여 챔버(210) 내부로 도입하여 기판(220)의 온도를 제 1 온도에서 제2 온도로 상승시킨다.
도 10b를 참조하면, 구동원(236)에서 스테이지(230)로 동력을 공급하여 스테이지(230)를 샤워헤드(240) 반대 방향으로 하강시킨다. 이어서, 도 10b에 도시한 바와 같이 적절한 거리, 바람직하게는 약 25mm 내지 80mm정도의 간격을 유지하면서 화학기상증착 방법을 사용하여 제2 막(280)을 형성한다. 화학기상증착 방법을 사용하여 제2 막(280)을 형성하는 방법은 상술하였으므로 구체적인 설명은 생략한다. 이에 따라, 반도체 장치의 막(290)을 형성할 수 있다.
실시예 3
도 11은 본 발명의 제3 실시예에 따른 반도체 장치의 막 형성 장치의 단면도이고, 도 12a 및 도 12b는 도 11에 도시한 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정단계들을 나타낸 단면도들이다.
도 11을 참조하면, 본 발명의 제3 실시예에 따른 반도체 장치의 막 형성장치(300)는 상술한 바와 같이 반도체 기판(320)을 수용하는 챔버(310), 상기 챔버(310) 상부에 위치하며, 막을 형성하기 위한 반응가스를 상기 챔버(310) 내로 도입하는 샤워헤드(340), 상기 샤워헤드(340)와 상기 반도체 기판(320) 사이의 거리를 조절하기 위한 제1 거리 조절 유닛(360) 및 제2 거리 조절유닛(370), 상기 반도체 기판(320)을 지지하기 위한 스테이지(330)를 포함한다.
이 경우, 상기 제1 거리조절 유닛(360)은 상기 샤워헤드(340)와 상기 챔버(310) 사이에 연결된 제1 신축 부재(344) 및 상기 샤워헤드(340)를 이동시키기 위한 제1 구동원(342)을 포함하며, 상기 제2 거리조절 유닛(370)은 상기 스테이지(330)와 상기 챔버(310) 사이에 연결된 제2 신축부재(334) 및 상기 스테이지(330)를 이동시키기 위한 제2 구동원(336)을 포함한다.
상기 제1 신축부재 및 제2 신축부재들(344,334)는 반도체 장치의 막을 형성하는 공정을 수행하는 동안, 증착 방법에 따라 적절하게 상기 샤워헤드(340)와 상기 기판(320) 사이의 거리를 조절할 수 있도록 길이의 신장이 가능한 벨로우즈관 또는 안테나 구조의 관일 수 있다. 또한, 상기 제1 및 제2 구동원(342,336)으로는 모터, 실린더, 리드 스크류 등을 사용할 수 있다.
상기 제1 구동원(342)은 샤워헤드(340)에 연결되어 있으며, 이 경우 상기 제1 구동원(342)은 상기 샤워헤드(340)에 동력을 공급함으로써 샤워헤드(340)를 기판(320)에 수직인 방향으로 전후진시킬 수 있다. 상기 제2 구동원(336)은 스테이지(330)에 연결되어 있으며, 이 경우 상기 제2 구동원(336)은 상기 스테이지(330)에 동력을 공급함으로써 스테이지(330)를 기판(320)에 수직인 방향으로 전후진시킬 수 있다.
본 발명의 일 실시예에 따르면, 샤워헤드(340)에 연결된 제1 신축부재(344)와 연결되어 있는 제1 구동원(도시되지 않음)도 사용할 수 있다. 이 경우, 상기 제1 구동원은 상기 제1 신축부재(344)에 동력을 공급함으로써 상기 샤워헤드(340)를 기판(320)에 수직인 방향으로 전후진시킬 수 있다. 이와 동일하게, 스테이지(330)에 연결된 제2 신축부재(334)와 연결되어 있는 제2 구동원(도시되지 않음)도 사용할 수 있다. 이 경우, 상기 제2 구동원은 상기 제2 신축부재(334)에 동력을 공급함으로써 상기 스테이지(330)를 기판(320)에 수직인 방향으로 전후진시킬 수 있다.
상기 스테이지(330)는 상기 챔버(310)의 하부에서 상기 샤워헤드(340)와 대향하도록 위치하며, 상기 스테이지(330)는 상기 반도체 기판(320)의 온도를 조절할 수 있도록 히터(332)를 포함한다. 또한, 상기 히터(332)는 일정 온도의 용매를 순환시키거나 냉각 가스를 사용하여 히터(332)의 온도를 조절할 수 있는 열 교환기를(338) 포함할 수 있다.
또한, 상기 반도체 장치의 막 형성장치(300)는 상기 스테이지(330)를 관통하며, 상기 기판(320) 하부로 아르곤과 같은 백사이드 가스를 흐르도록 함으로써 상기 반도체 기판(320)의 온도를 조절하기 위한 백사이드 도입관(350)을 구비한다. 이는 상기 히터(332) 내부의 열 교환기(338)의 역할과 동일하게 기판(320)의 온도를 상승시키거나 하강시키는 역할을 하며, 상기 반도체 장치의 막 형성장치(300)는 상기 열교환기(338)와 상기 백사이드 도입관(350)을 선택적으로 또는 모두 포함할 수 있다.
또한, 본 발명의 바람직한 일 실시예에 따르면, 원자층 적층 공정이나 화학기상증착 공정 수행시에 플라즈마를 사용하기 위하여, 반도체 장치의 막 형성장치(300)는 상술한 바와 같이 상부 전극(도시되지 않음), RF전원(도시되지 않음) 및 버퍼공간(도시되지 않음)을 더 포함할 수 있다. 이러한 버퍼 공간 하부에는 버퍼 공간에서 플라즈마 상태로 여기된 가스를 기판 상으로 균일하게 증착시키기 위한 샤워헤드(340)가 구비된다. 이에 따라 챔버(310) 내에서 다이렉트로 플라즈마를 형성할 수 있다.
본 발명의 다른 실시예에 따르면 반도체 장치의 막 형성장치(300)는 챔버(310) 외부에 위치하며, 챔버(310) 내부로 플라즈마를 도입할 수 있는 리모트 플라즈마 발생부(도시되지 않음)를 더 포함할 수도 있다.
이하, 도 12a 및 도 12b를 참조하여 도 11에 도시된 반도체 장치의 막 형성장치를 사용하여 반도체 장치의 막을 형성하는 공정 단계들을 상세히 설명한다.
도 12a를 참조하면, 우선 챔버(310) 내부에 반도체 기판(320)을 위치시킨다. 이어서 제1 구동원(342)에서 샤워헤드(340)로 동력을 공급하고, 이와 동시에 제2 구동원(336)에서 스테이지(330)로 동력을 공급한다. 이에 따라, 샤워헤드(330)는 기판(320) 방향으로 하강되며, 스테이지(330)는 샤워헤드 방향(340)으로 상승된다.
도 12a에 도시한 바와 같이, 적절한 거리, 바람직하게 약 25mm 이하의 간격을 유지하면서 원자층 적층 방법을 사용하여 제1 막(380)을 형성한다. 원자층 적층 방법을 사용하여 제1 막(380)을 형성하는 방법은 상술하였으므로 구체적인 언급은 생략한다.
이어서, 챔버(310) 내부를 퍼지한 후, 아르곤과 같은 백사이드 가스를 백사이드 가스 도입관(350)을 통하여 챔버(310) 내부로 도입하여 기판(320)의 온도를 제2 온도로 상승시킨다.
도 12b를 참조하면, 제1 구동원(342)에서 샤워헤드(340)로 동력을 공급하여 샤워헤드(340)를 기판(320) 반대 방향으로 상승시키고, 제2 구동원(336)에서 스테이지(330)로 동력을 공급하여 스테이지(330)를 샤워헤드(340) 반대 방향으로 하강시킨다. 도 12b에 도시한 바와 같이 적절한 거리, 바람직하게는 약 25mm 내지 80mm 정도의 간격을 유지하면서 화학기상증착 방법을 사용하여 제2 막(385)을 형성한다. 화학기상증착 방법을 사용하여 제2 막(385)을 형성하는 방법은 상술하였으므로 구체적인 설명은 생략한다. 이에 따라, 반도체 장치의 막(390)을 형성할 수 있다.
TiCl 4 몰수에 따른 불순물 생성량의 조사
티타늄 염화물 가스를 도입하여 티타늄 질화막을 형성하는 경우에, 티타늄 염화물이 기 형성된 하부막과 반응하여 불순물을 생성하게 된다. 티타늄 질화막을 사용하여 상부 전극을 형성하는 경우 하부막은 유전막으로서 하프늄 산화막 또는 알루미늄 산화막 등일 수 있다. 티타늄 염화물은 다음과 같은 메카니즘으로 하부막질인 유전막을 공격한다.
TiCl4 + HfOx → HfCl4(g) + TiO2(s)
상기 화학식 1에서 나타나는 바와 같이, 티타늄 염화물은 하프늄 산화막과 반응하여 금속 염화물 가스 및 티타늄 산화물과 같은 불순물을 발생시킨다.
이와 같은 경우에 있어서, 티타늄 염화물의 농도가 불순물 생성량에 미치는 영향을 조사하였다.
본 실험은 600℃의 온도, 0.01atm의 압력 하 에서 하프늄 산화물(HfO2) 1mole과 티타늄 염화물 1mole, 10mole, 및 100mole을 각각 반응시켰다. 이어서 반응에 사용한 티타늄 염화물의 하프늄 산화물에 대한 몰분율을 조사하는 방식으로 진행하였다. TiCl4 몰수에 따른 불순물의 생성량을 하기의 표 3 에 나타낸다.
TiCl4 몰수(mole) HfCl4(g) 몰수(mole) HfCl4의 HfO2에 대한 몰분율(%)
1 3.3731E-03 0.34
10 3.3731E-02 3.4
100 3.3731E-01 34
표 3을 참조하면, 동일 온도에서 TiCl4의 농도가 증가하면 HfCl4 가스의 생성량이 증가하는 것을 확인할 수 있다. 이러한 결과에 의하면, 반도체 장치의 막을 형성하기 위한 반응물질과 기 형성된 하부 막질이 접촉할 가능성이 큰 공정수행의 초기에는 티타늄 염화물의 유량을 작게 하여야 함을 알 수 있다.
본 발명에 따르면, 제1 막 형성시에 티타늄 염화물의 유량을 바람직하게 25sccm으로, 보다 바람직하게는 10sccm 이하로 유지하므로 반응초기에 티타늄 염화물이 하부막질과 반응하여 불순물이 생성되는 것을 최소화 할 수 있다.
TiN 증착온도에 따른 불순물 생성량의 조사
상술한 바와 같이 티타늄 염화물 가스를 도입하여 티타늄 질화막을 형성하는 경우에, 티타늄 염화물이 기 형성된 하프늄 산화막 등과 같은 하부막과 반응하여 불순물을 생성하게 된다.
이와 같은 경우에 있어서, 티타늄 질화막의 증착 온도가 불순물 생성량에 미치는 영향을 조사하였다.
본 실험은 0.01atm의 압력 하 에서 하프늄 산화물(HfO2) 1mole과 티타늄 염화물 1mole을 각각 450℃, 500℃, 550℃, 600℃ 및 650℃에서 반응시킨 후, 반응에 사용한 티타늄 염화물의 하프늄 산화물에 대한 몰분율을 조사하는 방식으로 진행하였다. 티타늄 질화막 증착 온도에 따른 불순물의 생성량을 하기의 표 4에 나타낸다.
TiN 증착온도(℃) HfCl4(g) 몰수(mole) HfCl4의 HfO2에 대한 몰분율(%)
450 0.5240E-03 0.05
500 0.1075E-02 0.11
550 1.9874E-02 1.9
600 3.3731E-02 3.4
650 5.3339E-02 5.3
표 4를 참조하면, 동일 농도 조건에서 온도가 증가하는 경우 HfCl4 가스의 생성량이 증가하는 것을 확인할 수 있다. 반면, 티타늄 질화막의 증착 온도가 550℃ 이하로 가면서 급격히 HfCl4 가스의 생성량이 감소하는 것을 알 수 있다.
이러한 결과에 의하면, 반도체 장치의 막을 형성하는 경우, 반도체 장치의 막을 형성하기 위한 반응물질과 기 형성된 하부 막질이 접촉할 가능성이 큰 공정수행의 초기에는 티타늄 질화막의 증착 온도를 낮추는 것이, 보다 구체적으로는 약 550℃ 이하로 유지하는 것이 바람직함을 확인할 수 있다.
본 발명에 따르면, 제1 막 형성시에 티타늄 질화막의 증착 온도를 550℃이하의 제1 온도로 유지하므로 반응초기에 티타늄 염화물이 하부막질과 반응하여 불순물이 생성되는 것을 최소화 할 수 있다.
반도체 기판과 샤워헤드 사이의 간격에 따른 산포의 조사
상술한 바와 같이 티타늄 염화물 가스를 도입하여 티타늄 질화막을 형성하는 경우에 있어서, 반도체 기판과 샤워헤드 사이의 간격에 따른 산포를 조사하였다.
본 실험은 반도체 기판과 샤워헤드와의 간격을 제외하고는 실시예 1 내지 3에서 제시한 것과 동일한 방법으로 수행하였다. 즉, 원자층 적층 방법을 사용하여 제1 막을 형성하고 화학기상증착 방법을 사용하여 제2 막을 형성하였다. 이 때, 반도체 기판과 샤워헤드와의 간격을 25mm, 30mm, 40mm, 및 45mm로 유지하면서 각각 실험을 진행하였다. 증착 방법 및 반도체 기판과 샤워헤드 사이의 간격에 따른 산포를 하기 표 5에 나타낸다.
간격 25mm 30mm 40mm 45mm
CVD TiN 20% 14.5% 8.7% 5.3%
ALD TiN 4.9% 6.1% 6.4% 6.5%
표 5를 참조하면, 화학기상증착 방법을 사용하여 막을 형성하는 경우, 반도 체 기판과 샤워헤드와의 거리가 멀어질수록 산포가 좋아지는 것을 확인할 수 있다. 반면, 원자층 증착 방법에 의하여 막을 형성하는 경우에는 반도체 기판과 샤워헤드 사이의 간격이 좁을수록 산포가 좋아지는 것을 알 수 있다.
이러한 결과에 의하면, 화학기상증착 방법에 의하여 막을 형성하는 경우에는 샤워헤드와 반도체 기판과의 간격을 25mm이상으로 유지하는 것이 바람직하며, 원자층 증착 방법을 사용하여 막을 형성하는 경우에는 샤워헤드와 반도체 기판과의 간격을 25mm 이하로 유지하는 것이 바람직함을 확인할 수 있다.
본 발명에 따르면, 원자층 적층 방법을 사용한 제1 막 형성시에는 반도체 기판과 샤워헤드와의 간격을 25mm 이하로 유지하며, 화학기상 증착 방법을 사용한 제2 막 형성 시에는 반도체 기판과 샤워헤드와의 간격을 25mm이상 80mm이하로 유지한다. 이에 따라 반도체 기판에 고르게 막을 형성할 수 있음을 알 수 있다. .
단위시간당 생산량의 조사
화학기상증착 방법, 원자층 적층 방법 및 본 발명에 따른 화학기상증착 방법과 원자층 적층 방법을 결합한 방법을 사용하여 각각 티타늄 질화막을 형성하고, 이에 따른 단위시간당 생산량을 조사하였다.
본 실험에 있어서, 화학기상증착 방법, 원자층 적층 방법, 및 원자층 적층방법과 화학기상증착 방법을 결합한 방법을 수행하여 기판 상에 각각 티타늄 질화막을 380Å의 두께로 형성하였다. 각각의 경우에 있어서 증착 시간 및 단위시간당 웨이퍼 생산량을 하기의 표 6에 나타낸다.
CVD TiN 380Å ALD TiN 380Å ALD TiN 30Å/CVD TiN 250Å
증착시간 (sec) 75 2926 235 + 40 = 275
단위시간당 웨이퍼 생산량 (wf/hr) 12.0 2.6 9.3
공정온도 530℃이상 약 530℃ 530℃ 이상
표 6을 참조하면, 화학기상증착 방법을 사용하여 380Å 두께의 질화막을 형성하는 경우의 증착 시간은, 동일한 두께의 막을 원자층적층 방법을 사용하여 형성하는 경우의 증착시간 보다 약 40배 정도 빠른 것을 알 수 있다. 또한, 화학기상증착 방법을 사용하여 380Å 두께의 티타늄 질화막을 형성하는 경우, 단위시간당 12.0개의 웨이퍼를 생산할 수 있었다. 반면, 원자층 증착방법으로 380Å의 두께의 티타늄 질화막을 형성하는 경우 단위시간당 약 2.6개의 웨이퍼밖에 생산할 수 없었다. 본 발명에 따른 원자층적층 방법과 화학기상증착 방법을 결합한 방법을 사용하여 티타늄 질화막을 형성하는 경우, 화학기상증착방법보다 생산량은 적지만, 원자층적층 방법에 의하여 티타늄 질화막을 형성하는 경우보다는 월등하게 생산량이 향상되었음을 알 수 있다.
본 발명에 따르면, 원자층적층 방법을 사용하여 제1 막을 형성하고, 화학기상 증착 방법을 사용하여 제2 막을 형성하므로, 원자층적층 방법에 의하여 동일한 두께의 막을 형성하는 경우보다 생산량을 향상시킬 수 있다. 또한 본 발명에 따를 경우, 화학기상증착 방법에 의하여 티타늄 질화막 형성하는 경우 발생할 수 있는 하부막질의 특성 열화 등도 방지할 수 있어 보다 경제적으로 보다 우수한 막을 형성할 수 있음을 알 수 있다.
본 발명에 의하면, 원자층 증착 방법에 의해 제1 티타늄 질화막을 형성함으로써, 반도체 장치의 베리어막 또는 커패시터의 상부 전극을 형성할 때에 그 하부에 위치한 막질에 불순물이 침투하여 유전막과 같이 상기 하부 막질이나 베리어막 또는 상부 전극의 특성이 열화되는 것을 방지할 수 있다. 이어서, 화학기상증착 방법에 의해 제2 티타늄 질화막을 형성함으로써 반도체 장치의 쓰루풋을 향상시킬 수 있다.
결과적으로, 반도체 장치의 불량을 방지함으로서 반도체 장치의 수율을 향상시킬 수 있으며, 동시에 반도체 제조 공정의 생산성을 향상시킬 수 있게 된다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (39)

  1. 유전막이 형성된 기판 상에 원자층적층 방법을 사용하여 제1 온도에서 20 내지 80Å의 두께를 갖는 제1 티타늄 질화막을 형성하는 단계; 및
    상기 제1 티타늄 질화막 상에 화학기상증착 방법을 사용하여 상기 제1 온도 이상의 제2 온도에서 제2 티타늄 질화막을 형성하는 단계를 포함하는 반도체 장치의 막 형성방법.
  2. 제1항에 있어서, 상기 제1 티타늄 질화막을 형성하는 단계는
    (a) 상기 기판이 도입된 챔버 내부에 제1 반응물질 도입하는 단계;
    (b) 상기 챔버 내부로 퍼지가스를 도입하여 상기 화학 흡착하지 않은 제1 반응물질들을 상기 챔버로부터 제거하는 단계;
    (c) 상기 챔버 내부에 제2 반응물질을 도입하는 단계; 및
    (d) 상기 챔버 내부로 퍼지가스를 도입하여 상기 화학 흡착하지 않은 제2 반응물질 및 상기 챔버 내 잔류물들을 제거하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 막 형성방법
  3. 제2항에 있어서, 상기 제1 반응물질은 티타늄염화물인 것을 특징으로 하는 반도체 장치의 막 형성방법.
  4. 제2항에 있어서, 상기 제2 반응물질은 암모니아 또는 질소인 것을 특징으로 하는 반도체 장치의 막 형성방법.
  5. 제2항에 있어서, 상기 (a) 내지 (d)단계를 적어도 한번 이상 반복하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  6. 제2항에 있어서, 상기 제1 반응물질은 25sccm 이하의 유량으로 상기 챔버 내로 도입하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  7. 제1항에 있어서, 상기 제2 티타늄 질화막을 형성하는 단계는,
    (a) 반응가스들을 상기 챔버 내부로 도입하는 단계; 및
    (b) 상기 반응가스들을 화학반응시킴으로써 상기 제2 티타늄 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  8. 제7항에 있어서, 상기 (a) 및 (b) 단계를 1회 이상 반복하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  9. 제7항에 있어서, 상기 (b) 단계 이후에
    (c) 암모니아 가스를 도입하여 상기 제1 및 제2 티타늄 질화막을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  10. 제9항에 있어서, 상기 (a) 내지 (c) 단계를 1회 이상 반복하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  11. 제7항에 있어서, 상기 반응가스들은 티타늄염화물 가스, 암모니아 가스 및 질소 가스로 이루어지는 군에서 선택된 적어도 하나인 것을 특징으로 하는 반도체 장치의 막 형성방법.
  12. 제11항에 있어서, 상기 티타늄 염화물 가스는 20sccm 이상의 유량으로 챔버 내로 도입하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 제1항에 있어서, 상기 제2 티타늄 질화막은 150 내지 400Å의 두께를 갖는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  18. 제1항에 있어서, 상기 반도체 장치의 막은 170 내지 480 Å의 두께를 갖는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  19. 제1항에 있어서, 상기 제1 티타늄 질화막을 형성하기 전에, 상기 챔버의 상부에 상기 기판과 마주보도록 배치된 샤워헤드와 상기 기판 사이의 간격을 제1 간격으로 조절하는 단계를 더 포함하고,
    상기 제2 티타늄 질화막을 형성하기 전에, 상기 샤워헤드와 상기 기판 사이의 간격을 상기 제1 간격보다 큰 제2 간격으로 조절하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  20. 제19항에 있어서, 상기 제1 티타늄 질화막을 형성하는 단계 이 후 및 상기 제2 티타늄 질화막을 형성하는 단계 이 전에, 백사이드 가스를 사용하여 상기 기판의 온도를 상기 제1 온도에서 상기 제2 온도로 변화시키는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  21. 제20항에 있어서, 상기 백사이드 가스는 아르곤 가스인 것을 특징으로 하는 반도체 장치의 막 형성방법.
  22. 제19항에 있어서 상기 제1 티타늄 질화막을 형성하는 단계는 상기 챔버 내로 티타늄염화물을 제1 유량으로 도입하는 단계를 포함하며,
    상기 제2 티타늄 질화막을 형성하는 단계는 상기 챔버 내로 티타늄 염화물을 포함한 반응 가스들을 상기 제1 유량보다 큰 제2 유량으로 도입하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 막 형성방법.
  23. 삭제
  24. 삭제
  25. 제19항에 있어서, 상기 제1 간격은 25mm 이하인 것을 특징으로 하는 반도체 장치의 막 형성방법.
  26. 제19항에 있어서, 상기 제2 간격은 25 내지 80mm인 것을 특징으로 하는 반도체 장치의 막 형성방법.
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 제1항에 있어서, 상기 제1 티타늄 질화막은 35 내지 65Å의 두께를 갖는 것을 특징으로 하는 반도체 장치의 막 형성방법.
KR1020040051855A 2004-07-05 2004-07-05 반도체 장치의 막 형성방법 KR100614801B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040051855A KR100614801B1 (ko) 2004-07-05 2004-07-05 반도체 장치의 막 형성방법
US11/154,110 US7439192B2 (en) 2004-07-05 2005-06-15 Method of forming a layer on a semiconductor substrate
US12/212,466 US7902090B2 (en) 2004-07-05 2008-09-17 Method of forming a layer on a semiconductor substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040051855A KR100614801B1 (ko) 2004-07-05 2004-07-05 반도체 장치의 막 형성방법

Publications (2)

Publication Number Publication Date
KR20060003939A KR20060003939A (ko) 2006-01-12
KR100614801B1 true KR100614801B1 (ko) 2006-08-22

Family

ID=35512612

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040051855A KR100614801B1 (ko) 2004-07-05 2004-07-05 반도체 장치의 막 형성방법

Country Status (2)

Country Link
US (2) US7439192B2 (ko)
KR (1) KR100614801B1 (ko)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7842581B2 (en) * 2003-03-27 2010-11-30 Samsung Electronics Co., Ltd. Methods of forming metal layers using oxygen gas as a reaction source and methods of fabricating capacitors using such metal layers
KR100505680B1 (ko) * 2003-03-27 2005-08-03 삼성전자주식회사 루테늄층을 갖는 반도체 메모리 소자의 제조방법 및루테늄층제조장치
KR100584781B1 (ko) * 2004-12-02 2006-05-29 삼성전자주식회사 반도체 장치의 제조 방법 및 이를 이용한 박막 제조 방법
KR100642763B1 (ko) * 2005-09-06 2006-11-10 삼성전자주식회사 반도체 소자의 TiN 막 구조, 그 제조 방법, TiN 막구조를 채용하는 반도체 소자 및 그 제조방법
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7893697B2 (en) * 2006-02-21 2011-02-22 Cyberoptics Semiconductor, Inc. Capacitive distance sensing in semiconductor processing tools
CN101410690B (zh) * 2006-02-21 2011-11-23 赛博光学半导体公司 半导体加工工具中的电容性距离感测
DE112007002309T5 (de) 2006-09-29 2009-07-30 Cyberoptics Semiconductor, Inc., Beaverton Substratähnlicher Teilchensensor
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
TW200849444A (en) * 2007-04-05 2008-12-16 Cyberoptics Semiconductor Inc Semiconductor processing system with integrated showerhead distance measuring device
US20090015268A1 (en) * 2007-07-13 2009-01-15 Gardner Delrae H Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
US9574832B2 (en) * 2007-12-28 2017-02-21 Intel Corporation Enabling an aluminum heat exchanger with a working fluid
GB2455991B (en) * 2007-12-28 2010-12-01 Hauzer Techno Coating Bv A method of giving an article a coloured appearance and an article having a coloured appearance
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
JP4956469B2 (ja) * 2008-03-24 2012-06-20 株式会社ニューフレアテクノロジー 半導体製造装置
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9327416B2 (en) * 2009-07-17 2016-05-03 The Gillette Company Atomic layer deposition coatings on razor components
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5700632B2 (ja) * 2010-11-04 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101220480B1 (ko) * 2011-12-01 2013-01-21 주식회사 케이씨텍 원자층 증착방법
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN106940978B (zh) * 2017-05-15 2019-10-25 上海天马有机发光显示技术有限公司 有机发光显示面板及其驱动方法、有机发光显示装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019212676A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11939666B2 (en) * 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
KR20020002741A (ko) 2000-06-30 2002-01-10 박종섭 반도체 소자의 랜딩 플러그 콘택 형성 방법
US6592942B1 (en) * 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
KR100454758B1 (ko) 2002-01-14 2004-11-05 주성엔지니어링(주) 탄탈륨 산화막 증착방법
JP2003218106A (ja) 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
KR100800136B1 (ko) 2002-06-28 2008-02-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100505056B1 (ko) * 2003-01-02 2005-07-29 삼성전자주식회사 반도체 장치의 복합막 형성 방법과, 이를 이용한 커패시터및 게이트 절연막 형성 방법
KR100505680B1 (ko) * 2003-03-27 2005-08-03 삼성전자주식회사 루테늄층을 갖는 반도체 메모리 소자의 제조방법 및루테늄층제조장치

Also Published As

Publication number Publication date
US7439192B2 (en) 2008-10-21
US20060000411A1 (en) 2006-01-05
KR20060003939A (ko) 2006-01-12
US7902090B2 (en) 2011-03-08
US20090011595A1 (en) 2009-01-08

Similar Documents

Publication Publication Date Title
KR100614801B1 (ko) 반도체 장치의 막 형성방법
US7211506B2 (en) Methods of forming cobalt layers for semiconductor devices
JP4281082B2 (ja) 堆積前の表面調整方法
US8405167B2 (en) Hafnium tantalum titanium oxide films
JP4566559B2 (ja) 誘電層の形成方法
US8383525B2 (en) Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
JP3670628B2 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
JP5809152B2 (ja) 誘電体膜をパッシベーションする方法
US7727864B2 (en) Controlled composition using plasma-enhanced atomic layer deposition
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7326655B2 (en) Method of forming an oxide layer
KR100714269B1 (ko) 반도체 소자 제조에 사용되는 금속층 형성방법
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
KR102033391B1 (ko) 금속 규화물들의 선택적 형성
JP2004529489A (ja) 高誘電率ゲート絶縁層の形成方法
US20210407809A1 (en) Vapor deposition of films comprising molybdenum
US10381219B1 (en) Methods for forming a silicon nitride film
JP2008311631A (ja) 化学気相堆積プロセスを用いて高k誘電物質を堆積させる方法
TW201306130A (zh) 具有起始層之n型金屬薄膜沉積
JP4979578B2 (ja) 単一ウエハチャンバを用いたナノ結晶シリコンの堆積
KR20100095383A (ko) 증착 챔버 내에서 산화로부터의 도전체 보호
US11018009B2 (en) Tuning work function of p-metal work function films through vapor deposition
TWI515803B (zh) 矽化鉭內的摻雜鋁
US20090317982A1 (en) Atomic layer deposition apparatus and method for preparing metal oxide layer
KR100511914B1 (ko) 피이사이클 시브이디법을 이용한 반도체소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130731

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee