JP4281082B2 - 堆積前の表面調整方法 - Google Patents

堆積前の表面調整方法 Download PDF

Info

Publication number
JP4281082B2
JP4281082B2 JP2002544761A JP2002544761A JP4281082B2 JP 4281082 B2 JP4281082 B2 JP 4281082B2 JP 2002544761 A JP2002544761 A JP 2002544761A JP 2002544761 A JP2002544761 A JP 2002544761A JP 4281082 B2 JP4281082 B2 JP 4281082B2
Authority
JP
Japan
Prior art keywords
deposition
silicon
layer
oxide
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002544761A
Other languages
English (en)
Other versions
JP2004523885A (ja
Inventor
クリストフェ エフ. ポマレデ
ジェフ ロバーツ
エリック ジェイ. シェロ
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2004523885A publication Critical patent/JP2004523885A/ja
Application granted granted Critical
Publication of JP4281082B2 publication Critical patent/JP4281082B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は一般に、半導体製造のための膜堆積前の表面調整方法に関し、より詳細には、その後の原子層堆積(ALD)プロセスにおける吸着を促進する、或いはその後の化学気相成長プロセスの核生成を促進するための表面調整方法に関する。
集積回路デザインにおいては、より速い回路動作及びより低い電力消費の追及のため、絶えず寸法縮小がなされている。回路デザインにおける寸法縮小には一般に、製造プロセシングにおける付随的な変化が要求される。
集積回路の基本的な構成単位は、薄膜トランジスタ(TFT)である。当該技術分野において周知であるように、このトランジスタは通常、半導体層又は基板から薄いゲート誘電体によって分離されたゲート電極を備えている。最先端のトランジスタにおいては、金属−酸化物−シリコンの頭文字をとってMOSと称されるのが一般的であるが、実際にはゲート電極用に選択される材料は長い間、金属ではなく、シリコンであった。他にも利点はあるが、シリコンゲート電極においては、高温プロセスに耐えることができ、またトランジスタを完成させるために使用される自己整合ドーピングプロセスが可能であるため、高価なマスキングステップを省略し得る。
そのため、従来のゲート電極は、ヒ素、リン、又はボロンなどの導電性を高める不純物がドーピングされたポリシリコンで形成されている。シリコンは、ドーパント源ガス(例えばアルシン、ホスフィン、ジボランなど)をシリコン源ガス(例えばシラン)と同時に流すことによるin−situドーピングのCVDによって堆積され得る。
最近、興味が持たれているのは、シリコン電極にゲルマニウムをドーピングして、それによりトランジスタゲート電極の電気的な仕事関数を下げることである。その結果、回路動作に必要な電圧が下がり、結果として、発生する熱も下がる。さらにシリコンゲルマニウムのゲート電極は、周辺材料及び現行の集積回路製造プロセスとの相性のよさを保つ。シリコンゲルマニウム層をフローさせるための提案としては、化学気相成長(CVD)プロセスにおいてゲルマン(GeH4)をシラン(SiH4)とともに形成することによって、シリコン層をin−situドーピングすることがある。
in−situドーピングされるCVDプロセスは、シリコンゲルマニウムの製造において効果があることが分かっている一方で、ゲルマンをシランのフローに添加すると、誘電体、特に二酸化ケイ素などの酸化物、及び後述する高誘電率(high−k)材料の一部上でのインキュべーション(incubation)時間又は核生成時間が著しく長くなることが分かっている。同様に誘電体上での核生成は、ポリシリコンを化学気相成長させるときに遅くなり、このことは、特に別のドーパント源ガスをin−situでフローさせるときに著しい。
核生成が遅いと、全体の堆積時間が長くなって、スループットが低くなり、結果として製造コストが高くなる。半導体製造業は、製造コストに対しては非常に影響されやすい。プロセスのどの段階であってもウェハスループットがわずかでも増加すれば、製造コストが下がり利ざやが上がる。さらに初期の核生成が良好でないと、結果として生じる層が、化学量論、密度、表面平坦度などに問題がある低品質なものとなり得る。
SiGe又は別のin−situドーピングされたシリコンの堆積を早めている1つの方法は、最初に通常はポリシリコンの核生成層をゲート誘電体上に形成し、その後にポリSiGeを堆積させるものである。しかし、この追加工程のためにプロセスフローは複雑になり、トランジスタにおいて所望の仕事関数を保証するために、誘電体−電極界面におけるドーピング濃度を調整する必要がある。
プロセス制御が特に重要となる別の工程として、トランジスタゲート誘電体の製造がある。常により速くより効率的な回路を追求して、半導体デザインは、それぞれの製品世代とともに絶えず微細化している。トランジスタのスイッチング時間は、より速い回路動作の追及において大きな役割を果たしている。スイッチング時間も同様に、トランジスタのチャネル長を短くすることにより短縮され得る。トランジスタ性能を最大限に向上させるためには、垂直方向の寸法が水平方向の寸法とともに縮小されなくてはならない。したがって有効なゲート誘電体厚み、接合深さなどは全て、将来世代の集積回路へ進むとともに小さくなる。
従来のゲート誘電体は、高品質の二酸化ケイ素で形成されており、通常「ゲート酸化物」層と呼ばれている。しかし、(例えば5nm未満の)超薄膜ゲート酸化物は、ホットキャリア注入効果に影響されやすいこと、電荷トラップ状態、ピンホールを含む高い欠陥密度を示すことが分かっている。このような高い欠陥密度は、ゲート間隔0.25μm未満、即ちサブクォーターミクロン技術において、回路デザインで容認されないゲート誘電体を貫通するリーク電流及び急速なデバイス破壊をもたらす。
実験室条件の下で注意を払って欠陥密度を制御することは可能であるが、このような制御を工業用規模の製造条件の下で実現することは難しかった。さらに酸化物が完全に無傷な状態に維持されたとしても、ゲート酸化物の縮小には、量子力学的効果による重要な制限が加えられる。高電界では、直接のトンネリングがファウラー−ノードハイム(Fowler−Nordheim)のトンネリングよりも支配的であり、酸化物の縮小限界を大きく左右する。これらの縮小限界は、論理回路では約2nm、ダイナミックランダムアクセスメモリ(DRAM)の回路におけるよりリーク電流に敏感なメモリアレイでは約3nmと見積もられてきた。例えば、Hu等の「Thin Gate Oxides Promise High Reliability」、SEMICONDUCTOR INTERNATIONAL(1998年7月)、第215〜222頁を参照されたい。
理論的には、より誘電率が高い材料をゲート誘電体に組み込むことによって、さらなるデバイスの縮小への門戸が開かれる。より高い誘電率によって、より薄い二酸化ケイ素層と同じ静電容量が多くの材料で示されるので、トンネリングで制限される挙動を伴うことなく、より薄い酸化膜相当のものが実現され得る。例えば窒化シリコン(Si34)は、SiO2よりも誘電率が高く、またボロンの進入を阻むのに良好な拡散バリア特性を示す。酸化アルミニウム(Al23)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、バリウムストロンチウムチタネート(BST)、ストロンチウムビスマスタンタレート(SBT)、酸化タンタル(Ta25)など、より一層高誘電率のより魅惑的な材料も、さらなるデバイス縮小を可能にするために研究されている。
同様の高品質の薄い誘電体層は、集積回路製造の別の理由から望ましい。メモリアレイにおける集積されたコンデンサは、適切なデータの記憶及び取り出しのために、ある程度の最小限の静電容量を示さなければならない。所定のメモリセルスペースで静電容量を増加させるための幾つかの試みにおいて、上述したような高誘電率を特徴とする材料(高誘電率材料/high k materials)の使用が注目されている。
上述のように、ポリシリコン、アモルファスシリコン、及び特にドーピングされたシリコン又はシリコンゲルマニウム合金などの電極材料を、従来の酸化シリコン及び現在研究中の多くの高誘電率材料の上に堆積させることは多くの場合、難しい。集積回路製造における別の多くのタイプの材料及び堆積技術においては、材料を堆積させるべき基板表面次第であるという問題に直面している。
別の良好でない密着、核生成、電気的界面特性、拡散などを含む様々な改善すべき理由に向けた、所望の機能層の堆積に先だって、多くの場合中間層が堆積される。このような中間層のために、製造が複雑となってコストが増加し、また、コンタクトビアや高表面積コンデンサ用の折り畳み構造など、高アスペクト比の形状内の大切なスペースを占有し得る。ゲート誘電体やコンデンサ誘電体のような幾つかの背景では、集積回路の縮小化傾向に反して、追加の層のために誘電体全体の厚みが増加し、層の有効性が低下してしまう。
したがって、半導体製造においては、堆積させる層の速度、効率、品質、及び均一性を向上させることに対する要求がある。
この要求を満たすために、本明細書では、その後の堆積に備えて基板表面を処理する方法を提供する。特に、核生成に敏感な堆積(例えば、ポリシリコン又はポリSiGe)及び吸着主導の堆積(adsorption driven deposition)(例えば、原子層堆積又はALD)に先行する方法が提供される。
好ましい実施の形態においては、堆積の前に、プラズマ生成物によって表面を処理する。有利なことに、CVDポリシリコン及びポリSiGeは、処理表面上でより容易に核形成し、或いはALD反応物は、処理表面上により容易に吸着する。表面処理によって、その後の堆積反応に対してより容易に影響を受ける、或いは堆積前のさらなる表面処理に対してより容易に影響を受ける表面部分が得られる。低温ラジカル処理で基板の表面終端を変えることにより、有利にも、明らかな厚みのいかなる層の堆積も伴うことなく、下の材料のバルク特性に著しい影響を与えることなく、その後の堆積が促進される。
典型的なプラズマ生成物処理は、特に、堆積前のin−situ表面処理用の堆積チャンバに取り付けた遠隔プラズマモジュールを通して、F、Cl、H、又はNラジカルを供給することを含む。
本発明のこれら及び別の態様は、以下の説明から、また添付の図面から容易に明らかになる。これらは本発明を説明するためのものであり限定するためのものではない。
当業者であれば、本明細書で開示される原理は、堆積前の界面調整が求められる種々の状況に対して適用されることを容易に理解するであろう。このような調整は、核生成に敏感な、そして吸着主導のプロセスに対して特に有利である。
好ましい実施の形態によれば、本発明によって、堆積前のプラズマ生成物による表面処理が提供される。プラズマ生成物は好ましくは、表面終端を改質して、その後の堆積の影響を受けやすいようにする。
前述の背景の欄で述べたように、多くの堆積プロセスは、堆積が要求される表面に対して敏感である。ドーピングされたポリシリコン及び多結晶シリコンゲルマニウム合金(ポリSiGe)は、当該技術分野で知られるように、シリコン酸化物上において、及び現在研究中の最新の高誘電率材料上において、核生成が良好でない傾向がある。本発明は、その上に電極を堆積させるための誘電体表面を、有利なことに、特筆すべき堆積を行うことなく、好ましくは誘電体のバルク特性に重要な変更を加えることなく調整する方法を提供する。
好ましい実施の形態の方法によって促進される別の堆積技術は、原子層堆積(ALD)である。ALDは、自己制限プロセスであり、反応前駆体の交互パルスによって基板を飽和させて、パルス当たりで材料の1つの単一層しか残さない。1つのパルスにおける吸着層は、同じパルスの気相反応物と反応しない表面終端を残すので、前駆体は、自己飽和反応を保証するように選択される。その後の異なる反応物のパルスは、それ以前の終端と反応して、連続堆積が可能になる。そのため交互パルスの各サイクルにより、所望する材料のほぼ1つの分子層しか残らない。ALD型のプロセスの原理は、T.Suntolaによって、例えばHandbook of Crystal Growth 3,Thin Films and Epitaxy、Part B:Growth Mechanisms and Dynamics,Chapter 14,Atomic Layer Epitaxy,pp.601−663,Elsevier Science B.V.1994において示されている。この文献の開示は、参照により本明細書に組み込まれたものとする。
残念ながら、ALDは、使用された化学反応に依存し、異なる開始する基板上に等しくは堆積されない。例えば、シリコン上への、特にエッチング又はクリーニングされたシリコン表面(通常は水素終端されている)上に堆積させるとき、遅いか又は無作用でさえあることが分かっているALDプロセス方法もある。例えば、AL23を堆積させるためのALDプロセスにおいて、(CH33Alなどのアルキルアルミニウムが、水素終端されたシリコン表面上に付着できるということはありそうにない。
しかし、最初の水パルスがシリコン表面と反応し、アルキルアルミニウムの化学吸着のための開始表面として機能する、水酸基終端された(−OH)又は酸素ブリッジされた(Si−O−Si)シリコン表面を残し得る。好ましい前駆体はSiO2と容易に反応して、その上にAl23を堆積させるので、ALDプロセスはその後、続行することができる。
プロセスをより詳細に説明する前に、まずポリシリコン及びポリSiGeをCVDによって堆積させるのに好ましい反応装置を以下に説明する。別個に例示はしないが、後述するALDプロセスは、より好ましくはPulsar(商標)2000 ALCVD(商標)Reactor内で行われる。この反応装置は、ASM Microchemistry Oy(エスポ、フィンランド)から市販されている。またこの反応装置を変更して、遠隔プラズマプロセシングユニットをこれに接続することもできる。
好ましい反応装置
好ましい実施の形態を、枚葉式で水平フローのコールドウォール反応装置を基に示すが、本発明の別の態様においては、別のタイプの反応装置に対して適用され得る。例示するシングルパス(single−pass)の水平フローデザインによって、滞留時間の短い反応物ガスの層流が可能になる。さらに、反応物が互いに、そしてチャンバ表面と相互作用するのを最小限に抑えつつ、順次処理が促進される。したがって他にも利点はあるが、このような層流によって、互いに反応し得る反応物を順次流すことができる。避けるべき反応としては、酸素及び水素含有反応物によって生じるような、高い発熱性又は爆発性を伴う反応、及びチャンバのパーティクル汚染を引き起こす反応である。しかし、十分なパージ時間によって相いれない反応物を取り除くことができるならば、別の順次プロセス用に、これらの目的を実現するために別の反応装置デザインを設けることも、可能である。
図1に、好ましい実施の形態に基づいて構成された、石英のプロセス又は反応チャンバ12を備える化学気相成長(CVD)反応装置10を示す。この反射器に対しては、本明細書で開示される方法が特に有用である。本来は、単一基板上にシリコンを一度にエピタキシャル堆積させるのを最適化するようにデザインされたものであるが、発明者らは、優れたプロセシング制御が多くの異なる材料のCVDに対して有用であることを見出した。さらに、例示した反応装置10は、安全かつ清浄に、複数の処理ステップを同じチャンバ12内で順次行うことができる。反応装置10の基本的な構成は、Epsilon(登録商標)という商標名で、アリゾナ州フェニックスのASM America社から市販されている。
石英チャンバ12の壁による明らかな吸収を伴うことなく、チャンバ12内に熱エネルギーを供給するように、複数の輻射熱源がチャンバ12の外側で支持されている。好ましい実施の形態を、半導体ウェハ処理用の「コールドウォール」CVD反応装置を基に説明するが、本明細書で説明するプロセシング方法は、例えば誘導性又は抵抗性加熱を用いる別の加熱/冷却システムと組み合わせると有用である。
例示した輻射熱源は、細長い管状の輻射加熱エレメント13の上部加熱アセンブリを備えている。上部加熱エレメント13は、好ましくは間隙を介して平行となる関係で配置されており、その下の反応チャンバ12を通る反応物ガスの流路と実質的に平行となっている。下部加熱アセンブリは、同様の細長い管状の輻射加熱エレメント14を反応チャンバ12の下に備えており、好ましくは上部加熱エレメント13と交差するように配置されている。望ましくは、輻射熱の一部は、上部及び下部ランプ13、14の上方及び下方にそれぞれ配置された粗い鏡面反射板(図示せず)によって、チャンバ12内へ乱反射される。さらに、複数のスポットランプ15によって、集中された熱が、(後述する)基板支持構造の裏面に供給され、反応チャンバ12の底部を通って延びるコールド支持構造によって生じるヒートシンク効果が打ち消されている。
細長い管状の加熱エレメント13、14のそれぞれは、ヨウ素などのハロゲンガスを含む透明な石英エンベロープ(envelope)を有する高強度のタングステンフィラメントランプであることが好ましい。このようなランプによって、明らかな吸収を伴うことなく、反応チャンバ12の壁を通って伝えられる広範囲の輻射熱エネルギーが生成される。半導体プロセシング装置の技術分野では周知のように、様々なランプ13、14、15の出力は、温度センサに応答して、独立して又はグループ分けしたゾーンにおいて制御することができる。
好ましくはシリコンウェハ16を含む基板が、反応チャンバ12内で基板支持構造18上に支持されて、示されている。例示した実施の形態の基板は、単結晶シリコンウェハであるが、用語「基板」は、広く、その上に層が堆積されるあらゆる表面を意味する。さらに、本明細書に記載の原理及び利点は、これに限定される訳ではないが、フラットパネルディスプレイで用いられるようなガラス基板を含む、別の多くのタイプの基板上への層の堆積に対して等しく良好に適用される。
例示した支持構造18は、ウェハ16が置かれる基板ホルダ20と、支持スパイダ(spider)22とを備えている。スパイダ22は、チャンバの下部壁から垂れ下がる管26を通って下方に延びるシャフト24に取り付けられている。好ましくは管26は、プロセシング中に流れ得るパージ又はスイープガス源に通じており、プロセスガスがチャンバ12の下部部分に流出しないようになっている。
複数の温度センサが、ウェハ16近傍に配置されている。温度センサは、例えば光高温計や熱電対など様々な形態のいずれとしてもよい。温度センサの数及び位置は、好ましい温度コントローラについての後述する記載を考慮して理解されるように、温度均一性を促進するように選択される。いずれにしても、好ましくは、温度センサは、ウェハ近傍の位置における温度を直接又は間接的に検出する。
例示した実施の形態においては、温度センサは熱電対を備えている。該熱電対は、何らかの好適な方法でウェハホルダ20から吊り下げられた第1又は中央の熱電対28を含んでいる。例示した中央の熱電対28は、ウェハホルダ20の近傍でスパイダ22を貫通している。さらに、反応装置10は、やはりウェハ16の近傍にある複数の第2又は周辺の熱電対を備えている。これらには、前縁又は前方の熱電対29、後縁又は後方の熱電対30、及び1つ又は複数の側面の熱電対(図示せず)が含まれている。周辺の熱電対のそれぞれは、基板ホルダ20とウェハ16とを囲むスリップリング32内に収容されている。中央及び周辺の熱電対のそれぞれは、PID温度コントローラに接続されている。このコントローラは、熱電対の読みに応答して、様々な加熱エレメント13、14、15の出力を設定する。
周辺の熱電対を収容することに加えて、スリップリング32は、高温プロセシング中の輻射熱を吸収及び放射して、ウェハのエッジにおいて熱損失又は吸収が大きくなる傾向を補償する。これは、このようなエッジ付近の領域では体積に対する表面積の割合が大きくなることに起因して生じることが知られている現象である。エッジでの損失を最小限にすることによって、スリップリング32は、ウェハ16に渡って径方向の温度が不均一になるリスクを減らし得る。スリップリング32は、何らかの好適な手段によって吊され得る。例えば例示したスリップリング32を、前方チャンバ仕切り36及び後方チャンバ仕切り38から垂れ下がるエルボ(elbow)34上に配置する。仕切り36、38は望ましくは、石英で形成されている。形態によっては、後方仕切り38が省略され得る。
例示した反応チャンバ12は、反応物及びキャリアガスを導入するための入口ポート40を備えており、ウェハ16もそれを通して受け入れられ得る。出口ポート42は、チャンバ12の反対側にあり、ウェハ支持構造18は入口40と出口42との間に位置している。
入口コンポーネント50は、入口ポート40を囲むように適用されて反応チャンバ12に取り付けられており、ウェハ16が挿入され得る水平に細長いスロット52を備えている。略垂直の入口54は、図2においてさらに十分に説明するような、遠隔の供給源からガスを受け取り、このようなガスをスロット52及び入口ポート40との間で連絡する。入口54は、Hawkins等に交付された米国特許第5,221,556号に記載されているような、又は2000年7月25日に交付された米国特許第6,093,252号の図21〜26において記載されているような、ガス注入装置を備え得る。これらの文献における開示は参照により本明細書に組み込まれる。このような注入装置においては、枚葉式反応装置におけるガス流れの均一性を最大にするように設計されている。
出口コンポーネント56も同様に、排気開口部58が出口ポート42と並び排気導管59に至るように、プロセスチャンバ12に取り付けられている。導管59そのものは、チャンバ12を通してプロセスガスを取り出すための適当な真空手段(図示せず)と連絡され得る。好ましい実施の形態においては、プロセスガスは、反応チャンバ12及び下流のスクラバ(図示せず)を通して取り出される。チャンバ12を通してプロセスガスを取り出すのを補助するため、また低圧プロセシング用にチャンバを排気するために、ポンプ又はファンを備えていることが好ましい。
また好ましい反応装置10は、好ましくはチャンバ10の上流側に位置する励起種源60を備えている。例示した実施の形態の励起種源60は、ガスライン62に沿ってマグネトロンパワー発生器とアプリケータ(applicator)とを含む遠隔プラズマ発生器を備えている。代表的な遠隔プラズマ発生器は、TRW−850という商標名でRapid Reactive Radicals Technology(R3T)社(ミュンヘン、ドイツ)から市販されている。例示した実施の形態においては、マグネトロンからのマイクロ波エネルギーは、ガスライン62に沿ったアプリケータ内を流れるガスに加えられる。前駆体ガス源63は、励起種発生器60内へ導入するためのガスライン62に加えられる。キャリアガス源64も、ガスライン62に加えられる。1つ又は複数のさらなる分岐ライン65を、追加の反応物用に設けることもできる。当該技術分野で周知のように、ガス源63、64は、反応物種の形態及び揮発性に応じて、ガスタンク、バブラなどを含んでいてもよい。図示したように、励起種発生器60、そこから反応チャンバ12内へと導入されるキャリア及び反応物種の相対量を選択するために、各ガスラインに別個のマスフローコントローラ(MFC)及びバルブを設けるとよい。
別の形態においては、励起種をプロセスチャンバ内で発生させ得る。例えば当該技術分野で周知のように、プロセスチャンバ内で間隔を空けた電極に高周波(RF)電力を印加することによって、in−situプラズマを発生させることができる。典型的なin−situプラズマCVD反応装置は、例えばASM Japan K.K.(東京、日本)から、Eagle(商標)10又はEagle(商標)12という商標名で市販されている。さらに、エネルギーを、誘導、容量性などを含む多くの手段によって、ソースガスに加え、in−situ又は遠隔のプラズマを発生させることができる。しかし、本明細書に記載のプロセス用には、バルク効果を最小限に抑えて表面改質の優れた制御を与える遠隔プラズマ源を使用することが好ましい。
ウェハは好ましくは、周囲の環境から隔離された搬送チャンバ(図示せず)から、ピックアップ(pick−up)装置によってスロット52を通して渡される。搬送チャンバ及びプロセシングチャンバ12は好ましくは、米国特許第4,828,224号に開示されているタイプのゲートバルブ(図示せず)によって隔離されている。尚、この文献の開示は、参照により本明細書に組み込まれる。
200mmウェハの処理用にデザインされた枚葉式プロセスチャンバ12の全容積は、例えば、好ましくは約30リットル未満であり、より好ましくは約20リットル未満であり、最も好ましくは約10リットル未満である。例示したチャンバ12は、容量が約7.5リットルである。しかしながら例示したチャンバ12は、仕切り32、38、ウェハホルダ20、リング32、及び管26から流れるパージガスによって分割されているので、プロセスガスが流れる有効容積は、全容積の約半分(例示した実施の形態では約3.77リットル)である。もちろん、枚葉式プロセスチャンバ12の容積は、チャンバ12が収容するように設計されたウェハのサイズに応じて異なり得る。例えば例示したタイプの枚葉式プロセシングチャンバ12は、300mmウェハ用の場合、好ましくは容量が約100リットル未満であり、より好ましくは約60リットル未満であり、最も好ましくは約30リットル未満である。ある300mmウェハプロセシングチャンバにおいては、全容積が約24リットルであり、有効プロセシングガス容量が約11.83リットルである。
図2に、好ましい実施の形態に係るガスラインの概略図を示している。反応装置10には、酸化剤又はオキシダント源70が供給される。オキシダント源70は、周知の多くのオキシダント、特にO2、O3、NO、H2O、N2O、HCOOH、HClO3などの揮発性オキシダントのいずれを含んでいても良い。好ましくはオキシダントは、N2などの不活性キャリアガスの流れに導入される。別の形態においては、純粋な反応物の流れを用いることもできる。さらに別の形態においては、酸素含有ソースガスを遠隔プラズマ発生器60に供給して、酸化のための励起種を発生させることができる。
また図2に示したように、反応装置10はさらに、水素ガス(H2)源72を含んでいる。当該技術分野で周知のように、水素は、有用なキャリアガス及びパージガスである。これは、水素は、その低い沸点のために非常に高純度で供給され、そしてシリコン堆積に適合するからである。また、高温水素ベークにおいてH2を用いて、層形成の前に自然酸化物を昇華させることもできる。また、H2を励起種発生器60を通して流して、自然酸化物のクリーニング又は別の目的のためにHラジカルを発生させることもできる。
また、好ましい反応装置10は、窒素ガス(N2)源73を含んでいる。当該技術分野で周知のように、N2は半導体製造におけるキャリア又はパージガスとして、H2の代わりに用いられることが多い。窒素ガスは相対的に不活性であり、多くの統合された材料及びプロセスフローに適合する。考えられる別のキャリアガスには、ヘリウム(He)又はアルゴン(Ar)などの希ガスが含まれる。
また、液体反応物源74も図示されている。液体源74は、例えば、バブラ内の液体ジクロロシラン(DCS)、トリクロロシラン(TCS)又はより高次のシラン源と、気相の反応物をバブリングしてバブラから反応チャンバ12へ運ぶためのガスラインとを含むことができる。バブラは、その代わりに(又は追加として)液体Ta(OC255を金属源として保持することができる一方、ガスラインは、液体金属源を通してH2、N2、Ne、He、又はArをバブリングし、ガス形態で有機金属前駆体を反応チャンバ12に運ぶのに役立つ。
また、反応装置10は、望ましくは、ドーパント源(例えば、例示したホスフィン76、アルシン78、及びジボラン80源)などの別のソースガス、及び反応装置壁及び別の内部コンポーネントをクリーニングするためのエッチャント(例えばHCl源82、又は励起種発生器60へ供給するためのプラズマソースガス63として供給されるNF3/Cl2)も含む。また、好ましい実施の形態に係るポリSiGeの堆積のために、ゲルマニウム源84(例えば、ゲルマン又はGeH4)も、SiGe膜のドーピング又は形成のために供給される。
付加的なソースガスには、アンモニア(NH3)源(図示せず)が含まれる。これは、CVD及び窒化物形成アニール工程で有用な揮発性の窒素源として役立つ。また、モノシラン(SiH4)として例示されたシリコン源86も供給されている。当該技術分野で周知のように、モノシラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、DCS、及びTCSを含むシランは、例えば、ポリSiGe、シリコン窒化物、金属シリサイド、及び(堆積パラメータに応じて、多結晶、アモルファス、又はエピタキシャルの)外因性又は真性シリコンの堆積などのCVDの用途のための揮発性シリコン源である。例示したモノシラン(SiH4)は、敏感なゲート誘電体構造内への塩素の取り込みを避けるのに特に好ましい。
各ガスソースは、ガスパネルにまとめられている付随の安全バルブ、制御バルブ、及びマスフローコントローラ(「MFC」)を有するガスラインを介して、入口54(図1)に接続され得る。プロセスガスは、中央コントローラ内にプログラムされた指示に基づいて入口54(図1)に通され、注入装置を通してプロセスチャンバ12内へ分配される。未反応プロセスガス及びガス反応副生成物は、プロセスチャンバ12を通過した後、スクラバ88へ排気されて、大気へ排気される前に環境的に危険なヒュームが凝縮される。
前述したように、好ましい反応装置10は、従来のガス源及び液体バブラに加えて、遠隔に又は反応チャンバ12上流に位置する励起種源60を備えている。例示した供給源60によって、マイクロ波エネルギーが、アプリケータ内を流れるガスに加えられ、ここでガスは反応物源63からの反応物前駆体を含む。後述するプロセスのために、プラズマ源ガス63は、フッ素源(例えば、NF3、F2、又はB26)、塩素源(例えば、Cl2)、及び/又は窒素源(例えば、N2又はNH3)を含んでいる。好ましいプロセスのための別の有用なプラズマ源ガスには、H2、O2、NO、N2O、He、及びArが含まれる。プラズマはアプリケータ内で点火され、励起種はチャンバ12へと運ばれる。好ましくは、供給源60によって生成された励起種の中で過度に反応性の高いイオン種は、チャンバ12内へ入る前に実質的に再結合する。一方、F、Cl、N、又はOなどのラジカルは、残ってチャンバ12へ入り、適切に反応する。後述する一般的なプロセスの説明から明らかになるように、遠隔プラズマ生成した励起種によって、より高品質の層、及び考え得るより大きなウェハスループットが促進される。
プロセスフロー
図3は、本発明に係る概略的なプロセス手順を示すものであり、半導体基板上にトランジスタゲートスタックを形成する場合を例示している。例示したように、半導体構造を含む単一基板を最初にクリーニングして、汚染物質と半導体構造上における自然発生物又は自然酸化膜を除去する(100)。半導体構造には、別のもの、エピタキシャルシリコン層、又はモノリシックシリコン層の上面が含まれ得る。従来、ゲート酸化物を成長させる前のウェハクリーニングは、ウェハをプロセスチャンバ内へ入れる前に外部で(ex−situ)行われている。例えばウェハを、SCl/HFウェットエッチングバス内でクリーニングするとよい。或いは、統合されたHF及び酢酸蒸気クリーニングが、クラスターツール内部の隣接するモジュール内で行われ、搬送時間及び再汚染又は再酸化の機会とを減らすことができる。幾つかの応用例においては、SC1のステップで残ったクリーニング酸化物(cleaning oxide)は、除去されないが、代わりに初期酸化物層として用いられる。別の可能性として、水素ベークステップをチャンバ12内で行って、自然酸化膜を昇華させることができる。少量のHCl蒸気をこのステップに加えることができ、水素ベーク中に金属汚染物質や同様のもののクリーニングが補助され得る。さらに別の形態においては、プラズマ生成物は、水素ガスの代替としてのHラジカルなどによって、in−situクリーニングが補助、又は実行され得る。
外部クリーニングの後又はin−situクリーニングの前のいずれかにおいて、ウェハ又は別の基板はプロセスチャンバ内にロードされる。自然酸化膜のクリーニング(100)は、水素終端された表面を残す傾向がある。これは、クリーンルーム環境又は別のオキシダント源への露出部分における自然の再酸化を抑制するのに有利である。残念なことに、このような水素終端は、例えば、ZrO2又はAl23の例示したALDプロセスなど、その後のALDプロセスをも抑制し得る。反応物の吸着を促進する方法の1つは、薄い界面層を堆積させることである。しかし不利なことに、このような層は、基板上に形成するべき誘電体の全体の厚みを増加させ、また、有効誘電率を低下させる傾向がある。
したがって好ましい実施の形態では、クリーニングされた基板表面の励起種処理(110)が適用され、好ましくはその後のゲート誘電体の堆積(120)と同じチャンバ内で行われる。該処理によって基板の表面終端が改質されて、その後の堆積が促進される。有利なことに、該処理(110)を調整して、表面結合(例示した実施の形態では、水素−シリコン結合及びSi−Si結合)を破壊し、新しい結合を形成するのに十分な活性化エネルギーを励起種が与え、その一方で、温度を基板のエッチング又はバルク材料中への活性種の著しい拡散を防ぐのに十分低く維持する。さらに、明らかな堆積は全く起こらない。せいぜい終端尾部(terminating tails)の単層が、励起種処理(110)で残るぐらいである。前述したように、表面終端を越える堆積は全く起こらないが、基板上部の少数の単層が転化する場合もあり、好都合となる。
励起種処理は、その後の誘電体堆積(120)と同じチャンバ内において、in−situで行うことができる。この場合、基板温度を、同じチャンバ内でのその後の堆積(120)に望ましい温度と一致するように設定することが望ましい。
一実施の形態においては、励起種処理(110)には、表面結合を破壊するのに十分な供給であるが、選ばれたシリコン表面をエッチングするには不十分なフッ素又は塩素ラジカルにさらすことが含まれる。好ましい遠隔プラズマ発生器60(図2)に供給されるソースガスとしては、NF3、F2、B26、Cl2、CF4などが含まれる。また、アルゴン、ヘリウム、又は別の不活性ガスを、グロー放電の形成を補助するために流して、キャリアガスとして機能させることもできる。しかし、全流量及び分圧を好ましく決定して、遠隔プラズマユニットの動作を維持するために、反応チャンバ圧力を約10Torr未満に保つとよい。好ましくはプロセスパラメータを、著しいバルク改質を伴うことなく表面結合を破壊するのに十分となるように調整する。その後の堆積120がALDによってin−situで行われる例示した実施の形態では、温度は、好ましくは室温から700℃、より好ましくは200℃から500℃の範囲内である。所定の温度に対して、圧力、遠隔プラズマパワー、反応時間、及び反応物濃度を、所望の表面調整が実現するように調整する。
別の実施の形態においては、その後の堆積の性質に応じて、アンモニア(NH3)又は窒素ガス(N2)などの窒素源を基板に供給して、表面結合を破壊し、Si−N結合を生成することができる。アルゴン、ヘリウム、又は別の不活性ガスも、グロー放電の形成を補助するために流し、キャリアガスとして機能させることもできる。しかし全流量及び分圧を好ましく決定して、遠隔プラズマユニットの動作を維持するために、反応チャンバ圧力を約10Torr未満に保つとよい。前述したように、該プロセスによって層は堆積されない。プロセスパラメータを好ましく選択し、基板上部の少数の単層におけるSi−Si結合をSi−N結合に替えるとよく、特に基板表面の約10Å未満、より好ましくは平均して約2Åから5Åを、シリコン酸窒化物に転化する。プロセスパラメータは、基板上部の少数の単層への窒素の取り込みを越えて、窒素がバルク内へ著しく拡散することを避けるように設定する。好ましくはバルク物質は、約1%未満の原子濃度の窒素を含む。F又はCl処理について前述したように、励起種処理(110)をin−situで行って、その後に高誘電率誘電体の形成(120)を行うことができるので、その後の堆積と同じ温度範囲を、励起種処理(110)に対して適用することができる。所定の温度に対して、圧力、遠隔プラズマパワー、プロセス継続時間、及び反応物濃度を調整して、バルク中への窒素の侵入に対する所望の制限を得ることができる。
励起種処理(110)に続いて、処理した表面上へのゲート誘電体の堆積(120)を行う。また、堆積(120)がラジカル種の流れを含むこともできる。しかし、このような場合、励起種処理(110)からのラジカル供給は通常、堆積(120)で適用した供給とは異なる。したがって励起種処理(110)からのラジカルの流れを好ましくは、堆積(120)の前に停止させる。
好ましい実施の形態によれば、堆積(120)にはALD形式の堆積が含まれる。この堆積では、交互パルスによって表面を飽和させ、各サイクルによって約1単層以下の誘電体が残る。後述する実施例では、アルミニウム源ガス又はジルコニウム源ガスを酸素源ガスと交互に変えて、酸化アルミニウム(Al23)及び酸化ジルコニウム(ZrO2)を形成する。当業者には自明の通り、同様の方法を用いて、酸化ハフニウム(HfO2)、酸化タンタル(Ta25)、バリウムストロンチウムチタネート(BST)、又はストロンチウムビスマスタンタレート(SBT)などの別の高誘電率材料を適用することができる。
ALD堆積の最初のパルスは、有利にも励起種による表面処理(110)によって残った終端と反応する。或いは、堆積の前にさらに表面処理を行うことができる。例えばウェハの処理は、励起種処理(110)後の表面とより容易に反応して、その後のALDプロセスと容易に反応する水酸基終端の表面を残す。
一実施の形態においては、励起種処理(110)の前又は後のいずれかにおいて、シリコンウェハを、ALDプロセス用にデザインされたPulsar(商標)2000反応装置(ASM Microchemistry(エスポ、フィンランド)から市販)の反応スペース内にロードした。反応スペースを、機械式真空ポンプによって真空に排気した。排気後、純度99.9999%の不活性ガス(例えばヘリウム、アルゴン、又は窒素ガス)を流しながら、反応スペースの圧力を約5〜10mbar(絶対値)に調整した。次に反応スペースを300℃で安定させた。外部供給源から気化された(CH33Al及びH2Oの交互の気相パルスを、反応スペース内に導入して、基板表面と接触させた。窒素ガスを流して、ソース化学物質パルス互いから分離した。
各パルスサイクルは、4つの基本ステップからなる。
・(CH33Alパルス
・N2パージ
・H2Oパルス
・N2パージ
典型的な酸化アルミニウム堆積サイクルを、表1にまとめる。
Figure 0004281082
サイクル数によって層の厚みが決まる。(CH33Al及びH2OからのAL23の成長速度は通常、300℃において0.1nm/サイクル又は1Å/サイクル付近であり、又は約3〜4サイクル/単層である(Al23は約3Åのバルク格子定数を有する)。各TMAパルスが残すメチル終端によって、利用可能な化学吸着サイトの数が減少するので、完全な単層以下のものが各パルスによって形成される。所望の層厚を形成するために、パルスサイクルを十分な回数だけ繰り返すとよい。酸化アルミニウムは、ゲート誘電体として、又は別の誘電体層を形成する前の薄膜として用いられる。
別の形態においては、ZrO2をALD形式のプロセスによって堆積させる。ZrCl4気体を反応チャンバに導入して、ウェハ表面に1.5秒間さらす。これをパルスAと呼ぶ。反応チャンバを窒素ガスで3.0秒間パージして、余分なZrCl4及び副生成物を反応チャンバから除去した。これをパージAと呼ぶ。次に水蒸気を反応チャンバに導入して、ウェハ表面に3.0秒間さらした。これをパルスBと呼ぶ。残留するH2O及び反応副生成物を、反応チャンバを4.0秒間パージすることによって除去した。これをパージBと呼ぶ。各反応フェーズの間、反応物を、所定の別のパラメータに対して、表面を飽和させるのに十分な量で供給する。
この典型的な高誘電率堆積サイクルを、表2にまとめる。
Figure 0004281082
パルスA、パージA、パルスB、パージBからなる表2のサイクルを、51回繰り返した。平均の堆積速度は300℃において約0.59Å/サイクルであり、その結果ZrO2の厚みは約30Åであった。
より一般的に、ALDプロセス中の温度は、塩素の層内への取り込みの許容レベルに応じて、好ましくは約200℃〜500℃に落とす。温度が高くなるにつれて、塩素含有量は小さくなる。塩素が多すぎると、電荷トラッピングの原因となり得る。300℃において、塩素含有量は約0.5%と測定されている。アモルファスZrO2層の場合、温度は、より好ましくはこの範囲の下端側、約200℃〜250℃であり、最も好ましくは約225℃である。結晶性膜の場合、温度は、より好ましくはこの範囲の上端側、約250℃〜500℃であり、最も好ましくは約300℃である。しかし、当業者には自明の通り、アモルファス及び結晶性組成の混合物が、これら2つの方式の境界で発生する。例示したプロセスでは、大きな結晶性ZrO2膜が生成される。
この場合、金属フェーズで形成された金属単層は、塩素によって自己終端され、これは好ましい条件の下で過剰のZrCl4と容易には反応しない。しかし、好ましい酸素源ガスは、前に吸着された塩化ジルコニア錯体の供給によって制限された配位子交換反応において、酸素フェーズの間に、塩素終端された表面と反応し又はその上に吸着する。さらに、飽和フェーズにおいて過剰のオキシダントとはそれ以上反応しない水酸基及び酸素のブリッジ終端が、酸化によって残る。
好ましくは、約20〜60ÅのZrO2が成長するように十分なサイクルを行う。より好ましくは、約20〜40Åが成長するように十分なサイクルを行う。層の誘電率は、約18〜24である。例示した実施例では、30ÅのZr23が形成された。
励起種処理(125)が、誘電体形成(120)の後に続く。誘電体が従来の酸化ケイ素を含んでいようと、(誘電率又はk値が約4を超える)高誘電率材料を含んでいようと、励起種処理(125)によって、有利にも、誘電体表面上でのポリシリコン又はポリSiGeの核生成が促進される。また、本発明は、CVDによって高誘電率材料上に堆積されるSiGeC及びシリコン窒化物又はAl23層の核生成の促進にも適用される。また、説明した処理には、高誘電率材料及びその下の基板をその後のプロセシング中の劣化から保護するという利点も分かっており、このことは、後に図4A及び図4Bに関してより詳細に説明する。
ALDに先立つ励起種処理(110)と同様に、シリコン又はポリSiGeの堆積に先立つ処理(125)によって、基板の表面終端が改質され、その後の堆積が促進される。処理(125)を調整して、励起種が、表面結合を破壊して新しい結合を形成するのに十分な活性エネルギーを与えるようにする一方で、プロセスパラメータを調整して、基板のエッチング又はゲート誘電体のバルク材料中への活性種の著しい拡散を防ぐのに十分低いエネルギーレベルを維持すると有利である。さらに、明らかな堆積は全く起こらない。せいぜい終端尾部の単層が、励起種処理(125)によって残るぐらいである。しかし、プロセスを調整して、金属−酸素結合を破壊しそれらを金属−窒素結合に替えることによって、高誘電率酸化物の上部の少数の単層を窒化物に転化させることができる。好ましくは、温度をほぼ室温〜800℃に維持する。
励起種処理(125)を、前の誘電体堆積(120)と同じチャンバ内で行うことができるが、より好ましくは、その後の電極形成(130)と同じチャンバ内で行う。したがって、処理(125)中の温度を好ましくは、ポリシリコン又はポリSiGe堆積条件の少なくとも最初の段階と一致させる一方、別のパラメータ(圧力、反応物濃度、プラズマパワー、プロセス継続時間)を、バルク効果を最小限に抑えて所望の表面改質が得られるように最適化する。例示した実施の形態では、その後の電極形成(130)が、CVDによるポリシリコン又はポリSiGe堆積を含んでおり、処理125中の温度は、より好ましくは約300℃から800℃、最も好ましくは約500℃から700℃の範囲内である。
一実施の形態においては、励起種処理(125)には、表面結合を破壊するには十分な供給であるが、選ばれた高誘電率表面をエッチングするには不十分なフッ素又は塩素ラジカルにさらすことが含まれる。好ましい遠隔プラズマ発生器60(図2)に供給されるソースガスとしては、NF3、F2、B26、Cl2、CF4などが含まれる。アルゴン、ヘリウム、又は別の不活性ガスを、グロー放電の形成を補助するために流すこともできる。しかし、好ましくは、遠隔プラズマユニットの動作を維持するために、反応チャンバの圧力を約10Torr未満に保つとよい。
好ましくはプロセスパラメータを、著しいバルク改質を伴うことなく表面結合を破壊するのに十分となるように調整する。ゲート電極のin−situ堆積(130)においては、好ましくは基板温度を、同じチャンバ内のその後の堆積に望ましい温度と一致するように設定する。所定の温度に対して、圧力、遠隔プラズマパワー、プロセス継続時間、及び反応物濃度を、所望の表面調整が得られるように調整する。
別の実施の形態においては、アンモニア(NH3)又は窒素ガス(N2)などの窒素源を基板に供給して、表面結合を破壊して金属−窒素を生成することができる。N2は、プロセス中の水素含有量を最小限にする窒素源として特に好ましい。また、アルゴン、ヘリウム、又は別の不活性ガスを、グロー放電の形成を補助するために流すこともできる。しかし、好ましくは、遠隔プラズマユニットの動作を維持するために、反応チャンバの圧力を約10Torr未満に保つとよい。
前述したように、プロセス(125)によって、何らかの著しい堆積又は厚みの増加がもたらされる。プロセスパラメータを好ましく選択し、高誘電率誘電体上部の少数の単層における金属−酸素結合を、金属−窒素結合に替えて、金属酸窒化物を形成する。特に、高誘電率誘電体の励起種処理(125)によって、好ましくは誘電体表面の約10Å未満をシリコン酸窒化物に転化し、より好ましくは平均して約2Åから5Åを形成する。同時に、プロセス中のエネルギーレベルを、誘電体上部の少数の単層への窒素の取り込みを越えて窒素がバルク誘電体内へ著しく拡散するのを避けるように維持する。好ましくは、バルク誘電体は、約10%未満の原子濃度の窒素を10Åの深さにおいて含む。
次に、処理したゲート誘電体上へのゲート電極の堆積(130)を行う。これは好ましくは、前の表面処理(125)と同じチャンバ内で行い、また好ましくはin−situでドーピングする。ゲート電極は好ましくはシリコンを含み、CVDで堆積させる。本明細書に記載の実施例では、ゲート電極は、処理したゲート誘電体上に、シリコン源(例示した実施例ではSiH4)及びゲルマニウム源(例示した実施例ではゲルマン又はGeH4)を流すことによって堆積させた、好ましくはSi1-xGexの形態を有するCVDシリコンゲルマニウム合金を含む。しかし、前の遠隔プラズマ処理(125)は、別の核生成の敏感な堆積プロセスに対しても利点がある。
簡単のため、シリコンゲルマニウム層を、より一般的にポリSiGeと呼ぶ。好ましくはポリSiGe堆積(130)を、同じチャンバ内で、励起種表面処理(125)に即座に続ける。或いは初期シリコンシード層を、ポリSiGeの前に堆積させてもよい。好ましくは、ポリシリコン又はポリSiGe堆積のいずれかを、大気条件又はほぼ大気条件の下で行う。
前述したように、ポリSiGeの堆積は、好ましくは、化学気相成長プロセスにおいて、シリコン源ガス、ゲルマニウム源ガス、及びキャリアガスを流すことを含む。例示した実施の形態においては、シリコン源ガスはモノシラン(SiH4)を含み、ゲルマニウム源ガスは希釈したゲルマン(不活性ガス中の1.5%GeH4)を含み、キャリアガスは窒素(N2)を含む。シランの流れは、50sccm〜500sccm、より好ましくは約100sccm〜400sccmにするとよい。希釈したゲルマン(例示した実施の形態では1.5%ゲルマン)は、好ましくは50sccm〜5000sccm、より好ましくは約100sccm〜1000sccmの早さで供給されるとよい。キャリアの流れは、好ましくは、5slm〜50slm、より好ましくは約20slmである。
例示した実施の形態においては、プラズマ又は別の補足エネルギー源の補助がない場合、堆積中の温度は、好ましくは約500℃〜800℃、より好ましくは約550℃〜650℃、最も好ましくは約600℃±15℃である。これらの範囲の下端側では、ポリSiGeの堆積は商用の用途には遅すぎる。一方、これらの範囲の上端側では、層中へのゲルマニウムの取り込みが減少し、表面粗さが増加する。ジシランやトリシランなど、代わりとなるシリコン源ガスの場合、少なくとも初期において、堆積温度を300℃程度に低くすることができ、好ましい範囲は500℃〜700℃である。有利なことに、このようにシランの次数が高くなると、示される水素:シリコン比が低くなって、水素が表面を通って拡散し、結果として高誘電率材料が還元されて金属になるというリスクが減る。
ポリSiGeの堆積は、好ましくは、約500Torrを超えるところ、より好ましくは約700Torrを超えるところで行い、最も好ましくはほぼ大気圧(760Torr)で行う。ガスの流れによるわずかな圧力差は、無視できる効果である。当業者には自明の通り、大気における堆積では、前駆体が堆積ポリSiGeに転化する効率が低下する。しかしながら、発明者等は、大気圧下での堆積の方が、動作効率に対して得られる利点が大きいことを見出した。
ポリSiGe層中でのゲルマニウム含有量は、好ましくは約10%〜80%であり、より好ましくは約20%〜50%である。層の全体の厚みは、好ましくは500〜1500Åであり、より好ましくは約500〜1000Åである。
2キャリアガスを用いる典型的なSiGe堆積の方法には、約500sccmの1.5%ゲルマンと、100sccmのシランと、20slmのキャリアガスとの流れを、大気圧及び600℃で流すことが含まれる。当業者には自明の通り、N2キャリアガスを用いて、条件を個別に最適化しなければならない。上記の流れによって、ゲルマニウム含有量が約18%〜20%のシリコンゲルマニウム層が生成される。同じキャリア及びシランの流れの下で、4500sccmの希釈したゲルマンシランによって、ゲルマニウム含有量が約50%のものが生成される。
ポリSiGeの堆積(130)に続いて、キャップ層の堆積を行うことが最も好ましい。キャップ層は、好ましくはシリコンを含み、最も好ましくはアモルファスシリコンを含む。比較的薄いキャップ層(例えば約100Å)を用いることで、SiGe層中のゲルマニウムが酸化するリスクを最小限にすることができる。一方、キャップ層は、多くのゲートスタックデザインに基づいて、後のシリサイデーション用の犠牲層としても機能し得る。この場合、キャップ層はもっと厚く、好ましくは約1000Å〜2500Åである。アモルファスシリコンのキャップの後、キャップ層の上に金属層を形成してもよい。次に標準のシリサイデーション反応において、この金属層をアニールして、覆いかぶさる金属をその下のシリコンと反応させてもよい。例示していないが、誘電体のキャップ層を、前述したゲートスタックの導電層上に堆積させてもよい。
ゲートスタックが完成すると、ゲート電極を好ましくは、従来のフォトリソグラフィ技術及びエッチングによってパターニングする。別の形態においては、当該技術分野で周知のように、ゲート電極を金属層の堆積の前にパターニングすることができ、金属に自己整合シリサイデーションを適用することができる。
ゲートスタックが完成すると、集積回路を完成させるためのさらなるプロセシングを続ける。例えば、ゲートスタックを通常、誘電体のブランケット(blanket)堆積及びスペーサエッチによって絶縁する。次にトランジスタの活性領域にドーピングして、パターニングされた電極の両側にソース及びドレイン領域を形成し、ワイアリング又は「後工程」プロセスによって回路を完成させる。
有利なことに、励起種処理(110)(ALDの前)及び(125)(ポリSiGe堆積の前)によって、処理された表面上への堆積が促進される。最初の実施例では、励起種処理(110)によってALD反応物の吸着が促進され、このような利点は、非反応性基板上にALDが要求される様々な別の状況に付随することが分かる。
第2の実施例では、表面処理(125)によって、例示したポリSiGe層などのシリコン含有層の被覆のための急速なインキュべーション、及びそれによるより速い堆積時間が可能になるとともに、全体としてより良好な層品質が可能になる。in−situでゲルマニウムドーピングされたシリコン層を(励起種処理(125)を伴わずに)直接堆積させることは、その下のゲート誘電体を、堆積と競争しながらエッチングする傾向があるため、インキュべーション時間が長くなる。当業者には自明の通り、インキュべーション時間が増え、その結果全体の堆積時間が増えると、ウェハのスループットが低下する。これは、非常に競争の激しい半導体製造業界において商業的に実現可能なプロセスと実現不可能なプロセスとの間の差を意味し得る。さらに、インキュべーションが良好であるほど、より高品質な層も伴う。当業者であれば本明細書における開示を考慮して、アンドープのシリコン又はポリシリコンにin−situでリン、ヒ素、又はボロンをドーピングする場合にも、インキュべーション時間が改善され得るであろうことが分かる。
高誘電率誘電体の上の表面の遠隔プラズマ窒化をゲート電極形成の前に行うことには、付加的な利点があることが分かっている。その上に堆積されるシリコン含有層の核生成が改善されることに加えて、表面処理によって、その後の堆積中における高誘電率材料の劣化が減ることも分かっている。励起種処理によって形成される薄い窒化物又は酸窒化物は、高誘電率材料の還元を抑制すると考えられる。金属酸化物の代わりに導電性金属を残すであろうこのような還元は、そうでなければ、ゲート電極の堆積から、特に堆積方法が高水素含有量で及び/又は高温で適用される場合、生じ得る。さらに、高誘電率層を通過する酸素拡散を、好ましい励起種処理(110、125)によってもたらされる最小限の窒化によって、抑制することもできる。
図4及び図4Bは、上記のような誘電体スタックを組み込んだトランジスタゲートを示す。特に、半導体基板200は、その上に形成されたトランジスタゲートスタック210と共に示されている。例示した実施の形態においては、基板200は単結晶シリコンウェハの上部を含んでいるが、当業者には自明の通り、基板は別の半導体材料を含み得る。
ゲートスタック210はポリSiGe電極層220を含み、側壁スペーサ230及び絶縁層240によって、従来方法で、電極220を保護及び絶縁している。また、ポリSiGe220上の通常、金属を含む、さらに導電性の高いストラッピング層250が例示されている。ストラップ250は、ウェハ上に渡るトランジスタゲート間の高速の信号伝達を促進し、ゲートを論理回路に接続する。別の形態においては、ゲート電極は、in−situドーピングされたポリシリコン層を含んでいても良い。
ゲート誘電体260は、前述した典型的なプロセスによって形成されており、ゲート電極220を基板200から分離する。前述の背景の項で述べたように、ゲート誘電体260は、より高密度でより高速の回路を追求する上で重要な要素である。
図4Bの拡大図から明らかなように、好ましいゲート誘電体260は、下の基板200との界面262、バルク誘電体層264、及び上に重なるゲート電極220との界面266を含む。例示した実施の形態の界面262、266は堆積層を表わしたものではなく、むしろ界面は、その上に層を堆積させる前にわずかに改質されたものである。別の形態においては、プラズマ処理によって付加的な層が残り得る。例示した実施の形態においては、遠隔プラズマによって、第1の界面262を改質するためのラジカルが、ALDの直前に提供される。表面改質によってALD反応物の吸着が促進される。また、遠隔プラズマによって、第2の界面266を改質するためのラジカルが、CVDによるポリシリコン、特に真性ポリシリコン及びポリSiGeの堆積など、シリコン含有層のin−situ堆積の前に、提供される。表面改質によってポリSiGeの核生成が促進される。遠隔プラズマ窒化の場合、下部界面262は基板200の窒化部分を含み、好ましくは約10Å未満、より好ましくは約3Å〜5Åの厚みを含んで基板200内に拡がっている。好ましくは、この界面262の下のバルク物質は、約1原子%未満の窒素を含む。上部界面266も同様に、ゲート電極220下の距離10Åにおいて、好ましくは低パーセンテージ(好ましくは10原子%未満)の窒素を示す。
当業者には自明の通り、本発明の技術的範囲から逸脱することなく、前述したプロセスに対して、種々の省略、追加、及び変更を行ってもよい。このような変更や変形は全て、添付の特許請求の範囲によって規定される本発明の技術的範囲内であると考える。例えば、ALD及びCVD前の表面処理の状況において例示したが、当業者には自明の通り、別の形態の堆積、例えば、ただし、これに限定されないが、MOCVD及びJVDの前の表面のラジカル処理に対しても適用され得る。
典型的な枚葉式反応チャンバを示す概略的な断面図である。 本発明の好ましい実施の形態に係る反応物及びパージガス源を示すガス流の概略図である。 好ましい実施の形態に係る表面処理のステップを概略的に示すフローチャートである。 本発明の好ましい実施の形態に係る方法により構成されたトランジスタゲートスタックを示す概略的な断面図である。 本発明の好ましい実施の形態に係る方法により構成されたトランジスタゲートスタックを示す概略的な断面図である。 本発明の2つの実施の形態に係る方法の手順を示すフローチャートである。
符号の説明
200 半導体基板
210 ゲートスタック
220 ポリSiGe電極層
230 側壁スペーサ
240 絶縁層
260 ゲート誘電体
262 界面
264 バルク誘電体層
266 界面

Claims (13)

  1. 部分的に形成された集積回路のシリコン材料の表面上に膜を堆積させる方法であって、
    前記シリコン材料の前記表面をプラズマの生成物に露出させて、Si−Si結合を破壊することにより、前記表面の終端を改質するステップと、
    前記表面の終端を改質した後、その上に層を堆積させるステップとを含み、
    前記プラズマの生成物が、窒素励起種を含み、
    前記改質の後に、前記シリコン材料が、前記シリコン材料の改質された前記表面直下に1原子%未満且つ0原子%超の窒素を含み、
    堆積させる前記ステップが、原子層堆積(ALD)を含む方法。
  2. 前記ALDが、シリコン窒化物よりも高い誘電率を有する酸化物を堆積させることを含むものである請求項1に記載の方法。
  3. 前記酸化物が、酸化アルミニウム、酸化ジルコニウム、酸化ハフニウム、バリウムストロンチウムチタネート、ストロンチウムビスマスタンタレートからなるグループから選択されたものである請求項2に記載の方法。
  4. 露出させることが、遠隔プラズマ源から表面へラジカルの流れを供給することを含むものである請求項1に記載の方法。
  5. 前記ラジカルの流れを、堆積の前に停止させるものである請求項4に記載の方法。
  6. 露出させることが、表面の下の材料のバルク特性に明らかな影響を及ぼさないものである請求項1に記載の方法。
  7. 露出させることが、1原子単層よりも大きい層を堆積させないものである請求項1に記載の方法。
  8. トランジスタゲートスタックを形成する方法であって、
    半導体基板上にゲート誘電体を形成することと、
    前記ゲート誘電体を窒素励起種源に露出させ、露出によってゲート誘電体の上面から10Åを超える深さにおいて、10原子%未満且つ0原子%超の窒素を組み込むことと、
    前記ゲート誘電体を前記窒素励起種源に露出させた後、前記ゲート誘電体上にシリコン含有ゲート電極を堆積させることとを含み、
    前記ゲート誘電体が形成される前に、前記半導体基板が窒素励起種源に露出される方法。
  9. 前記ゲート誘電体が、酸化アルミニウム、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、バリウムストロンチウムチタネート、ストロンチウムビスマスタンタレートからなるグループから選択される材料を含むものである請求項8に記載の方法。
  10. 前記ゲート誘電体が、酸化ジルコニウムを含むものである請求項9に記載の方法。
  11. 前記半導体基板の前記表面の露出によって、10Å未満且つ0超のシリコン酸窒化物を形成するものである請求項8に記載の方法。
  12. 前記ゲート誘電体を形成することが、1原子層の堆積を含むものである請求項11に記載の方法。
  13. 前記シリコン含有ゲート電極を堆積させることが、化学気相成長によってシリコンゲルマニウム層を堆積させることを含む請求項8に記載の方法。
JP2002544761A 2000-11-24 2001-11-19 堆積前の表面調整方法 Expired - Lifetime JP4281082B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25369300P 2000-11-24 2000-11-24
US28358401P 2001-04-13 2001-04-13
US09/944,734 US6613695B2 (en) 2000-11-24 2001-08-31 Surface preparation prior to deposition
PCT/US2001/044006 WO2002043115A2 (en) 2000-11-24 2001-11-19 Surface preparation prior to deposition

Publications (2)

Publication Number Publication Date
JP2004523885A JP2004523885A (ja) 2004-08-05
JP4281082B2 true JP4281082B2 (ja) 2009-06-17

Family

ID=27400713

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002544761A Expired - Lifetime JP4281082B2 (ja) 2000-11-24 2001-11-19 堆積前の表面調整方法

Country Status (7)

Country Link
US (4) US6613695B2 (ja)
EP (1) EP1344247A2 (ja)
JP (1) JP4281082B2 (ja)
KR (1) KR100856473B1 (ja)
AU (1) AU2002230471A1 (ja)
TW (1) TW522484B (ja)
WO (1) WO2002043115A2 (ja)

Families Citing this family (643)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
CN100355033C (zh) * 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100574150B1 (ko) * 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2004006819A (ja) * 2002-04-26 2004-01-08 Nec Electronics Corp 半導体装置の製造方法
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
JP2003347229A (ja) * 2002-05-31 2003-12-05 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20040009680A1 (en) * 2002-07-10 2004-01-15 Applied Materials, Inc. Seedless method of forming a silicon germanium layer on a gate dielectric layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
AU2003272656A1 (en) * 2002-09-27 2004-04-19 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
WO2004040642A1 (en) * 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
JP2006505291A (ja) * 2002-11-08 2006-02-16 ヘマテック,エルエルシー プリオンタンパク質活性が低減されたトランスジェニック有蹄動物及びその用途
US7556048B2 (en) * 2002-11-15 2009-07-07 Agere Systems Inc. In-situ removal of surface impurities prior to arsenic-doped polysilicon deposition in the fabrication of a heterojunction bipolar transistor
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
TW569313B (en) * 2002-11-28 2004-01-01 Univ Nat Chiao Tung Method for improving properties of high k materials by CF4 plasma pre-treatment
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
JP2004343031A (ja) * 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd 誘電体膜およびその形成方法ならびに誘電体膜を用いた半導体装置およびその製造方法
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP3776889B2 (ja) * 2003-02-07 2006-05-17 株式会社東芝 半導体装置およびその製造方法
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
KR100524197B1 (ko) * 2003-04-29 2005-10-27 삼성전자주식회사 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
US7101435B2 (en) * 2003-05-30 2006-09-05 Micron Technology, Inc. Methods for epitaxial silicon growth
US7164182B2 (en) 2003-07-07 2007-01-16 Micron Technology, Inc. Pixel with strained silicon layer for improving carrier mobility and blue response in imagers
JP2007516599A (ja) * 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
JPWO2005013374A1 (ja) * 2003-08-05 2006-09-28 富士通株式会社 半導体装置および半導体装置の製造方法
US7258895B2 (en) * 2003-08-06 2007-08-21 Micron Technology, Inc. Methods of forming material on a substrate, and a method of forming a field effect transistor gate oxide on a substrate
US7052546B1 (en) * 2003-08-28 2006-05-30 Cape Simulations, Inc. High-purity crystal growth
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US6924239B2 (en) * 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
JP2007511902A (ja) * 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7055263B2 (en) 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US6998317B2 (en) * 2003-12-18 2006-02-14 Sharp Laboratories Of America, Inc. Method of making a non-volatile memory using a plasma oxidized high-k charge-trapping layer
US7203001B2 (en) * 2003-12-19 2007-04-10 Nanoopto Corporation Optical retarders and related devices and systems
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7037816B2 (en) * 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US7142375B2 (en) * 2004-02-12 2006-11-28 Nanoopto Corporation Films for optical use and methods of making such films
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7041596B1 (en) 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US20050275944A1 (en) * 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20060001969A1 (en) * 2004-07-02 2006-01-05 Nanoopto Corporation Gratings, related optical devices and systems, and methods of making such gratings
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4353371B2 (ja) * 2004-08-06 2009-10-28 株式会社トリケミカル研究所 膜形成方法
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060060930A1 (en) * 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
DE102004049246A1 (de) * 2004-10-01 2006-04-06 Atmel Germany Gmbh Lateraler DMOS-Transistor und Verfahren zu seiner Herstellung
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7619816B2 (en) * 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US20060127830A1 (en) * 2004-12-15 2006-06-15 Xuegong Deng Structures for polarization and beam control
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7927933B2 (en) 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
EP1693889B1 (en) 2005-02-16 2017-05-24 Imec Method to enhance the initiation of film growth
KR100706243B1 (ko) * 2005-02-22 2007-04-11 삼성전자주식회사 질화 텅스텐 증착 장치 및 증착 방법
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
KR100718839B1 (ko) * 2005-08-31 2007-05-16 삼성전자주식회사 박막 제조 방법 및 이를 이용한 커패시터의 제조 방법
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7618902B2 (en) * 2005-11-30 2009-11-17 Freescale Semiconductor, Inc. Plasma treatment of a semiconductor surface for enhanced nucleation of a metal-containing layer
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20070165308A1 (en) * 2005-12-15 2007-07-19 Jian Wang Optical retarders and methods of making the same
US20070139771A1 (en) * 2005-12-15 2007-06-21 Jian Wang Optical retarders and methods of making the same
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
US20070217008A1 (en) * 2006-03-17 2007-09-20 Wang Jian J Polarizer films and methods of making the same
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5543203B2 (ja) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
JP5171625B2 (ja) * 2006-07-20 2013-03-27 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
WO2008016650A2 (en) 2006-07-31 2008-02-07 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7432548B2 (en) 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7838364B2 (en) * 2006-09-29 2010-11-23 Hynix Semiconductor Inc. Semiconductor device with bulb-type recessed channel and method for fabricating the same
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
CN101548190A (zh) * 2006-12-18 2009-09-30 应用材料股份有限公司 低能量、高剂量砷、磷与硼注入晶片的安全处理
US20080191633A1 (en) * 2007-02-12 2008-08-14 Chi-Shih Lai Serial light-emitting light structure
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
JP4735601B2 (ja) * 2007-05-14 2011-07-27 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR20090033788A (ko) * 2007-10-01 2009-04-06 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법과 기판 처리 장치
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20090211603A1 (en) * 2008-02-22 2009-08-27 Tokyo Electron Limited System and Method For Removing Post-Etch Residue
US20090211604A1 (en) * 2008-02-22 2009-08-27 Tokyo Electron Limited System and Method For Removing Edge-Bead Material
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8765223B2 (en) * 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8507040B2 (en) * 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20100030768A (ko) * 2008-09-11 2010-03-19 삼성전자주식회사 보호막 후면에 차광막을 갖는 시모스 이미지 센서 및 그 제조 방법
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758633B1 (en) 2009-07-28 2014-06-24 Clemson University Dielectric spectrometers with planar nanofluidic channels
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US7994070B1 (en) * 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8846146B2 (en) 2010-11-01 2014-09-30 The Board Of Trustees Of The University Of Illinois Smoothing agents to enhance nucleation density in thin film chemical vapor deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8389352B2 (en) 2011-02-11 2013-03-05 International Business Machines Corporation Silicon germanium film formation method and structure
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8633119B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5624083B2 (ja) * 2011-06-09 2014-11-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Productsand Chemicalsincorporated 二元及び三元金属カルコゲニド材料ならびにその製造方法及び使用方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8455292B2 (en) * 2011-09-09 2013-06-04 International Business Machines Corporation Deposition of germanium film
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP5953531B2 (ja) * 2012-05-09 2016-07-20 株式会社Joled 薄膜製造方法および表示パネルの製造方法、tft基板の製造方法
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012219667A1 (de) * 2012-10-26 2014-04-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zum Aufbringen einer Aluminiumoxidschicht auf ein Halbleitersubstrat
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
TWI487022B (zh) * 2013-04-18 2015-06-01 Univ Tohoku Method of treating inner wall of fine pores
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245793B2 (en) 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR101663958B1 (ko) 2014-12-08 2016-10-12 삼성전자주식회사 자기 메모리 소자의 제조방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6887655B2 (ja) * 2015-03-06 2021-06-16 国立研究開発法人物質・材料研究機構 ビスマス系誘電体用電極及びキャパシタ
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177185B2 (en) * 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6608332B2 (ja) 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6731798B2 (ja) * 2016-06-24 2020-07-29 東京エレクトロン株式会社 基板処理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9972695B2 (en) * 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102271771B1 (ko) 2017-05-25 2021-07-01 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11584986B1 (en) 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US12000062B1 (en) * 2019-07-30 2024-06-04 United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of monocrystalline or polycrystalline tin alloys on crystallographcially mis-matched or amorphous substrates
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN113972126A (zh) * 2020-07-22 2022-01-25 北京屹唐半导体科技股份有限公司 使用氧加工工件
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2394930A (en) 1943-07-03 1946-02-12 Eastman Kodak Co Formation of mirrors by thermal evaporation
US3895127A (en) 1974-04-19 1975-07-15 Rca Corp Method of selectively depositing glass on semiconductor devices
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
DE2904171A1 (de) 1979-02-05 1980-08-14 Siemens Ag Verfahren zum herstellen von aus amorphem silizium bestehenden halbleiterkoerpern durch glimmentladung
US4343830A (en) 1980-11-13 1982-08-10 Motorola, Inc. Method for improving the efficiency of solar cells having imperfections
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
US4544571A (en) 1984-02-13 1985-10-01 Pennwalt Corporation Method of manufacture of EMI/RFI vapor deposited composite shielding panel
JPS60254621A (ja) 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd 薄膜形成方法
FR2571542B1 (fr) 1984-10-09 1987-01-23 Labo Electronique Physique Procede de realisation d'un dispositif semiconducteur incluant l'action de plasma
US4766006A (en) 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5281546A (en) * 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5451975A (en) 1993-02-17 1995-09-19 Space Systems/Loral, Inc. Furlable solid surface reflector
EP0617461B1 (en) 1993-03-24 1997-09-10 AT&T Corp. Oxynitride dielectric process for IC manufacture
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
KR100207467B1 (ko) 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5950107A (en) * 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6200866B1 (en) * 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6184110B1 (en) * 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US6727148B1 (en) * 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3616514B2 (ja) * 1998-11-17 2005-02-02 株式会社東芝 半導体集積回路及びその製造方法
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6933246B2 (en) 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
US20040071878A1 (en) 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6787453B2 (en) 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
JP2007502551A (ja) 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials

Also Published As

Publication number Publication date
KR100856473B1 (ko) 2008-09-04
WO2002043115A2 (en) 2002-05-30
US20020098627A1 (en) 2002-07-25
US20060205230A1 (en) 2006-09-14
JP2004523885A (ja) 2004-08-05
WO2002043115A8 (en) 2003-10-30
AU2002230471A1 (en) 2002-06-03
EP1344247A2 (en) 2003-09-17
US20040147101A1 (en) 2004-07-29
KR20030051873A (ko) 2003-06-25
US7056835B2 (en) 2006-06-06
US6958277B2 (en) 2005-10-25
WO2002043115A3 (en) 2002-08-22
US20040121620A1 (en) 2004-06-24
US7476627B2 (en) 2009-01-13
TW522484B (en) 2003-03-01
US6613695B2 (en) 2003-09-02

Similar Documents

Publication Publication Date Title
JP4281082B2 (ja) 堆積前の表面調整方法
US7202166B2 (en) Surface preparation prior to deposition on germanium
US7026219B2 (en) Integration of high k gate dielectric
US6960537B2 (en) Incorporation of nitrogen into high k dielectric film
US6348420B1 (en) Situ dielectric stacks
US20060211259A1 (en) Silicon oxide cap over high dielectric constant films
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041020

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080409

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080521

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080929

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090210

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090306

R150 Certificate of patent or registration of utility model

Ref document number: 4281082

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120327

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120327

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130327

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130327

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140327

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term