KR100339179B1 - 상호 접속 구조 및 그 형성 방법 - Google Patents

상호 접속 구조 및 그 형성 방법 Download PDF

Info

Publication number
KR100339179B1
KR100339179B1 KR1019990012728A KR19990012728A KR100339179B1 KR 100339179 B1 KR100339179 B1 KR 100339179B1 KR 1019990012728 A KR1019990012728 A KR 1019990012728A KR 19990012728 A KR19990012728 A KR 19990012728A KR 100339179 B1 KR100339179 B1 KR 100339179B1
Authority
KR
South Korea
Prior art keywords
copper
seed layer
electronic device
depositing
metal
Prior art date
Application number
KR1019990012728A
Other languages
English (en)
Other versions
KR19990083124A (ko
Inventor
에델스테인다니엘챨스
하퍼제임스맥켈에드윈
쿠차오-쿤
시몬앤드류에이치
우조사이프리안이메카
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19990083124A publication Critical patent/KR19990083124A/ko
Application granted granted Critical
Publication of KR100339179B1 publication Critical patent/KR100339179B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 발명은 전자 소자와의 전기적 통신을 제공하는 상호 접속 구조에 관한 것으로, 상호 접속 구조는 실질적으로 구리로 형성된 몸체와, 상호 접속 구조의 전자 이동 저항(electromigration resistance), 부착 특성, 다른 표면 특성을 개선하기 위해 구리 합금 혹은 구리를 포함하지 않는 금속으로 이루어져 있으며 구리 도전체 몸체와 전자 소자 사이에 개재되어 있는 시드층을 포함한다. 또한, 본 발명은 전자 소자에 전기적 연결을 제공하는 상호 접속 구조를 형성하는 방법에 관한 것으로, 이 방법은 상호 접속 구조의 전자 이동 저항, 부착과 다른 표면 특성이 개선되도록, 먼저 전자 소자 상에 구리 합금 혹은 구리를 포함하지 않는 다른 재료로 된 시드층을 증착하는 단계와 시드층 상에 시드층에 견고하게 부착하는 구리 도전체 몸체를 형성하는 단계를 포함한다.

Description

상호 접속 구조 및 그 형성 방법{COPPER INTERCONNECTION STRUCTURE INCORPORATING A METAL SEED LAYER}
본 발명은 전반적으로 전자 소자와의 전기적 통신을 제공하는 상호 접속 구조와 이러한 구조를 제조하는 방법에 관한 것으로, 특히, 구리 도전체 몸체와 전자 소자 사이에 구리 합금 시드층을 구비함으로써 전자 소자에 전기적 연결을 제공하여 상호 접속 구조의 전자 이동 저항(electromigration resistance),부착(adhesion)과 표면 특성을 개선하는 상호 접속 구조에 관한 것이다.
반도체 칩 구조, 평판 디스플레이(flat panel display), 패키지(package) 응용에 비아(via), 라인, 다른 리세스(recess)를 제공하는 상호 접속부를 제조하는 기술이 오랫동안 개발되어 왔다. 예를 들어, VLSI(very-large-scale-integrated) 구조에 대한 상호 접속 기술을 개발하는데 있어서, 단일 기판 상에 위치하는 반도체 영역 혹은 소자 내의 콘택트 및 상호 접속부에 대한 주된 금속 재료로 알루미늄이 사용되어 왔다. 알루미늄은 낮은 가격, 양질의 저항성 콘택트(ohmic contact), 높은 도전성으로 인해 재료로 선택되어 왔다. 그러나, 순수한 알루미늄 박막 도전체는 저온 공정으로 그 사용을 제한하는 낮은 융점, 어닐링(annealing) 동안에 실리콘 내부로 확산되어 콘택트와 접합을 손상시킬 가능성, 전자 이동과 같은 바람직하지 못한 특성을 가지고 있다. 따라서, 순수한 알루미늄에 비해 장점을 갖는 많은 알루미늄 합금이 개발되어 왔다. 예를 들어, 미국 특허 제 4,566,177 호는 전자 이동 저항을 개선하기 위해 개발된, 실리콘의 중량비 3%에 이르는 구리, 니켈, 크롬, 망간을 포함하는 알루미늄 합금 도전층을 개시하고 있다. 미국 특허 제 3,631,304 호 또한 전자 이동 저항을 개선하기 위해 사용된, 알루미늄 산화물을 포함하는 알루미늄 합금을 개시하고 있다.
근래에 개발된 ULSI 기술은 이러한 소자에서 요구되는 극히 높은 회로 밀도와 보다 빠른 동작 속도로 인해 배선에 더욱 엄격한 요구 조건을 강요해 왔다. 이에 따라 도전체 라인은 점점 좁아지는 반면에 전류 밀도는 보다 높아지고 있다. 그 결과, 큰 단면적을 갖는 알루미늄 합금 도전체 배선 혹은 더 큰 컨덕턴스(conductance)를 갖는 다른 배선 재료를 필요로 하는 더 큰 컨덕턴스 배선이 요구된다. 산업 분야에서의 분명한 선택은 바람직한 높은 전도성 때문에 순수한 구리를 사용하는 후자를 개발하는 것이다.
비아 및 라인과 같은 ULSI 상호 접속 구조를 형성하는데 있어서, 이러한 리세스 내부에 구리를 증착하여 동일한 기판 상에 위치하는 반도체 영역 혹은 소자들을 상호 연결시킬 수 있다. 그러나, 구리는 낮은 전자 이동 저항으로 인해 반도체 소자 정션(junction)에 문제를 일으키는 것으로 알려져 있다. 전자 이동 현상은 금속성 고체 내에 불규칙성의 열적 확산(random thermal diffusion)이 있을 때 인가된 전기장으로 인해 전자의 이동 방향으로 이온의 순이동(net drift)이 일어나는 경우에 발생한다. 구리 이온이 실리콘 기판 내부로 조금이라도 확산되면 소자의 장애를 일으킬 수 있다. 또한, 순수한 구리는 실리콘 이산화물과 폴리이미드(polyimide) 같이 산소를 포함하는 유전체에는 잘 부착되지 않는다. 상호 접속 기술에 구리를 충분히 이용하기 위해서는 구리의 부착 특성 또한 개선되어야 한다.
본 발명의 공동 양수인에게 양도된 미국 특허 제 5,130,274 호는, 먼저 합금을 상호 접속 구조의 리세스 내부에 증착한 후, 구리 합금 플러그(plug)와 플러그의 노출된 표면 상에 합금 구성 요소의 얇은 산화물층을 형성하는 것으로, 2 원자 % 미만의 합금 요소를 포함하는 구리 합금의 사용을 개시하고 있다. 그러나, 이 기법은 0.5 μm 미만의 한계 치수가 박막 칩 상호 접속에 상당한 부담을 주는 ULSI 구조에서의 보다 엄격한 요구 조건을 여전히 만족시키지 못한다. 미세한 서브마이크론(deep-submicron) 로직 회로 배선 구조에 표준 Al(Cu) 합금 및 실리콘 이산화물 유전체를 사용하게 되면 주로 배선 연결에 의한 큰 회로 지연이 발생한다.
칩 속도를 증가시키기 위해 ULSI 배선 구조에 Al(Cu)에 대한 대체 재료로서 Cu를 사용하는 것이 다른 사람들에 의해 시도되어 왔다. 그러나, 구리의 부식에 대한 취약성 및 박막 내에서의 구리의 빠른 표면 확산 속도와 같은 많은 문제점들이 Cu 상호 접속부에 발생한다. 순수한 Cu는 Al(Cu)의 전자 이동 활성 에너지 0.8 - 0.9 eV 보다 낮은 전자 이동 활성 에너지, 즉 0.5 - 0.8 eV를 갖는다는 것이 알려져 있다. 이는 칩 동작 조건에서의 상호 접속 전자 이동 장애를 줄이기 위해 Cu를 사용하는 장점이 크게 줄어듦을 의미한다.
도 1은 구리 합금으로 만들어진 통상적인 상호 접속부를 이용하는 전자 구조의 개략적인 확대 단면도를 도시하고 있다. 전자 구조(10)는 기제조된 소자(20) 상의 대머신(Damascene) 공정에 의한 구리 배선 구조를 나타내고 있는 두 레벨의 구리 상호 접속부(12, 16)와 하나의 스터드 레벨(14)을 포함한다. 소자(20)는 반도체성 기판(24) 상에 형성되어 있다. 도 1에 도시한 바와 같이, 먼저 평탄한 유전체 스택(26)을 증착함으로써 전형적인 대머신 레벨을 제조한다. 이어서, 표준 리소그래픽 및 건식 에칭 기법을 사용해 유전체 스택(26)을 패터닝하고 에칭함으로써 요구된 배선 혹은 비아 패턴을 생성한다. 다음으로, 얇은 부착/확산 라이너(adhesion/diffusion liner)(18)와 구리 합금 금속층(metallurgy)(12)을 금속 증착하는데, 소자(20)의 상부에 기증착되어 구리의 확산으로부터 소자를 보호하는 하부 실리콘 질화물층(28)은 확산 장벽으로 사용된다. 구리 합금 상호접속부(12)를 형성한 후, 다음 레벨의 구리 상호 접속부(14)를 규정하기 위한 에칭 스톱층(etch stop layer)으로서 상부 실리콘 질화물층(32)을 증착한다. 제 2 레벨 유전체 스택(34)을 증착한 후, 상호 접속부를 위한 리세스를 유전체층(34)과 실리콘 질화물층(32) 내부로 에칭한다.
이어서, 제 1 레벨 구리 합금 상호 접속부(12)를 증착하는데 사용된 것과 유사한 기법을 사용해 라이너(22)를 갖는 레벨간 구리 합금 스터드(14)를 증착한다. 트렌치(trench) 혹은 비아를 충진하는데 다양한 금속 증착 기법을 사용할 수 있다. 이들 기법은 평행 스퍼터링 공정(collimated sputtering process), 이온 클러스터 빔 공정(ion cluster beam process), 전자 사이클로트론 공진 공정(electron cyclotron resonance process), 화학 기상 증착 공정(chemical vapor deposition process), 무전해 도금 공정(electroless plating process), 전해 도금 공정(electrolytic plating process)을 포함한다. 또한, 구리 합금을 형성하는데, 구리와 합금 구성 요소를 함께 증착하는 합동 증착(co-deposition) 방법과 같은 다른 기법들을 사용할 수도 있다. 예를 들어, 이러한 합동 증착 방법은 합동 스퍼터링, 합동 도금, 합동 화학 진공 증착, 합동 증발을 포함한다. 레벨간 구리 합금 스터드(14)를 완성한 후, 제 3 유전체 스택층(38) 내에 라이너(24)를 가진 제 2 레벨 구리 상호 접속부(16)를 형성하기 위해 다른 유사한 공정을 반복한다. 실리콘 질화물로 된 에칭 스톱층(36)을 스터드 및 제 2 레벨 상호 접속부 사이에 사용한다. 최종적으로, 구리 배선 구조(10)의 상부에 상부 실리콘 질화물층(42)을 증착하여 주변 환경으로부터 소자를 보호한다.
다른 기술자들은 강화된 전자 이동 저항을 제공하는 데에 구리 합금을 사용하려는 시도를 해 왔다. 예를 들어, 미국 특허 제 5,023,698 호는 Al, Be, Cr, Fe, Mg, Ni, Si, Sn, Zn으로 구성된 그룹으로부터 선택된 적어도 하나의 합금 구성 요소를 포함하는 구리 합금을 개시하고 있다. 미국 특허 제 5,077,005 호는 In, Cd, Sb, Bi, Ti, Ag, Sn, Pb, Zr, Hf로부터 선택된 적어도 하나의 부재를 포함하는 구리 합금을 개시하고 있으며, 사용된 합금 구성 요소의 중량 퍼센트는 0.0003 내지 0.01이다. 구리 합금은 TAB 공정에 사용되며 또한 인쇄 회로 기판 부재(print circuit board member)로서 사용된다. 미국 특허 제 5,004,520 호 또한 합금 구성 요소 농도가 0.03 내지 0.5 중량 퍼센트가 되도록 P, Al, Cd, Fe, Mg, Ni, Sn, Ag, Hf, Zn, B, As, Co, In, Mn, Si, Te, Cr으로부터 선택된 적어도 하나의 합금 구성 요소를 포함하는 박막 캐리어 응용을 위한 구리 박(foil)을 개시하고 있다. 집적 회로 칩 장착에서의 연결 리드로서 이들 합금을 사용한다. 더욱이, 미국 특허 제 4,749,548 호는 Cr, Zr, Li, P, Mg, Si, Al, Zn, Mn, Ni, Sn, Ti, Be, Fe, Co, Y, Ce, La, Nb, W, V, Ta, B, Hf, Mo, C로부터 선택된 적어도 하나의 합금 구성 요소를 포함하는 구리 합금을 개시하고 있다. 이들 합금 구성 요소는 구리 합금의 강도를 높이기 위해 사용된다. 미국 특허 제 5,243,222 호 및 제 5,130,274 호는 개선된 부착성과 확산 장벽의 형성을 위한 구리 합금을 개시하고 있다. 그러나, 이 종래기술 중의 어느 것도 ULSI 온 칩(on-chip) 혹은 오프 칩(off-chip) 배선 상호 접속에 사용되어 전자 이동 저항 및 부착 특성 요구 조건을 만족시킬 정도로 충분히 개선된 구리 합금을 개시하고 있지는 못하다. ULSI 소자 상의 상호 접속 구조는 폭이 0.5 μm 보다 훨씬 작고 종횡비(aspect ratio)가 1 보다 큰 형상을 갖는 절연체 구조 내에 조밀하며 완전히 연속적인 금속 배선을 제공해야 한다.
그러므로, 본 발명의 목적은 통상적인 구리 상호 접속 구조의 결함과 단점을 갖지 않는 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 다른 목적은 개선된 전자 이동 저항, 부착 특성, 다른 표면 특성을 갖는 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 또다른 목적은 구리 합금 상호 접속 구조를 제공하되, 그 상호 접속 구조가 연결되는 전자 소자와 구리 합금 상호 접속 몸체의 경계면에 시드층을 사용하는 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 또다른 목적은 구리 합금 상호 접속 구조를 제공하되, 그 상호 접속 구조가 연결되는 전자 소자와 구리 도전체 몸체 사이에 개재된 구리 합금 시드층을 구비하는 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 또다른 목적은 구리 합금 상호 접속 구조를 제공하되, 구리 도전체 몸체를 형성하기 전에 Sn, In, C, Ti, Zr, N, O, Cl 혹은 S 중의 적어도 하나의 구성 요소를 포함하는 구리 합금 시드층을 증착함으로써 상호 접속 구조의 전자 이동 저항을 개선한 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 또다른 목적은 구리 도전체 몸체를 형성하기 전에 Al, Mg, Be, Ca, Sr, Ba, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu,Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Si, Ge로부터 선택된 적어도 하나의 구성 요소를 포함하는 구리 합금 시드층을 증착함으로써 자체 부착 특성을 개선한 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 또다른 목적은 구리 합금 상호 접속 구조를 제공하되, B, O, N, P, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Zn 혹은 Cd로부터 선택된 적어도 하나의 구성 요소를 포함하는 구리 합금 시드층을 사용함으로써 상호 접속 구조의 표면 특성을 개선한 구리 합금 상호 접속 구조를 제공하는 것이다.
본 발명의 또다른 목적은 구리 도전체 몸체와 전자 소자 사이에 개재되어 있으며 Ag, Mo, W 혹은 Co로부터 선택된 금속으로 이루어진 금속 시드층을 증착함으로써 구리 도전체 증착 공정을 개선한 구리 합금 상호 접속 시스템을 제공하는 것이다.
본 발명의 또다른 목적은 상호 접속 구조의 전자 이동 저항, 내식성(corrosion resistance), 부착성이 향상되도록, 먼저 전자 소자 상에 구리 합금 시드층을 증착하고 시드층 상에 구리 도전체 몸체를 형성함으로써 상호 접속 구조를 형성하는 방법을 제공하는 것으로, 시드층은 Sn, In, Zr, Ti, C, O, N, Cl, S로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소와 구리를 포함한다.
본 발명에 따르면, 개선된 전자 이동 저항, 부착 특성, 다른 표면 특성을 갖는 구리 합금 상호 접속 구조가 구리 도전체 몸체와 전자 소자 사이에 개재된 추가 구리 합금 시드층을 사용함으로써 제공된다.
바람직한 실시예에서, 전자 소자와의 전기적 통신을 제공하는 상호 접속 구조가 제공되며, 그 상호 접속 구조는 실질적으로 구리로 형성된 몸체와, 몸체와 전자 소자 사이에 견고히 부착되게 개재되어 상호 접속 구조의 전자 이동 저항을 개선하는 구리 합금 시드층을 포함한다. 구리 합금 시드층은 Sn, In, Zr, Ti, C, O, N, Cl 혹은 S 중의 적어도 하나의 구성 요소와 구리에 의해 형성된다.
다른 바람직한 실시예에서, 전자 소자에 전기적 연결을 제공하는 상호 접속 구조가 제공되며, 그 상호 접속 구조는 구리 도전체 몸체와, 구리 도전체 몸체와 전자 소자 상에 형성된 확산 장벽층 사이에 견고히 부착되게 개재되어 하부에 위치하는 확산 장벽층에 대한 부착성을 개선하는 구리 합금 시드층을 포함하며, 구리 합금 시드층은 Al, Mg, Be, Ca, Sr, Ba, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Si, Ge으로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소와 구리로 구성된다.
또다른 바람직한 실시예에서, 전자 소자에 전기적 연결을 제공하는 상호 접속 시스템이 제공되며, 그 상호 접속 시스템은 구리 도전체 몸체와, 구리 도전체 몸체와 전자 소자 사이에 견고히 부착되게 개재되어 전자 소자의 표면 특성을 개선하는 구리 합금 시드층을 포함하며, 구리 합금 시드층은 B, O, N, P, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Zn, Cd로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소와 구리를 포함한다.
또다른 바람직한 실시예에서, 전자 소자와의 전기적 통신을 제공하는 상호 접속 시스템이 제공되며, 그 상호 접속 시스템은 구리 도전체 몸체와, 구리 도전체 몸체와 전자 소자 사이에 견고히 부착되도록 개재되어 구리 도전체 증착 공정을 개선하는 금속 시드층을 포함하며, 금속 시드층은 구리 내에서의 가용성(solubility)이 매우 낮아서 사실상 구리 화합물이 형성될 수 없는 금속으로 증착된다. 일반적으로, 그 금속은 구리의 전기 저항율과 상당히 유사한 전기 저항율을 가진다. 금속 시드층을 위한 적절한 금속은 Ag, Mo, W 혹은 Co이다.
또한, 본 발명은 전자 소자에 전기적 연결을 제공하는 상호 접속 구조를 형성하는 방법에 관한 것으로, 이 방법은 상호 접속 구조의 전자 이동 저항이 개선되도록, 먼저 전자 소자 상에 구리 합금 시드층을 증착한 후, 구리 합금 시드층 상에 견고히 부착되는 구리 도전체 몸체를 형성하는 동작 단계에 의해 달성될 수 있다.
또한, 본 발명은 전자 소자와의 전기적 통신을 제공하며 소자에 대해 개선된 부착성을 갖는 상호 접속 구조를 형성하는 방법에 관한 것으로, 이 방법은 먼저 전자 소자 상에 구리 합금 시드층을 증착한 후, 구리 합금 시드층에 견고히 부착하게 구리 도전체 몸체를 형성하는 단계에 의해 달성될 수 있으며, 시드층은 Al, Mg, Be, Ca, Sr, Ba, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Si 혹은 Ge 중의 적어도 하나의 구성 요소와 구리로 구성된다.
또한, 본 발명은 전자 소자와의 전기적 통신을 제공하는 도전체를 형성하는 방법에 관한 것으로, 이 방법은 먼저 소자의 표면 특성을 개선하기 위하여 전자 소자 상에 구리 합금 시드층을 증착한 후, 구리 합금 시드층의 상부에 견고히 부착하게 도전체를 형성하는 단계에 의해 달성될 수 있으며, 구리 합금 시드층은 B, O, N, P, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Zn 혹은 Cd 중의 적어도 하나의 구성 요소와 구리로 구성된다. 도전체는 구리 및 약 0.01 내지 약 10의 중량 퍼센트를 갖는 C, N, O, Cl 혹은 S 중의 적어도 하나의 합금 구성 요소로 형성된다.
또한, 본 발명은 전자 소자와의 전기적 통신을 제공하는 도전체를 형성하는 방법에 관한 것으로, 이 방법은 먼저 전자 소자 상부에 금속 시드층을 증착한 후, 금속 시드층 상부에 견고히 부착되게 구리 도전체 몸체를 형성하는 단계에 의해 달성될 수 있으며, 금속 시드층은 구리 내에서의 가용성과 구리와의 친화성이 매우 낮아서 구리 화합물이 형성될 수 없는 금속으로 증착된다. 금속 시드층은 Ag, Mo, W 혹은 Co로부터 선택된 금속으로 증착될 수 있다.
도 1은 구리 합금을 사용하는 통상적인 상호 접속 시스템의 확대 단면도,
도 2는 본 발명의 상호 접속 시스템을 내장하는 전자 구조의 확대 사시도,
도 3a는 그 내부에 증착된 확산 장벽층을 구비하는 본 발명의 상호 접속 시스템을 형성하기 위한 개구의 확대 단면도,
도 3b는 도 3a에 도시된 개구 내부에 증착된 구리 합금 시드층을 구비하는 본 발명의 상호 접속 시스템을 형성하기 위한 개구의 확대 단면도,
도 3c는 도 3b에 도시된 개구 내부에 증착된 구리 도전체 재료를 구비하는 본 발명의 상호 접속 시스템을 형성하기 위한 개구의 확대 단면도,
도 3d는 도 3c에서 여분의 구리를 제거한 후의 본 발명의 상호 접속 시스템의 확대 단면도,
도 4a는 그 내부에 증착된 확산 장벽층을 구비하는 이중 대머신 구조의 본 발명의 상호 접속 시스템을 형성하기 위한 개구의 확대 단면도,
도 4b는 도 4a의 확산 장벽층 상부에 증착된 구리 합금 시드층을 가진 본 발명의 상호 접속 구조를 형성하기 위한 개구의 확대 단면도,
도 4c는 도 4b에 도시된 개구 내부에 증착된 구리 도전층을 구비하는 본 발명의 상호 접속 구조를 형성하기 위한 개구의 확대 단면도,
도 4d는 도 4c에서 여분의 구리를 제거한 후의 본 발명의 상호 접속 구조의 확대 단면도.
도면의 주요 부분에 대한 부호의 설명
46, 56 : 구리 50 : 상호 접속 구조
52 : 기판 54 : 절연체
60 : 구리 스터드 구조 62 : 국부 상호 접속부
64 : 소자 콘택트 66 : 소자
70, 100 : 절연층 72 : 도전층
76 : 시드층 101 : 장벽층
본 발명의 이들 목적 및 다른 목적, 특성, 장점은 이하의 상세한 설명 및 첨부된 도면으로부터 명백해질 것이다.
본 발명은 전자 이동 저항, 부착 특성, 다른 표면 특성이 개선되도록, 구리 도전체 몸체와, 도전체 몸체와 전자 소자 사이에 개재된 구리 합금 시드층을 사용하여 전자 소자와의 전기적 통신을 이룩하는 신규한 상호 접속 구조를 제공한다. 본 발명은 또한 구리 도전체 몸체와, 구리 도전체 증착 공정을 개선시키기 위해 도전체 몸체와 전자 소자 사이에 개재된 금속 시드층을 이용하여 전자 소자에 전기적 연결을 제공하는 상호 접속 구조를 개시하고 있으며, 여기서 금속 시드층은 Ag, Mo, W 혹은 Co 재료로 증착된다.
또한, 본 발명은 전자 소자와의 전기적 통신을 제공하며 소자에 대해 개선된 부착성을 갖는 상호 접속 구조를 형성하는 방법을 개시하고 있으며, 이 방법은 먼저 전자 소자 상에 구리 합금 시드층을 증착한 후 시드층 상부에 구리 도전체 몸체를 형성한다. 시드층은 Al, Mg, Be, Ca, Sr, Ba, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Re, Si 혹은 Ge 중의 적어도 하나의 구성 요소와 구리로 형성될 수 있다. 개선된 전자 이동 저항 구조를 갖는 상호 접속 구조를 형성하는 유사한 방법은 Sn, In, Zr, Ti, C, O, N, Cl 혹은 S 중의 적어도 하나의 합금 구성 요소와 구리로 증착된 구리 합금 시드층을 사용함으로써 제공된다. 전자 소자와의 전기적 통신을 제공하며 전자 소자 상에서 개선된 표면 특성을 갖는 도전체를 형성하는 다른 유사한 방법은 B, O, N, P, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Zn 혹은 Cd 중의 적어도 하나의 구성 요소와 구리로 구성된 구리 합금 시드층을 사용함으로써 제공된다. 전자 소자와의 전기적 통신을 제공하기 위한 도전체를 형성하는 본 발명의 새로운 방법은 구리를 포함하지 않는 시드층, 즉 구리에 대한 가용성과 친화성이 매우 낮아서 구리 화합물이 형성되지 않는 금속, 예를 들어 Ag, Mo, W 혹은 Co 금속으로 증착된 금속 시드층을 사용함으로써 수행될 수 있다.
도 2를 참조하면, 본 발명의 상호 접속 구조(50)의 확대 사시도를 도시하고 있다. 상호 접속 구조(50)는 기판(52) 상에 형성되는데, 기판(52)은 실리콘 혹은 다른 반도체 재료일 수 있으며 전자 소자를 내부에 포함하고 있다. W 스터드와 국부 상호 접속부(local interconnections)(62)를 갖는 소자(66)는 반도체성기판(52) 상에 제조된다. 배선 레벨 사이의 수직 접속부는 배선을 소자 콘택트(64)에 연결시키는 Cu 스터드 구조(60) 및 W 스터드 구조(62)에 의해 제공된다. 도시된 소자(66)는 일반적으로 CMOS 트랜지스터를 나타내고 있지만 다른 임의의 전자 소자일 수도 있다.
구리가 절연체(54) 혹은 소자(66) 내부로 확산되는 것을 방지하기 위하여, 통상 확산/부착 장벽층을 사용해 구리(46, 60, 56)를 둘러싼다. 확산/부착 장벽층은 절연층(70) 혹은 도전층(72)이 될 수 있다. 도전성 확산 장벽층(72)은 본 명세서에서는 간단히 장벽층으로 지명되지만 구리를 하부에 위치하는 재료에 부착시킨다. 또한, 도 2에 도시한 바와 같이, 시드층(76, 78)은 보통 주 구리 도전층(main copper conductor layer)(46, 60, 56) 하부에 증착된다. 시드층의 위치와 기능은 상호 접속 구조를 제조하는 두 가지 방법, 즉 단일(single) 대머신 공정과 이중(dual) 대머신 공정을 참조하여 설명한다.
도 3a 내지 3d는 본 발명의 신규한 상호 접속 구조를 제조하는 단일 대머신 공정을 도시하고 있다. 도 3a는 라인 혹은 스터드(46) 구조를 도시하고 있다. 절연층(100)과 확산/부착 장벽층(101)을 먼저 증착하고 패터닝하는데, 여기서는 그 과정이 생략되어 있다. 라이너층(72)을 질화물 에칭 스톱층(101)의 상부에 증착한다. 이는 단일 대머신 공정으로 알려진 제조 방법이다. 다음으로, 도 3b에 도시한 바와 같이, 시드층(78)을 장벽층(72) 위에 증착한다. 상이한 특성을 향상시키기 위해서는 상이한 재료를 사용하는 것이 바람직하므로 시드층에 사용된 재료와 그 증착 방법은 다음에 설명한다.
시드층(78)의 기능은 그 위에 주 도전층이 증착될 수 있는 베이스를 제공하는 것이다. 도 3c에 이를 도시하고 있으며, 주 도전층(82)을 시드층(78)의 상부에 증착한다. 단일 대머신 공정에서의 배선 단계를 완료하기 위하여, 격리된 스터드 혹은 라인(46)은 잔류하는 반면, 여분의 상부 표면 주 도전체(82), 시드층(78), 장벽층(72)은 제거되도록 화학 기계적 연마와 같은 방법을 통해 여분의 구리를 평탄화한다. 최종적으로, 도 3d에 도시한 바와 같이 절연체 장벽층을 증착한다. 다중 레벨 상호 접속 구조를 형성하기 위해, 이러한 동일한 과정을 다음 배선 레벨 및/혹은 스터드에 대해 반복할 수 있다.
일반적으로 이중 대머신 공정으로 알려져 있는 본 발명의 신규한 상호 접속 시스템을 제조하는 두 번째 방법에서는, 스터드와 라인 레벨 모두, 예를 들어 도 2에 도시한 라인 레벨(56) 및 스터드(60)를 단일 공정 단계에서 제조한다. 도 4a를 참조하면, 먼저 장벽층(72)을 결합된 라인/스터드 개구(84) 내부로 증착한다. 공정의 다음 단계에서는, 도 4b에 도시한 바와 같이 장벽층(72)의 상부에 시드층(86)을 증착한다. 이어서, 주 도전층(90)을 증착하여 라인/스터드 개구(84)를 충진한다. 도 4c에 이를 도시하고 있다. 다음으로, 화학 기계적 연마와 같은 기법에 의한 평탄화를 수행하여 라인(56)과 스터드(60)의 배선 구조를 동시에 완성한다. 이러한 이중 대머신 공정에서, 실리콘 질화물 에칭 스톱층(70)은 라인(56)과 스터드(60)를 형성하는데 공히 사용되거나 생략될 수 있음을 주지해야 한다. 도 4d에 도시한 바와 같이, 최종 패시베이션 및 에칭 스톱층 실리콘 질화물층(101)을 증착한다.
본 발명의 신규한 상호 접속 구조에 사용된 시드층은 몇 가지 바람직한 기능을 제공한다. 예를 들어, 주 구리 도전체에 대한 화학 진공 증착 공정에서, 시드층은 구리 증착이 이루어지게 하는 화학 반응을 시작하게 하는데 바람직하다. 주 구리 도전체를 형성하는 전해 도금 공정에서, 도금 전류를 공급하는 전극에 전기적 연속성을 제공하는 데에 시드층이 바람직하다. 주 구리 도전체에 대한 고온 리플로우 스퍼터링 혹은 화학 진공 증착 공정에서, 표면에 양질의 웨팅(wetting) 및 핵형성(nucleation) 성장 특성을 제공하기 위해서 얇은 층이 바람직하다.
주 구리 도전체 몸체는 전형적으로 순수한 구리로는 형성되지 않고, C, N, O, Cl 혹은 S와 같이 구리 도전체의 신뢰성을 개선하는 것으로 밝혀진 합금 구성 요소를 포함하는 구리 혼합물 혹은 신뢰성을 개선하는 것으로 밝혀진 다른 금속들을 포함하는 구리 합금으로 형성된다. 합금 내의 합금 구성 요소는 약 0.001 wt.% 내지 약 10 wt.%의 범위에 속할 것이다.
구리 도전체 몸체를 증착하기 위한 본 발명의 새로운 시드층은 구리 합금 혹은 구리를 포함하지 않는 다른 금속으로 형성될 수 있다. 합금 시드층 조성을 적절히 선택함으로써, 시드층은 전체 복합 도전체의 신뢰성을 저하시키지 않으면서도 양질의 구리 도전체 몸체를 증착하는데 필요한 특성을 가질 수 있다. 시드층의 조성 및 구조는 주 도전체 구리 몸체의 조성 및 구조와는 동일할 필요가 없다. 예를 들어, 시드층은 주 도전체 구리 보다 높은 전기 저항율을 갖는 합금이 될 수도 있다. 또한, 시드층 합금은 심지어 구리를 포함하지 않을 수도 있다. 시드층이 차지하는 단면적이 전체 도전체 단면적의 작은 부분인 한, 전체적 라인 저항은 주 도전체의 저항율에 의해 결정될 것이므로 바람직하지 못하게 시드층에 의해서 증가하지는 않을 것이다. 또한, 이 응용에서 사용되는 금속 합금은 고용체(solid solution) 혹은 두 금속상 혼합물(two-phase mixtures of metal phases)뿐만 아니라 금속 화합물을 포함한다는 것을 명심해야 한다.
따라서, 개선된 전자 이동 저항, 하부에 위치하는 확산 장벽층에 대한 개선된 부착성, 주 도전체 구리 몸체를 증착하는데 적절한 개선된 표면 특성을 제공하도록 본 발명의 신규한 시드층을 선택할 수 있다. 순수한 구리에 비해 전자 이동 저항을 개선하는 것으로 본 발명자에 의해 밝혀진 본 발명의 신규한 구리 합금 화합물은 Cu(Sn), Cu(In), Cu(Zr), Cu(Ti), Cu(C, N, O, Cl, S)를 포함한다. 또한, 본 발명의 신규한 상호 접속 구조는 순수한 구리에 비해 부착 특성을 개선하는 구리 합금을 개선된 시드층으로 사용하며, 이들 구리 합금은 Be, Ca, Sr, Ba, Sc, Y, La와 같은 다른 반응성 금속과 Cu(Al), Cu(Mg)을 포함하며 또한 Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, V, Mb, Ta, Cr, Mo, W, Mn, Re, Si, Ge의 희토류계(rare earth series) 구성 요소를 갖는 구리 합금을 포함한다.
나아가, 본 발명의 신규한 상호 접속 구조는 시드층에 대한 표면 특성을 개선하는 B, O, N, P, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Zn, Cd를 포함하는 추가적 합금 구성 요소를 사용한다. 주 도전체 구리 몸체를 증착하기에 적절한 표면을 제공하는 구리 합금이 과다한 표면 산화물을 형성하지 않는 것들을 포함한다는 것이 본 발명자에 의해 밝혀졌다. 그러므로, 전자 이동 저항과 부착 및 표면 특성의 최적 조합을 얻기 위해, 하나 또는 그 이상의 상술한 합금 구성 요소 및구리로부터 합금 시드층을 형성할 수 있다. 본 발명의 전형적인 예는 0.25 내지 1.5 원자 %의 Sn 혹은 In을 가진 구리 합금이다. Cu(Sn) 혹은 Cu(In)의 전자 이동에 의한 수명은 순수한 Cu의 전자 이동에 의한 수명을 훨씬 초과한다. 또한, 300 - 450℃의 온도 범위 내에서 Cu, Sn 혹은 In을 상호 확산시키고 Cu 표면에 Sn 혹은 In을 축적하는 것이 가능하다.
본 발명의 신규한 상호 접속 구조의 두 번째 바람직한 실시예에서는, 구리를 포함하지 않는 금속 합금 시드층을 유용하게 사용할 수 있다. 금속 합금 시드층의 특성은 주 구리 도전체 몸체의 저항율을 증가시킬 수 있는 어떠한 오염이나 화합물 형성을 야기시키지 않으면서도 주 구리 증착 공정을 시딩(seeding)하기 위한 요구 조건을 만족시켜야 한다. 이에 대한 특정예로 Ag가 있는데, Ag는 Cu 내에서 낮은 가용성을 갖고 Cu 화합물을 형성하지 않는다. 또한, Ag는 주 구리 도전체와 비견되는 낮은 저항율을 갖는다. 본 발명의 두 번째 바람직한 실시예에서 유용하게 사용할 수 있는, Cu 내에서 낮은 가용성을 가지며 구리 화합물이 형성되지 않는 다른 금속 및 몇몇 금속의 합금은 Mo, W, Co를 포함한다.
본 발명의 신규한 상호 접속 구조의 세 번째 바람직한 실시예에서는, 장벽층과 동일한 층인 시드층을 사용할 수도 있다. 이 시드층의 특성은 적절한 부착성 및 확산 장벽으로서의 효율성에 대한 요구 조건을 만족시켜야 할뿐만 아니라 통상적으로 분리되어 있는 시드층으로서의 시딩 특성을 제공해야 한다.
본 발명의 신규한 상호 접속 구조물의 또다른 바람직한 실시예에서는, 장벽층과 시드층이 그들의 특성이 한쪽 경계면에서 다른 쪽 경계면으로 감에 따라 변하도록 구성될 수 있다. 예를 들어, 조성과 구조는, 예컨대 반응성 금속 조성물을 포함함으로써 하부 경계면에서 부착성에 대해 최적화될 수 있다. 장벽/시드층의 중간 부분에서, 조성과 구조는, 예컨대 비정질 미세구조를 갖는 내화 금속 질화물(refractory metal nitride)을 포함함으로써 확산 장벽 효율성에 대해 최적화된다. 장벽/시드층의 상부 표면에서, 조성과 구조는, 예컨대 구리 혹은 은을 포함함으로써 주 구리 도전체 몸체의 시딩과 부착성에 대해 최적화된다. 이는 층들의 순차적 증착에 의해 혹은 단일 증착 공정으로 변화하는 조성을 갖는 구조를 증착하여 구현되어야 한다.
본 발명의 유용한 합금 시드층을 많은 상이한 방법들을 통해 제조할 수 있다. 일반적으로, Ti, Nb, Mo, Ta, TaN, W, WN, TiN, TaSiN, WSiN, TiAlN, TiSiN과 같은 재료들을 포함할 수 있는 시드층을 하부에 위치하는 장벽층 상에 증착할 것이다. 단일 합금 타겟 혹은 다중 타겟으로부터의 반응성 혹은 비반응성 스퍼터링, 이온화된 핵종(species)을 기판에 조사하는 이온화 스퍼터링(ionized sputtering), 화학 진공 증착, 증발 혹은 전자화학적 수단을 통해 시드층을 증착할 수 있다. 또한, 구리 및 합금 구성 요소의 순차적 증착을 통해 합금 시드층을 증착할 수 있으며, 이어서 적절한 열처리를 통해 상호 확산시킬 수 있다.
본 발명의 신규한 시드층의 적절한 두께는 1 nm 보다 작은 수개의 단일 입자층(monolayer), 즉 0.1 nm로부터 서브마이크로미터(submicrometer) 라인 폭인 경우는 약 100 nm까지이며 혹은 더 넓은 라인의 경우는 라인 폭의 약 20%에 이른다.
실시예
본 발명의 신규한 금속 합금 시드층의 특정예는 0.25 내지 1.5 원자 %의 Sn 혹은 In을 갖는 구리 합금 시드층을 포함하는 구조이다. 도 2, 3a - 3d에 도시한 바와 같이, 상호 접속 구조는 보다 낮은 배선 레벨에서는 0.5 μm 라인폭 미만의 치수, 보다 높은 레벨에서는 1 - 2 μm 미만의 치수를 갖는 주 몸체 도전체를 포함할 수 있다. 레벨간 절연체의 두께는 1 μm 미만 혹은 1 μm 보다 더 클 수 있으며, 예컨대 전형적으로 0.5 - 1.5 μm가 될 수 있다. 이들 절연체 재료는 전형적으로 Si 및 O를 포함하며, F를 포함할 수 있으며, 중합(polymeric) 재료일 수도 있고, 다공성(porous)일 수 있다. 절연성 확산 장벽층은 전형적으로 10 nm와 100 nm 사이의 두께를 가질 수 있으며, 전형적으로 Si 및 N을 포함할 수 있으며, 혹은 중합 재료일 수도 있다. 도전성 확산 장벽층은 약 10 nm 혹은 수 nm 내지 100 nm의 범위에 속하는 두께를 가질 수 있다. 도전성 확산 장벽층은 Ta, Ti, W, Nb, Mo, Si, N, Cl, O를 포함할 수 있으며 비정질 혹은 다결정일 수 있다. 예를 들어, TaN, TiN 혹은 TaSiN을 적절하게 사용할 수 있다.
본 발명의 시드층은 합금 타겟으로부터 스퍼터링에 의해 증착된 0.25 내지 1.5 원자 %의 Sn을 포함하는 Cu 합금이 될 수 있다. 그 두께는 약 0.1 nm 내지 약 100 nm 사이, 보다 바람직하게는 약 1 nm 내지 약 100 nm 사이의 범위에 속할 수 있다. 주 구리 도전체 몸체는 화학 진공 증착 혹은 전자화학적 수단에 의해 증착될 수 있고, 약 0.2 μm와 약 1.5 μm 사이의 전체 두께를 가질 수 있다. 화학 기계적 연마 방법을 통해 평탄화 공정을 수행한 후, 여분의 구리, 시드층, 확산/부착층을 제거할 수 있다. 이중 대머신 공정에서의 제조 순서는 배선 레벨과 스터드 레벨 모두를 단일 공정 순서 내에서 완성한다는 것을 제외하고는 장벽, 시드, 주 구리 도전체 재료에 대한 단일 대머신 공정과 근본적으로 동일하다.
상기 예를 통해 본 발명을 설명하고는 있지만, 구리 상호 접속부에 대한 합금 시드층이 진보된 칩 응용 혹은 디스플레이 응용에서 임의의 반도체 구조에 의해 유용하게 사용될 수 있다는 것을 명심해야 한다.
본 발명이 예시적인 방법으로 기술되었지만, 사용된 용어가 본 발명을 제한하는 것으로 해석되어서는 안된다. 본 발명이 몇몇 바람직한 실시예에 의해 기술되었지만, 당업자가 이들 개시된 것들을 본 발명의 다른 가능한 변형에 용이하게 적용할 수 있음을 알 수 있다.
본 발명은 구리 도전체 몸체와 전자 소자 사이에 개재된 구리 합금 혹은 구리를 포함하지 않는 금속으로 이루어진 시드층을 사용하여 전자 소자와의 전기적 통신을 제공하는 상호 접속 구조를 제조함으로써, 전자 이동 저항, 부착 특성, 다른 표면 특성을 개선시키는 장점이 있다.

Claims (32)

  1. 전자 소자에 전기적 연결을 제공하는 상호 접속 구조물에 있어서,
    약 0.001과 약 10 중량 퍼센트 사이의 농도를 갖는 C, N, Cl, O, S로 구성된 그룹으로부터 선택된 적어도 하나의 합금 구성요소와 구리로 형성된 몸체와,
    상기 몸체와 상기 전자 소자 사이에 상기 몸체 및 상기 전자 소자와 견고히 부착되도록 개재되어 상기 상호 접속 구조물의 전자 이동 저항(eletromigration resistance)을 개선하는 구리 합금 시드(seed)층
    을 포함하는 상호 접속 구조물.
  2. 제 1 항에 있어서,
    상기 구리 합금 시드층이 Sn, In, Zr, Ti, C, N, O, Cl, S로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소와 구리를 포함하는 상호 접속 구조물.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 구리 합금 시드층이 금속 화합물, 금속 고용체(metal solid solution) 혹은 두 금속상 혼합물(two-phase mixtures of metal phases)로 형성되는 상호 접속 구조물.
  8. 제 1 항에 있어서,
    상기 구조물이 TAB, BGA 혹은 PGA에 대한 배선 리드(wiring lead), 비아(via), 라인(line), 스터드(stud)로 구성된 그룹으로부터 선택된 부재인 상호 접속 구조물.
  9. 제 1 항에 있어서,
    상기 상호 접속 구조물이 기증착된 금속 실리사이드 혹은 W 스터드층 및 W 국부 상호 접속부 상에 형성되는 상호 접속 구조물.
  10. 전자 소자에 전기적 연결을 제공하는 상호 접속 구조물에 있어서,
    구리 도전체 몸체와,
    상기 구리 도전체 몸체와 상기 전자 소자 상에 형성된 확산 장벽층 사이에 상기 구리 도전체 몸체 및 확산 장벽층과 견고히 부착되도록 개재되어, 상기 하부에 위치하는 확산 장벽층에 대한 부착성을 개선하는 구리 합금 시드층을 포함하며, 상기 구리 합금 시드층이 Al, Mg, Be, Ca, Sr, Ba, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Pb, Dy, Ho, Er, Tm, Yb, Lu, Si, Ge으로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소와 구리를 포함하는 상호 접속 구조물.
  11. 제 15 항에 있어서,
    실질적으로 구리로 형성된 상기 구리 도전체 몸체가 약 0.001과 약 10 중량 퍼센트 사이의 농도를 갖는 C, N, Cl, O로 구성된 그룹으로부터 선택된 적어도 하나의 합금 구성 요소와 구리로 형성되는 상호 접속 구조물.
  12. 제 15 항에 있어서,
    상기 구리 합금 시드층이 금속 화합물, 금속 고용체 혹은 두 금속상 혼합물로 형성되는 상호 접속 구조물.
  13. 제 15 항에 있어서,
    상기 구조물이 TAB, BGA 혹은 PGA에 대한 배선 리드, 비아, 라인, 스터드로 구성된 그룹으로부터 선택된 부재인 상호 접속 구조물.
  14. 제 15 항에 있어서,
    상기 상호 접속 구조물이 기증착된 금속 실리사이드 층상에 형성되는 상호 접속 구조물.
  15. 전자 소자에 전기적 연결을 제공하는 상호 접속 시스템에 있어서,
    구리 도전체 몸체와,
    상기 구리 도전체 몸체와 상기 전자 소자 사이에 상기 구리 도전체 몸체 및 상기 전자 소자와 견고히 부착되도록 개재되어 상기 전자 소자의 표면 특성을 개선하는 구리 합금 시드층을 포함하며, 상기 구리 합금 시드층이 B, O, N, P, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt, Ag, Au, Zn, Cd로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소 와 구리를 포함하는 상호 접속 시스템.
  16. 삭제
  17. 삭제
  18. 제 23 항에 있어서,
    상기 구조물이 TAB, BGA 혹은 PGA에 대한 배선 리드, 비아, 라인, 스터드로 구성된 그룹으로부터 선택된 부재인 상호 접속 시스템.
  19. 전자 소자에 전기적 연결을 제공하는 상호 접속 시스템에 있어서,
    구리 도전체 몸체와,
    상기 구리 도전체 몸체와 상기 전자 소자 사이에 상기 구리 도전체 몸체 및 상기 전자 소자와 견고히 부착되도록 개재되어 있어 구리 도전체 증착 단계를 개선하는 금속 시드층을 포함하며,
    상기 금속 시드층은 구리 내에서의 가용성(solubility)이 매우 낮아서 사실상 구리 화합물이 형성될 수 없는 금속으로 증착되며, 약 0.1nm와 약 100nm 사이의 두께를 갖는 상호 접속 시스템.
  20. 삭제
  21. 제 30 항에 있어서,
    상기 확산 장벽층은 Ti, Ta, Nb, Mo, TaN, W, WN, TiN, TaSiN, WSiN, TiAlN, TiSiN으로 구성된 그룹으로부터 선택된 재료로 증착되는 상호 접속 시스템.
  22. 제 30 항에 있어서,
    상기 구조물이 TAB, BGA 혹은 PGA에 대한 배선 리드, 비아, 라인, 스터드로 구성된 그룹으로부터 선택된 부재인 상호 접속 시스템.
  23. 전자 소자에 전기적 연결을 제공하는 상호 접속 구조물을 형성하는 방법에 있어서,
    전자 소자 상에 구리 합금 시드층을 증착하는 단계와,
    상기 상호 접속 구조물의 전자 이동 저항이 개선되도록 상기 층에 견고히 부착되게 상기 구리 합금 시드층 상에 구리 도전체 몸체를 형성하는 단계를 포함하고,
    상기 구리 도전체 몸체는 약 0.001과 약 10 중량 퍼센트 사이의 농도를 갖는 C, N, Cl, O로 구성된 그룹으로부터 선택된 적어도 하나의 합금 구성요소와 구리로 형성되는
    상호 접속 구조물 형성 방법.
  24. 제 39 항에 있어서,
    상기 구리 합금 시드층에 대한 증착 단계 이전에 상기 전자 소자 상에 확산 장벽층을 증착하는 단계를 더 포함하는 상호 접속 구조물 형성 방법.
  25. 제 44 항에 있어서,
    상기 확산 장벽층을 Ti, Ta, Nb, Mo, TaN, W, WN, TiN, TaSiN, WSiN, TiAlN, TiSiN으로 구성된 그룹으로부터 선택된 재료로 증착하는 상호 접속 구조물 형성 방법.
  26. 전자 소자와의 전기적 통신을 제공하며, 상기 소자에 대해 개선된 부착성을 갖는 상호 접속 구조물을 형성하는 방법에 있어서,
    상기 전자 소자 상에 구리 합금 시드층을 증착하되, 상기 시드층이 Al, Mg, Be, Ca, Sr, Ba, Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Pb, Dy, Ho, Er, Tm, Yb, Lu, Si, Ge로 구성된 그룹으로부터 선택된 적어도 하나의 구성 요소와 구리를 포함하는 단계와,
    상기 구리 합금 시드층 상에 구리 도전체 몸체를 형성하는 단계
    를 포함하는 상호 접속 구조물 형성 방법.
  27. 제 47 항에 있어서,
    상기 구리 합금 시드층에 대한 증착 단계 이전에 상기 전자 소자 상에 확산 장벽층을 증착하는 단계를 더 포함하는 상호 접속 구조물 형성 방법.
  28. 제 48 항에 있어서,
    상기 확산 장벽층을 Ti, Ta, Nb, Mo, TaN, W, WN, TiN, TaSiN, WSiN, TiAlN, TiSiN으로 구성된 그룹으로부터 선택된 재료로 증착하는 상호 접속 구조물 형성 방법.
  29. 전자 소자와의 전기적 통신을 제공하는 도전체를 형성하는 방법에 있어서,
    상기 전자 소자 상에 구리 합금 시드층을 증착하는 단계와,
    상기 구리 합금 시드층의 상부에 견고히 부착되도록 약 0.001 내지 약 10 중량 퍼센트의 농도를 갖는 C, Cl, N, O, S로 구성된 그룹으로부터 선택된 적어도 하나의 합금 구성 요소와 구리로 구리 도전체를 형성하는 단계
    를 포함하는 도전체 형성 방법.
  30. 제 53 항에 있어서,
    스퍼터링, 이온화 스퍼터링, 화학 진공 증착, 증발, 전자화학적 수단으로 구성된 그룹으로부터 선택된 기법으로 상기 구리 합금 시드층을 증착하는 도전체 형성 방법.
  31. 제 53 항에 있어서,
    상기 구리 금속 합금층에 대한 증착 단계 이전에, 상기 전자 소자 상에 확산 장벽층을 증착하는 단계를 더 포함하되, 상기 확산 장벽층을 Ti, TiN, Ta, Nb, Mo,TaN, W, WN, TaSiN, WSiN, TiAlN, TiSiN으로 구성된 그룹으로부터 선택된 재료로 증착하는 도전체 형성 방법.
  32. 전자 소자와의 전기적 통신을 제공하는 도전체를 형성하는 방법에 있어서,
    상기 전자 소자 상부에 금속 시드층을 증착하되, 상기 금속 시드층을 구리 내에서의 가용성과 구리와의 친화성이 매우 낮아서 구리 화합물이 형성될 수 없는 금속으로 증착하는 단계와,
    상기 금속 시드층의 상부에 구리 도전체 몸체를 견고히 부착되게 형성하는 단계
    를 포함하는 도전체 형성 방법.
KR1019990012728A 1998-04-27 1999-04-12 상호 접속 구조 및 그 형성 방법 KR100339179B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/067,851 1998-04-27
US9/067,851 1998-04-27
US09/067,851 US6181012B1 (en) 1998-04-27 1998-04-27 Copper interconnection structure incorporating a metal seed layer

Publications (2)

Publication Number Publication Date
KR19990083124A KR19990083124A (ko) 1999-11-25
KR100339179B1 true KR100339179B1 (ko) 2002-05-31

Family

ID=22078850

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990012728A KR100339179B1 (ko) 1998-04-27 1999-04-12 상호 접속 구조 및 그 형성 방법

Country Status (9)

Country Link
US (2) US6181012B1 (ko)
EP (1) EP0954027B1 (ko)
JP (1) JP3121589B2 (ko)
KR (1) KR100339179B1 (ko)
CN (1) CN1150619C (ko)
DE (1) DE69929496T2 (ko)
MY (1) MY126479A (ko)
SG (1) SG77224A1 (ko)
TW (1) TW418517B (ko)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100267108B1 (ko) * 1998-09-16 2000-10-02 윤종용 다층배선을구비한반도체소자및그제조방법
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
JP4221100B2 (ja) * 1999-01-13 2009-02-12 エルピーダメモリ株式会社 半導体装置
JP2000216264A (ja) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
JP2000349085A (ja) * 1999-06-01 2000-12-15 Nec Corp 半導体装置及び半導体装置の製造方法
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7655555B2 (en) * 1999-08-27 2010-02-02 Texas Instruments Incorporated In-situ co-deposition of Si in diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6479389B1 (en) * 1999-10-04 2002-11-12 Taiwan Semiconductor Manufacturing Company Method of doping copper metallization
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1247292B1 (en) * 1999-12-15 2009-02-04 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
JP3821624B2 (ja) * 1999-12-17 2006-09-13 シャープ株式会社 半導体装置の製造方法
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6503375B1 (en) * 2000-02-11 2003-01-07 Applied Materials, Inc Electroplating apparatus using a perforated phosphorus doped consumable anode
JP4537523B2 (ja) * 2000-02-16 2010-09-01 富士通株式会社 Cu系埋込配線のパルスメッキ方法
TW476134B (en) * 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
TW503518B (en) * 2000-04-19 2002-09-21 Ibm Interconnect via structure and method
US6797608B1 (en) * 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
JP4425432B2 (ja) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100404941B1 (ko) * 2000-06-20 2003-11-07 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US6416812B1 (en) * 2000-06-29 2002-07-09 International Business Machines Corporation Method for depositing copper onto a barrier layer
US6541861B2 (en) * 2000-06-30 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method including forming step of SOI structure and semiconductor device having SOI structure
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
JP2002075995A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6387806B1 (en) * 2000-09-06 2002-05-14 Advanced Micro Devices, Inc. Filling an interconnect opening with different types of alloys to enhance interconnect reliability
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP3686325B2 (ja) * 2000-10-26 2005-08-24 松下電器産業株式会社 半導体装置及びその製造方法
US6417566B1 (en) * 2000-11-01 2002-07-09 Advanced Micro Devices, Inc. Void eliminating seed layer and conductor core integrated circuit interconnects
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
US6348732B1 (en) * 2000-11-18 2002-02-19 Advanced Micro Devices, Inc. Amorphized barrier layer for integrated circuit interconnects
US6504251B1 (en) * 2000-11-18 2003-01-07 Advanced Micro Devices, Inc. Heat/cold amorphized barrier layer for integrated circuit interconnects
JP2002164428A (ja) 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6358848B1 (en) * 2000-11-30 2002-03-19 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by forming an interim layer of calcium-doped copper seed layer in a chemical solution and semiconductor device thereby formed
US6469387B1 (en) * 2000-11-30 2002-10-22 Advanced Micro Devices, Inc. Semiconductor device formed by calcium doping a copper surface using a chemical solution
US6509262B1 (en) * 2000-11-30 2003-01-21 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution
US6657303B1 (en) * 2000-12-18 2003-12-02 Advanced Micro Devices, Inc. Integrated circuit with low solubility metal-conductor interconnect cap
US6979646B2 (en) * 2000-12-29 2005-12-27 Intel Corporation Hardening of copper to improve copper CMP performance
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US6426293B1 (en) 2001-06-01 2002-07-30 Advanced Micro Devices, Inc. Minimizing resistance and electromigration of interconnect by adjusting anneal temperature and amount of seed layer dopant
DE10296935T5 (de) * 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
US6506668B1 (en) 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6531780B1 (en) * 2001-06-27 2003-03-11 Advanced Micro Devices, Inc. Via formation in integrated circuit interconnects
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
WO2003017359A1 (en) * 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
KR20040045007A (ko) * 2001-09-26 2004-05-31 어플라이드 머티어리얼스, 인코포레이티드 배리어층 및 시드층 통합
JP2003115535A (ja) * 2001-10-04 2003-04-18 Hitachi Ltd 半導体集積回路装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6642133B2 (en) * 2001-12-20 2003-11-04 Intel Corporation Silicon-on-insulator structure and method of reducing backside drain-induced barrier lowering
TW571389B (en) 2001-12-25 2004-01-11 Nec Electronics Corp A copper interconnection and the method for fabricating the same
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US7341947B2 (en) * 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US6653236B2 (en) * 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US6472310B1 (en) * 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
JP4052868B2 (ja) * 2002-04-26 2008-02-27 Necエレクトロニクス株式会社 半導体装置の製造方法
US6958290B2 (en) 2002-05-03 2005-10-25 Texas Instruments Incorporated Method and apparatus for improving adhesion between layers in integrated devices
US6861349B1 (en) 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
TW200406829A (en) * 2002-09-17 2004-05-01 Adv Lcd Tech Dev Ct Co Ltd Interconnect, interconnect forming method, thin film transistor, and display device
US20040061237A1 (en) * 2002-09-26 2004-04-01 Advanced Micro Devices, Inc. Method of reducing voiding in copper interconnects with copper alloys in the seed layer
KR100919378B1 (ko) * 2002-10-28 2009-09-25 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 및 이의 형성 방법
US7880305B2 (en) * 2002-11-07 2011-02-01 International Business Machines Corporation Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer
JP4794802B2 (ja) 2002-11-21 2011-10-19 Jx日鉱日石金属株式会社 銅合金スパッタリングターゲット及び半導体素子配線
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
CN1317755C (zh) * 2003-04-10 2007-05-23 联华电子股份有限公司 制作钨插塞的方法
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US7276801B2 (en) 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7189292B2 (en) 2003-10-31 2007-03-13 International Business Machines Corporation Self-encapsulated silver alloys for interconnects
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
JP2005019979A (ja) * 2004-05-31 2005-01-20 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US7709958B2 (en) * 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US7327033B2 (en) * 2004-08-05 2008-02-05 International Business Machines Corporation Copper alloy via bottom liner
US20060071338A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Homogeneous Copper Interconnects for BEOL
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US7078810B2 (en) * 2004-12-01 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
KR101165217B1 (ko) * 2004-12-21 2012-07-17 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US20060154102A1 (en) * 2005-01-10 2006-07-13 Chih-Yuan Wang Soft thin laminated substrate
KR100641362B1 (ko) * 2005-01-13 2006-10-31 삼성전자주식회사 이중 확산방지막을 갖는 배선구조 및 그 제조방법
US7247946B2 (en) * 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US20060178007A1 (en) * 2005-02-04 2006-08-10 Hiroki Nakamura Method of forming copper wiring layer
JP2008536295A (ja) * 2005-03-11 2008-09-04 エルジー・ケム・リミテッド 銀被覆電極を有するlcd装置
US7285496B2 (en) * 2005-04-28 2007-10-23 Intel Corporation Hardening of copper to improve copper CMP performance
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7301236B2 (en) * 2005-10-18 2007-11-27 International Business Machines Corporation Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via
KR100702797B1 (ko) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 반도체소자의 구리배선막 형성방법
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
JP4485466B2 (ja) * 2005-12-27 2010-06-23 株式会社神戸製鋼所 半導体装置の配線用金属薄膜及び半導体装置用配線
KR100717909B1 (ko) * 2006-02-24 2007-05-14 삼성전기주식회사 니켈층을 포함하는 기판 및 이의 제조방법
US7367113B2 (en) * 2006-04-06 2008-05-06 United Microelectronics Corp. Method for fabricating a transformer integrated with a semiconductor structure
CN100454516C (zh) * 2006-04-17 2009-01-21 联华电子股份有限公司 整合于半导体集成电路结构的变压器的制作方法
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
TW200805667A (en) * 2006-07-07 2008-01-16 Au Optronics Corp A display panel structure having a circuit element and a method of manufacture
KR100792358B1 (ko) 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7642653B2 (en) * 2006-10-24 2010-01-05 Denso Corporation Semiconductor device, wiring of semiconductor device, and method of forming wiring
JP4896850B2 (ja) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 半導体装置のCu配線およびその製造方法
US20080157375A1 (en) * 2006-12-27 2008-07-03 Dongbu Hitek Co., Ltd. Semiconductor device having a metal interconnection and method of fabricating the same
KR20080061030A (ko) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US20080164613A1 (en) * 2007-01-10 2008-07-10 International Business Machines Corporation ULTRA-THIN Cu ALLOY SEED FOR INTERCONNECT APPLICATION
US20080258304A1 (en) * 2007-04-23 2008-10-23 Denso Corporation Semiconductor device having multiple wiring layers
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
US7642189B2 (en) * 2007-12-18 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Synergy effect of alloying materials in interconnect structures
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
JP5135002B2 (ja) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置
KR101433899B1 (ko) * 2008-04-03 2014-08-29 삼성전자주식회사 기판 식각부의 금속층 형성방법 및 이를 이용하여 형성된금속층을 갖는 기판 및 구조물
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2009266999A (ja) * 2008-04-24 2009-11-12 Renesas Technology Corp 半導体装置、およびその製造方法
JP5269533B2 (ja) * 2008-09-26 2013-08-21 三菱マテリアル株式会社 薄膜トランジスター
JP5360959B2 (ja) * 2008-10-24 2013-12-04 三菱マテリアル株式会社 バリア膜とドレイン電極膜およびソース電極膜が高い密着強度を有する薄膜トランジスター
JP4790782B2 (ja) * 2008-11-04 2011-10-12 Jx日鉱日石金属株式会社 銅合金スパッタリングターゲット及び半導体素子配線
US8053861B2 (en) 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US7951708B2 (en) * 2009-06-03 2011-05-31 International Business Machines Corporation Copper interconnect structure with amorphous tantalum iridium diffusion barrier
WO2010143609A1 (ja) * 2009-06-12 2010-12-16 株式会社アルバック 電子装置の形成方法、電子装置、半導体装置及びトランジスタ
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
JP5463794B2 (ja) * 2009-08-24 2014-04-09 三菱マテリアル株式会社 半導体装置及びその製造方法
JP5463801B2 (ja) * 2009-08-28 2014-04-09 三菱マテリアル株式会社 半導体装置及びその製造方法
US8653663B2 (en) * 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8779589B2 (en) * 2010-12-20 2014-07-15 Intel Corporation Liner layers for metal interconnects
CN102117796A (zh) * 2011-01-28 2011-07-06 复旦大学 一种集成电路铜互连结构及其制备方法
US8658533B2 (en) 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20140096877A1 (en) * 2011-06-06 2014-04-10 Mitsubishi Materials Corporation Copper alloy for electronic devices, method for producing copper alloy for electronic devices, copper alloy plastic working material for electronic devices, and component for electronic devices
CN102437100A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种使用双大马士革工艺同时形成铜接触孔和第一层金属的方法
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
CN103094184B (zh) * 2011-10-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
JP5903842B2 (ja) 2011-11-14 2016-04-13 三菱マテリアル株式会社 銅合金、銅合金塑性加工材及び銅合金塑性加工材の製造方法
US9093164B2 (en) * 2011-11-17 2015-07-28 International Business Machines Corporation Redundant via structure for metal fuse applications
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
CN102437145A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种自形成梯度Zr/ZrN双层扩散阻挡层及其制备方法
US9685404B2 (en) 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
US8497202B1 (en) 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US9548276B2 (en) * 2012-04-18 2017-01-17 Win Semiconductors Corp. Structure of backside copper metallization for semiconductor devices and a fabrication method thereof
US9059176B2 (en) 2012-04-20 2015-06-16 International Business Machines Corporation Copper interconnect with CVD liner and metallic cap
JP5569561B2 (ja) * 2012-06-18 2014-08-13 富士通セミコンダクター株式会社 半導体装置の製造方法
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
CN103681478B (zh) * 2013-12-19 2017-01-11 复旦大学 一种铜互连结构及其制备方法
CN103904025A (zh) * 2014-03-24 2014-07-02 上海华力微电子有限公司 提高金属连线电迁移可靠性的方法
DE102015110437B4 (de) * 2015-06-29 2020-10-08 Infineon Technologies Ag Halbleitervorrichtung mit einer Metallstruktur, die mit einer leitfähigen Struktur elektrisch verbunden ist und Verfahren zur Herstellung
CN106558564B (zh) * 2015-09-29 2019-08-27 稳懋半导体股份有限公司 半导体元件背面铜金属的改良结构
US10510688B2 (en) * 2015-10-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Via rail solution for high power electromigration
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
KR101818949B1 (ko) * 2017-03-22 2018-01-17 한창헌 말뚝 경유형 지하수 집수 시설의 시공방법
KR102084579B1 (ko) * 2017-04-27 2020-03-04 한창헌 말뚝 경유형 지하수 집수 시설
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
KR102586043B1 (ko) 2018-04-10 2023-10-10 삼성디스플레이 주식회사 유기 발광 표시장치 및 그 제조방법
CN111952263B (zh) * 2019-05-16 2022-08-05 上海交通大学 一种微米级单晶铜互连结构及其制备方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0714833A (ja) * 1993-06-15 1995-01-17 Sharp Corp 半導体装置の製造方法
JPH088253A (ja) * 1994-06-20 1996-01-12 Fujitsu Ltd 銅膜の形成方法及び半導体装置の製造方法
JPH0837235A (ja) * 1994-07-22 1996-02-06 Nippon Steel Corp 金属配線形成方法
JPH08186175A (ja) * 1994-12-28 1996-07-16 Sony Corp 半導体装置の配線形成方法及び成膜装置
EP0725439A2 (en) * 1992-08-27 1996-08-07 Kabushiki Kaisha Toshiba Electronic parts with metal wiring and manufacturing method thereof
JPH0920942A (ja) * 1995-06-27 1997-01-21 Internatl Business Mach Corp <Ibm> チップ及びパッケージの相互接続用銅合金ならびにその製造法
JPH09283525A (ja) * 1996-04-17 1997-10-31 Sanyo Electric Co Ltd 半導体装置
JPH1154458A (ja) * 1997-05-08 1999-02-26 Applied Materials Inc メタライゼーション構造体

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JP2726939B2 (ja) 1989-03-06 1998-03-11 日鉱金属 株式会社 加工性,耐熱性の優れた高導電性銅合金
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
JP3512225B2 (ja) * 1994-02-28 2004-03-29 株式会社日立製作所 多層配線基板の製造方法
US5545927A (en) * 1995-05-12 1996-08-13 International Business Machines Corporation Capped copper electrical interconnects
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
JP3517802B2 (ja) 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
KR100243286B1 (ko) * 1997-03-05 2000-03-02 윤종용 반도체 장치의 제조방법
US5801100A (en) * 1997-03-07 1998-09-01 Industrial Technology Research Institute Electroless copper plating method for forming integrated circuit structures
TW401634B (en) * 1997-04-09 2000-08-11 Sitron Prec Co Ltd Lead frame and its manufacture method
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5891802A (en) * 1997-07-23 1999-04-06 Advanced Micro Devices, Inc. Method for fabricating a metallization stack structure to improve electromigration resistance and keep low resistivity of ULSI interconnects
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0725439A2 (en) * 1992-08-27 1996-08-07 Kabushiki Kaisha Toshiba Electronic parts with metal wiring and manufacturing method thereof
JPH0714833A (ja) * 1993-06-15 1995-01-17 Sharp Corp 半導体装置の製造方法
JPH088253A (ja) * 1994-06-20 1996-01-12 Fujitsu Ltd 銅膜の形成方法及び半導体装置の製造方法
JPH0837235A (ja) * 1994-07-22 1996-02-06 Nippon Steel Corp 金属配線形成方法
JPH08186175A (ja) * 1994-12-28 1996-07-16 Sony Corp 半導体装置の配線形成方法及び成膜装置
JPH0920942A (ja) * 1995-06-27 1997-01-21 Internatl Business Mach Corp <Ibm> チップ及びパッケージの相互接続用銅合金ならびにその製造法
JPH09283525A (ja) * 1996-04-17 1997-10-31 Sanyo Electric Co Ltd 半導体装置
JPH1154458A (ja) * 1997-05-08 1999-02-26 Applied Materials Inc メタライゼーション構造体

Also Published As

Publication number Publication date
US6399496B1 (en) 2002-06-04
EP0954027A1 (en) 1999-11-03
SG77224A1 (en) 2000-12-19
CN1150619C (zh) 2004-05-19
DE69929496D1 (de) 2006-04-06
US6181012B1 (en) 2001-01-30
KR19990083124A (ko) 1999-11-25
JP3121589B2 (ja) 2001-01-09
MY126479A (en) 2006-10-31
TW418517B (en) 2001-01-11
DE69929496T2 (de) 2006-08-24
CN1233856A (zh) 1999-11-03
EP0954027B1 (en) 2006-01-18
JPH11340229A (ja) 1999-12-10

Similar Documents

Publication Publication Date Title
KR100339179B1 (ko) 상호 접속 구조 및 그 형성 방법
US6090710A (en) Method of making copper alloys for chip and package interconnections
US6207222B1 (en) Dual damascene metallization
US7220674B2 (en) Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US5925933A (en) Interconnect structure using Al2 -Cu for an integrated circuit chip
JP3057054B2 (ja) 銅線の多層相互接続を形成する方法
US6242808B1 (en) Semiconductor device with copper wiring and semiconductor device manufacturing method
JP4346866B2 (ja) TaN材料のバリア層を含む構造
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US7694871B2 (en) Self-encapsulated silver alloys for interconnects
KR100919378B1 (ko) 반도체 소자의 금속 배선 및 이의 형성 방법
JP3337758B2 (ja) 半導体装置の製造方法
KR20030053156A (ko) 반도체 소자의 금속 배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130425

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140425

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150427

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20160419

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20170420

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20180417

Year of fee payment: 17

EXPY Expiration of term