JP2003115535A - 半導体集積回路装置 - Google Patents

半導体集積回路装置

Info

Publication number
JP2003115535A
JP2003115535A JP2001309007A JP2001309007A JP2003115535A JP 2003115535 A JP2003115535 A JP 2003115535A JP 2001309007 A JP2001309007 A JP 2001309007A JP 2001309007 A JP2001309007 A JP 2001309007A JP 2003115535 A JP2003115535 A JP 2003115535A
Authority
JP
Japan
Prior art keywords
hole
conductive film
film
integrated circuit
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001309007A
Other languages
English (en)
Inventor
Kensuke Ishikawa
憲輔 石川
Tatsuyuki Saito
達之 齋藤
Masataka Miyauchi
正敬 宮内
Toshio Saito
敏男 斎藤
Yoji Ashihara
洋司 芦原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2001309007A priority Critical patent/JP2003115535A/ja
Priority to TW091121384A priority patent/TW569307B/zh
Priority to CNB021442185A priority patent/CN1333464C/zh
Priority to CNA2007101368970A priority patent/CN101097888A/zh
Priority to KR1020020060071A priority patent/KR20030029029A/ko
Priority to US10/263,829 priority patent/US7095120B2/en
Priority to US10/327,024 priority patent/US7018919B2/en
Publication of JP2003115535A publication Critical patent/JP2003115535A/ja
Priority to US11/444,316 priority patent/US7569476B2/en
Priority to KR1020070099531A priority patent/KR20070103346A/ko
Priority to US12/345,917 priority patent/US7777346B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 配線と配線を接続する接続部のバリア膜の構
造を最適化し、エレクトロマイグレーション特性を向上
させる。 【解決手段】 半導体基板上の第1層配線M1上に形成
された層間絶縁膜TH2中に配線溝HM2およびコンタ
クトホールC2を形成した後、これらの内部にバリア膜
PM2aを、コンタクトホールC2の底部の全周に渡っ
てコンタクトホールC2の底部の中央部から側壁に向か
ってその膜厚が増加するよう形成し、このバリア膜PM
2a上に銅膜(PM2b、PM2c)を形成した後、C
MP法により研磨することにより第2層配線M2と接続
部(プラグ)P2を形成する。その結果、接続部(プラ
グ)P2を介して第2層配線M2から第1層配線M1へ
流れる電流の幾何学的な最短経路と、電気的に抵抗が最
小となるバリア膜PM2aの薄い部分が一致せず、電流
経路を分散することができ、電子の集中を起こりにくく
できる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置に関し、特に、配線間の接続部に適用して有効な技術
に関するものである。
【0002】
【従来の技術】近年、半導体集積回路装置における配線
の微細化および多層化に伴い、例えば、絶縁膜中に溝を
形成し、導電性膜を溝内部に埋め込むことにより配線等
を形成する、いわゆるダマシン技術が検討されている。
【0003】このダマシン技術には、配線用の溝と、配
線と配線とを接続する接続部用の溝とを異なる工程で埋
め込むシングルダマシン法と、配線用の溝と接続部用の
溝とを同時に埋め込むデュアルダマシン法がある。
【0004】これらの溝中に埋め込まれる導電性膜とし
て抵抗値の小さい銅膜等が用いられている。
【0005】また、この溝の内部には、埋め込まれる導
電性膜を構成する銅等の金属の絶縁膜中への拡散を防止
するため、また、埋め込まれる導電性膜と絶縁膜との接
着性を向上させるために、溝内部に例えば、バリア性を
有する導電性膜(以下、「バリア膜」という)を形成す
る。
【0006】例えば、日経マイクロデバイス(NIKKEI
MICRODEVICES)、2000年7月号、P.65〜66に
は、ホールの内壁に下地膜をスパッタ法で形成する際、
ウエーハ周辺部ではスパッタ粒子が斜め方向に進むた
め、ホールの被覆特性が劣化するとの問題点が指摘され
ている。
【0007】
【発明が解決しようとする課題】本発明者らは、ダマシ
ン技術を用いて形成された配線等の信頼性の向上につい
て検討を行っており、ダマシン配線の信頼性は、溝の内
部のバリア膜の付き方と深く関わっていることを見いだ
した。
【0008】即ち、バリア膜は、埋め込まれる導電性膜
を構成する銅等の金属の絶縁膜中への拡散の防止のた
め、また、埋め込まれる導電性膜と絶縁膜との接着性を
向上させるために充分な膜厚が必要である。
【0009】一方、バリア膜のカバレッジ(被覆特性)
が悪い場合には、溝の底部や側壁において、バリア膜の
膜厚のばらつきが生じる。これに対応するため、バリア
膜を全体的に厚く堆積すると、導電性膜を埋め込むため
の孔のアスペクト比が大きくなり、導電性膜の埋め込み
不良が生じる。
【0010】また、バリア膜は、溝内部に埋め込まれる
導電性膜より高抵抗であるため、バリア膜を必要以上に
厚くすると、配線や接続部の抵抗が大きくなり、半導体
集積回路装置の高速動作を妨げる。
【0011】一方、このようにバリア膜は、一定の膜厚
以下である必要があるが、その膜厚のばらつきにより、
バリア膜に薄い箇所が存在すると、かかる箇所の抵抗値
が小さくなるため、電流経路となる。特に、コンタクト
ホール部において、電流経路の最短距離とかかる箇所と
が一致すると、電子の集中が起きる。その結果、かかる
箇所の金属原子が電子によって引っ張られる、いわゆ
る、エレクトロマイグレーションが生じる。その結果、
金属原子が移動した箇所に空孔が生じ、接続不良や断線
を生じさせる。
【0012】本発明の目的は、配線と配線を接続する接
続部の構造を最適化することにより配線のエレクトロマ
イグレーション特性を向上させることにある。
【0013】また、本発明の他の目的は、配線と配線を
接続する接続部のバリア膜の構造を最適化することによ
り半導体集積回路装置の特性を向上させることにある。
【0014】本発明の目的ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0015】
【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要を簡単に説明すれば、下
記のとおりである。
【0016】(1)本発明の半導体集積回路装置は、半
導体基板上に形成された絶縁膜中に形成された孔と、前
記孔の底部および側壁上に形成された第1導電性膜であ
って、前記孔の底部上に形成された第1導電性膜は、前
記孔の底部の中央部から側壁に向かってその膜厚が増加
している第1導電性膜と、前記第1導電性膜上であっ
て、前記孔の内部に埋め込まれた第2導電性膜と、を有
するものである。
【0017】(2)本発明の半導体集積回路装置は、半
導体基板上に形成された絶縁膜中に形成された孔と、前
記孔の底部および側壁上に形成された第1導電性膜であ
って、前記孔の底部の角部から前記第1導電性膜の表面
までの最短地点から前記孔の底部に向かって降ろした垂
線に対応する膜厚Aよりも、前記孔の底部の中央部の膜
厚Bが、小さい第1導電性膜と、前記第1導電性膜上で
あって、前記孔の内部に埋め込まれた第2導電性膜と、
を有するものである。
【0018】(3)本発明の半導体集積回路装置は、半
導体基板上に形成された絶縁膜中に形成された孔と、前
記孔の底部および側壁上に形成された第1導電性膜であ
って、前記孔の底部の角部から前記第1導電性膜の表面
までの最短地点から前記孔の底部に向かって降ろした垂
線に対応する部位よりも、前記孔の底部の中央部の抵抗
が低い第1導電性膜と、前記第1導電性膜上であって、
前記孔の内部に埋め込まれた第2導電性膜と、を有する
ものである。
【0019】(4)本発明の半導体集積回路装置は、半
導体基板上に形成された第1配線と、前記第1配線上に
形成された絶縁膜中の孔であって、その底部に、前記第
1配線が露出している孔と、前記孔の底部および側壁上
に形成された第1導電性膜と、前記第1導電性膜上であ
って、前記孔の内部に埋め込まれた第2導電性膜と、前
記第2導電性膜上に形成された第2配線と、を有し、前
記第1配線から第1導電性膜および第2導電性膜を介し
て前記第2配線へ到達する最短経路であって、前記最短
経路が前記第1導電性膜を横切る部位が、前記第1導電
性膜の最小抵抗部位でないものである。
【0020】(5)本発明の半導体集積回路装置は、半
導体基板上に形成された第1配線と、前記第1配線上に
形成された絶縁膜と、前記第1配線および前記絶縁膜中
に形成された孔であって、その底部が前記第1配線の表
面より深い位置に位置する孔と、前記孔の底部および側
壁上に形成された第1導電性膜であって、前記第1配線
の表面と接する孔の側壁部の膜厚Eが、前記孔の底部の
中央部の膜厚Bより大きい第1導電性膜と、前記第1導
電性膜上であって、前記孔の内部に埋め込まれた第2導
電性膜と、を有するものである。
【0021】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の部材には同一の符号を付
し、その繰り返しの説明は省略する。
【0022】(実施の形態1)本発明の実施の形態であ
る半導体集積回路装置をその製造方法に従って説明す
る。図1〜図18、図20〜図26、図31および図3
2は、本発明の実施の形態1である半導体集積回路装置
の製造方法を示す基板の要部断面図もしくは要部平面図
である。
【0023】まず、図1に示すように、例えば、半導体
素子の一例としてnチャネル型MISFET(Metal In
sulator Semiconductor Field Effect Transistor)Q
nおよびpチャネル型MISFETQpを形成する。
【0024】これらのMISFET形成プロセスの一例
を以下に示す。
【0025】まず、例えばp型の単結晶シリコンからな
る半導体基板1をエッチングすることにより溝を形成
し、溝の内部に絶縁膜として例えば酸化シリコン膜7を
埋め込むことにより素子分離領域2を形成する。素子分
離領域2により、MISFETが形成される活性領域が
規定される。
【0026】次に、例えば半導体基板(以下、単に「基
板」という)1にp型不純物およびn型不純物をイオン
打ち込みした後、熱処理により不純物を拡散させること
によって、p型ウエル3およびn型ウエル4を形成し、
その後、例えば熱酸化することにより、p型ウエル3お
よびn型ウエル4のそれぞれの表面に清浄なゲート絶縁
膜8を形成する。
【0027】次に、ゲート絶縁膜8の上部に、例えば導
電性膜として、リン(P)をドープした低抵抗多結晶シ
リコン膜9a、薄いWN(窒化タングステン)膜(図示
せず)およびW(タングステン)膜9cを順次堆積し、
さらにその上部に絶縁膜として例えば窒化シリコン膜1
0を堆積する。
【0028】次に、例えば、窒化シリコン膜10をドラ
イエッチング技術等を用いてエッチングすることによ
り、ゲート電極を形成する領域に窒化シリコン膜10を
残し、窒化シリコン膜10をマスクにしてW膜9c、W
N膜(図示せず)および多結晶シリコン膜9aをドライ
エッチング技術等を用いてエッチングする。これによ
り、多結晶シリコン膜9a、WN膜(図示せず)および
W膜9cからなるゲート電極9が形成される。
【0029】次に、ゲート電極9の両側のp型ウエル3
にn型不純物をイオン打ち込みすることによってn-
半導体領域11を形成し、n型ウエル4にp型不純物を
イオン打ち込みすることによってp-型半導体領域12
を形成する。
【0030】次に、基板1上に絶縁膜として例えば窒化
シリコン膜を堆積した後、異方的にエッチングすること
によって、ゲート電極9の側壁にサイドウォールスペー
サ13を形成する。
【0031】次に、p型ウエル3にn型不純物をイオン
打ち込みすることによってn-型半導体領域11よりも
不純物濃度の高いn+型半導体領域14(ソース、ドレ
イン)を形成し、n型ウエル4にp型不純物をイオン打
ち込みすることによってp-型半導体領域12よりも不
純物濃度の高いp+型半導体領域15(ソース、ドレイ
ン)を形成する。
【0032】ここまでの工程で、LDD(Lightly Doped
Drain)構造のソース、ドレインを備えたnチャネル型
MISFETQnおよびpチャネル型MISFETQp
が形成される。
【0033】この後、MISFETQnおよびQpと電
気的に接続される配線を形成するのであるが、以下、そ
の工程について説明する。
【0034】まず、図1に示すようにMISFETQn
およびQp上に、絶縁膜として例えば酸化シリコン膜を
CVD(Chemical Vapor deposition)法で堆積した
後、例えば酸化シリコン膜の表面を化学的機械研磨(C
MP;Chemical Mechanical Polishing)法で研磨して
その表面を平坦化することによって層間絶縁膜TH1を
形成する。
【0035】次に、例えば層間絶縁膜TH1上にフォト
レジスト膜(図示せず、以下単に「レジスト膜」とい
う)を形成し、このレジスト膜をマスクに層間絶縁膜T
H1をエッチングすることにより半導体基板1主面のn
+型半導体領域14およびp+型半導体領域15上にコン
タクトホールC1を形成する。
【0036】次いで、例えばコンタクトホールC1内を
含む層間絶縁膜TH1上に、導電性膜として例えば、タ
ングステン(W)膜をCVD法で堆積し、このタングス
テン膜を層間絶縁膜TH1が露出するまでCMP法によ
り研磨することによってコンタクトホールC1内にプラ
グP1を形成する。なお、プラグP1を、例えば窒化チ
タン(TiN)膜、チタン(Ti)膜等の単層膜又はそ
れらの積層膜からなるバリア膜とタングステン膜との積
層構造としてもよい。
【0037】次いで、図2に示すように、層間絶縁膜T
H1およびプラグP1上に、絶縁膜として例えばエッチ
ングストッパ膜である窒化シリコン膜H1aおよび酸化
シリコン膜H1bをCVD法により順次堆積し、これら
の膜から成る配線溝用絶縁膜H1を形成する。次いで、
第1層配線形成予定領域の配線溝用絶縁膜H1をエッチ
ングすることにより配線溝HM1を形成する。なお、酸
化シリコン膜H1bの代わりに、低誘電率の絶縁膜とし
てフッ素(F)を含有する酸化シリコン膜を用いてもよ
い。また、他の低誘電率の絶縁膜や塗布系絶縁膜を用い
てもよい。また、窒化シリコン膜H1aは、前記エッチ
ングの際のエッチングストッパーとして利用される。
【0038】次に、配線溝HM1内を含む配線溝用絶縁
膜H1上に、例えば窒化チタンからなるバリア膜M1a
をスパッタ法により堆積する。次いで、バリア膜M1a
上に、導電性膜として例えば銅膜M1bを例えば電解メ
ッキ法により形成する。なお、銅膜M1bを電界メッキ
法で形成する前に、電界メッキ用のシード膜として例え
ば薄い銅膜をスパッタ法もしくはCVD法で形成しても
よい。
【0039】次に、銅膜M1bに熱処理を施した後、配
線溝HM1外部の銅膜M1bおよびバリア膜M1aをC
MP法により除去することにより銅膜M1bおよびバリ
ア膜M1aから成る第1層配線M1を形成する。
【0040】次に、図3に示すように第1層配線M1上
に、例えば絶縁膜として窒化シリコン膜TH2a、酸化
シリコン膜TH2b、窒化シリコン膜TH2cおよび酸
化シリコン膜TH2dを順次CVD法により堆積するこ
とにより層間絶縁膜TH2を形成する。これらの膜のう
ち、窒化シリコン膜TH2aは、第1層配線M1を構成
する銅の拡散を防止する機能を有する。また、窒化シリ
コン膜TH2aは、銅の拡散を防止する機能を有してい
れば窒化シリコン膜以外の絶縁膜を用いてもよい。ま
た、窒化シリコン膜TH2aは、後述するコンタクトホ
ールC2を形成する際のエッチングストッパーとして利
用される。また、窒化シリコン膜TH2cは、後述する
配線溝HM2を形成する際のエッチングストッパーとし
て利用される。
【0041】次いで、層間絶縁膜TH2上に第2層配線
形成予定領域上が開孔したレジスト膜(図示せず)を形
成し、このレジスト膜をマスクに、層間絶縁膜TH2の
うち、酸化シリコン膜TH2dおよび窒化シリコン膜T
H2cをエッチングすることにより、配線溝HM2を形
成する。
【0042】次いで、配線溝HM2内を含む層間絶縁膜
TH2上に、第1レジスト膜(図示せず)を堆積し、エ
ッチバックすることにより配線溝HM2を第1レジスト
膜で埋め込む。さらに、第1レジスト膜上に第1層配線
と第2層配線との接続領域が開口した第2レジスト膜
(図示せず)を形成し、この第2レジスト膜をマスク
に、第1レジスト膜、酸化シリコン膜TH2bおよび窒
化シリコン膜TH2aを、エッチングすることにより、
コンタクトホール(孔)C2を形成する。
【0043】なお、ここでは、配線溝HM2を形成した
後、コンタクトホールC2を形成したが、第1層配線と
第2層配線との接続領域の窒化シリコン膜TH2a、酸
化シリコン膜TH2b、窒化シリコン膜TH2cおよび
酸化シリコン膜TH2dをエッチングすることによりコ
ンタクトホールC2を形成した後、第2層配線形成予定
領域の酸化シリコン膜TH2dおよび窒化シリコン膜T
H2cをエッチングすることにより配線溝HM2を形成
してもよい。
【0044】次いで、図4に示すように、このコンタク
トホールC2および配線溝HM2内を含む層間絶縁膜T
H2上に、例えばチタン(Ti)膜等、以下に示す高融
点金属を堆積することによりバリア膜PM2aを形成す
る。即ち、チタンの他、タンタル(Ta)、窒化タンタ
ル(TaN)、窒化チタン(TiN)、タングステン
(W)、窒化タングステン、窒化チタンシリサイドおよ
び窒化タングステンシリサイドの少なくとも一つ、もし
くはこれらの合金、またはこれらの膜を複数積層した積
層膜を用いてもよい。
【0045】この際、バリア膜PM2aを以下に示す構
造となるよう形成する。
【0046】図5および図7は、図4の3つのコンタク
トホールC2のうち右側に位置するコンタクトホールC
2の近傍の拡大図である。また、図6は、図5および図
7に示す基板の要部平面図であり、図5は、図6のA−
A断面に、図7は、図6のB−B断面に対応する。な
お、本実施の形態において、特に限定されないが、配線
溝HM2の幅は、配線溝HM1の幅と実質的に等しく構
成されるが、図6において図面を見易くするため配線溝
HM1の幅を配線溝HM2の幅よりも小さく示してい
る。
【0047】図5および図7等に示すように、バリア膜
PM2aは、配線溝HM2やコンタクトホールC2の底
部および側壁に沿って形成される。
【0048】この際、コンタクトホールC2内において
は、その底部のバリア膜PM2aが、底部の中央部から
側壁に向かってその膜厚が増加するよう形成する。ま
た、コンタクトホールC2内の底部のバリア膜PM2a
を、コンタクトホールC2の底部の全周に渡って、底部
の中央部から側壁に向かってその膜厚を増加させるよう
に構成する。ここで、図7のコンタクトホールC2の底
部の部分拡大図である図8に示すように、コンタクトホ
ールC2底部の中央部上のバリア膜の膜厚をBとする
と、コンタクトホールC2の底部の膜厚であって、その
側壁方向の端部の膜厚Aをこの膜厚Bより大きくする
(A≧B)。また、その側壁底部の膜厚Cをこの膜厚B
より大きくする(C≧B)。
【0049】この際、膜厚BやコンタクトホールC2の
側壁上のバリア膜の膜厚Dは、バリア性を確保できる最
小限の膜厚以上とする。なお、コンタクトホールC2の
底部のバリア膜PM2a下には、第1層配線M1が形成
されているため、かかる箇所のバリア膜PM2aについ
ては、バリア性を確保するに充分な膜厚でなくてもよい
が、図9に示すように、マスクずれ等により第1層配線
M1と、コンタクトホールC2との位置がずれる場合が
あるため、膜厚Bはバリア性を確保できる最小限の膜厚
以上であることが望ましい。なお、図9のPM2bおよ
びPM2cは、バリア膜PM2a上の銅膜(これらの境
界は図中では省略されている)を示す。また、TH3a
およびTH3bは、前記銅膜(PM2b、PM2c)上
の絶縁膜を示す。
【0050】次いで、図10に示すように、例えばバリ
ア膜PM2a上に電界メッキ用のシード膜として銅膜P
M2bを、スパッタ法もしくはCVD法により形成した
後、例えば銅膜PM2b上に導電性膜として銅膜PM2
cを電界メッキ法により形成する。
【0051】次いで、銅膜PM2b、PM2cに熱処理
を施した後、図11に示すように、配線溝HM2および
コンタクトホールC2外部の銅膜PM2b、PM2cお
よびバリア膜PM2aをCMP法により除去することに
より第2層配線M2および第1層配線と第2層配線との
接続部(プラグ)P2を形成する。図12および図13
は、図11のコンタクトホールC2近傍の拡大図であ
る。この図12および図13は、それぞれ、前述の図6
のA−A断面部およびB−B断面部に対応する。
【0052】ここで、第2層配線M2、接続部(プラ
グ)P2および第1層配線M1の構造についてまとめて
おく。
【0053】まず、第2層配線M2および接続部(プラ
グ)P2は、銅膜PM2b、PM2cおよびバリア膜P
M2aから成る。第2層配線M2は、図12に示すよう
に、接続部(プラグ)P2を起点として左側に延在して
おり、第1層配線M1は、接続部(プラグ)P2を起点
として右側に延在している。
【0054】また、前述したように、コンタクトホール
C2底部のバリア膜PM2aは、底部の中央部から側壁
に向かってその膜厚が増加している。言い換えれば、バ
リア膜PM2aは、コンタクトホールC2の側壁から底
部の中央部に向かって下降する傾斜部を有している。ま
た、図13のコンタクトホールC2の底部の部分拡大図
である図14に示すように、コンタクトホールC2底部
の中央部上のバリア膜PM2aの膜厚Bは、コンタクト
ホールC2の底部の膜厚であって、その側壁方向の端部
の膜厚Aより大きい(A≧B)。この膜厚Aは、例え
ば、コンタクトホールC2底部の角部からバリア膜PM
2aの表面までの最短距離Lの端部からコンタクトホー
ルC2の底部に向かって垂線を降ろすことにより得られ
る。
【0055】なお、実際のバリア膜の表面は、図15に
示すように、コンタクトホールC2の底部の角部におい
て、曲面となっている。また、図16に示すように、コ
ンタクトホールC2の角部が曲面となっている場合に
は、コンタクトホールC2の側部の延長線と底部の延長
線との交点を起点とすることにより、前述の最短距離L
を求めることができる。
【0056】このような接続部(プラグ)P2を介し、
第2層配線M2から第1層配線M1へ電流(i)が流れ
る場合、電子(e)は、図17に示すように、接続部
(プラグ)P2の右下から左上に至るルートRu1を経
由して流れる。これは、この経路が幾何学的な最短ルー
トとなるからである。また、電子(e)は、図18に示
すように、接続部(プラグ)P2の中央部を介して第1
層配線M1へ流れる(ルートRu2)。これは、バリア
膜PM2aの薄い部分が、電気的に抵抗が最小となるか
らである。
【0057】このように、本実施の形態によれば、第2
層配線M2から第1層配線M1への幾何学的な電流の最
短経路(ルートRu1)と、電気的に抵抗が最小となる
バリア膜PM2aの薄い部分が一致しないので、電流経
路を分散することができる。従って、電子(e)の集中
が起こりにくくなり、エレクトロマイグレーション特性
を向上させることができる。
【0058】即ち、図19に示すように、バリア膜PM
2a’の成膜時には、コンタクトホールC2の内部にお
いて、その膜厚にばらつきが生じ、特に、スパッタ法で
成膜した場合に、そのばらつきが大きい。これは、コン
タクトホールC2がウエハのどこに存在するかによっ
て、ターゲットから飛散するスパッタ粒子(この場合、
Ti粒子)の入り込み方が異なるからである。
【0059】例えば、ウエハの左端にコンタクトホール
がある場合は、図19に示すように、コンタクトホール
C2の左の側壁にバリア膜PM2a’が厚く形成され、
右の側壁には薄くバリア膜PM2a’が形成される。ま
た、コンタクトホールC2の底部においては、左から右
にかけてその膜厚が徐々に小さくなっていく。これは、
ウエハの左端にあるコンタクトホールにおいては、右方
向から飛散してくるスパッタ粒子の方が、左方向から飛
散してくるスパッタ粒子より入り込みやすいため、右方
向から飛散してくるスパッタ粒子と対抗する左の側壁や
底部の左側にバリア膜PM2a’が厚く形成される。逆
に、ウエハの右端にコンタクトホールがある場合は、コ
ンタクトホールの右の側壁や底部の右側にバリア膜が厚
く形成される(前述した日経マイクロデバイス(NIKKEI
MICRODEVICES)、2000年7月号、P.65の図1
(a)参照)。
【0060】従って、図19に示す接続部(プラグ)P
2を介し第2層配線M2から第1層配線M1に電流が流
れる場合、接続部(プラグ)P2の左上から右下に至る
ルートRu1を経由する経路が幾何学的な最短ルートと
なり、かつ、接続部(プラグ)P2の右下にバリア膜の
薄い部分が存在するため、かかる部分に電子(e)の集
中が起きる。このため、前記部分を通過する電子によっ
て銅膜を構成する銅原子が引っ張られ、かかる部分を起
点に、銅膜(PM2b、PM2c)とバリア膜PM2
a’との界面でこれらの膜の剥がれが生じる。さらに、
電流を流し続けると、銅の流動は大きくなり、空孔がで
き、断線の原因となる。このような導体を流れる電子と
金属イオンとの運動量交換により金属原子が移動する現
象をエレクトロマイグレーションという。
【0061】このように、図19に示すバリア膜PM2
a’の形状では、電流の幾何学的な最短ルートRu1
が、バリア膜の薄い部分(電気的に抵抗が最小となる部
分)を横断するため、エレクトロマイグレーション特性
が劣化する。
【0062】これに対し、本実施の形態においては、コ
ンタクトホールC2の底部のバリア膜PM2aを、底部
の中央部から側壁に向かってその膜厚が増加するよう形
成したので、電流の幾何学的な最短ルートRu1が、バ
リア膜の薄い部分(電気的に抵抗が最小となる部分)を
横断せず、かかる部分への電子の集中を防止することが
できる。その結果、エレクトロマイグレーション特性を
向上させることができる。
【0063】また、本実施の形態においては、図12お
よび図13等に示したように、コンタクトホールC2の
底部のバリア膜PM2aを、コンタクトホールC2の底
部の全周に渡って、底部の中央部から側壁に向かってそ
の膜厚が増加するよう構成したので、第2層配線M2に
対し第1層配線M1がどのような方向に延在しても、前
述の効果を得ることができる。
【0064】即ち、図20〜図23に示すように、第1
層配線M1のパターンと第2層配線M2のパターンとの
なす角は、種々ある。例えば、図20は、なす角が18
0°の場合、図21は、0(360)°、図22は、9
0°、図23は、270°の場合を示す。これらの図2
0〜図23の各々において、図の上部(a)は、第1層
配線M1のパターンと第2層配線M2のパターンとの関
係を示す平面図であり、図の下部(b)は、前記平面図
(a)のC−C断面図である。
【0065】従って、本実施の形態にように、コンタク
トホールC2の底部の全周に渡って、底部の中央部から
側壁に向かってバリア膜PM2aの膜厚を増加させれ
ば、図20〜図23に示すように、第1層配線M1のパ
ターンと第2層配線M2のパターンとのなす角に関わら
ず、エレクトロマイグレーション特性を向上させること
ができる。もちろん、第1層配線M1のパターンと第2
層配線M2のパターンとのなす角は、図20〜図23に
示す場合に限られず、第1層配線M1のパターンと第2
層配線M2のパターンとが斜めに交差する場合も同様で
ある。これに対して、図19に示したように、コンタク
トホールC2の左側にのみバリア膜PM2aが厚く形成
されている場合には、図24に示すように、第1層配線
の(a1)〜(d1)の延在方向のうち、左方向(b
1)以外の方向(a1、c1、d1)についエレクトロ
マイグレーション特性が劣化してしまう。なお、図24
は、本実施の形態の効果を分かり易く説明するための図
であり、図24において、図の上部(a)は、第1層配
線M1のパターンを示す平面図であり、図の下部(b)
は、前記平面図のC−C断面図である。
【0066】また、本実施の形態によれば、図25に示
すように、第2層配線M2に対して2本の第1層配線M
1が、それぞれ図中の(a1)、(b1)もしくは(c
1)、および(a2)、(b2)もしくは(c2)の方
向に延在している場合であっても、コンタクトホールC
2の底部の全周に渡って、底部の中央部から側壁に向か
ってその膜厚を増加させたので、前述の効果を得ること
ができる。なお、図25は、本実施の形態の効果を分か
り易く説明するための図であり、図25において、図の
上部(a)は、第1層配線M1のパターンと第2層配線
M2のパターンとの関係を示す平面図であり、図の下部
(b)は、前記平面図のC−C断面図である。
【0067】また、図11に示したn+型半導体領域1
4(ソース、ドレイン)およびp+型半導体領域15
(ソース、ドレイン)とプラグP1を介して接続される
複数の第1層配線M1について、第2層配線M2を図2
6に示すように配置した場合でも、各接続部(プラグ)
P2において、エレクトロマイグレーション特性を向上
させることができる。例えば、図11は、この図26の
D−D断面に対応する。
【0068】一方、前述したように、コンタクトホール
C2の側壁底部のバリア膜PM2aの膜厚Cは、底部の
中央部の膜厚Bより大きい(図8、図14参照)。
【0069】この膜厚Cは、例えば、コンタクトホール
C2の底部の角部からバリア膜PM2aの表面までの最
短距離Lの端部からコンタクトホールC2の側壁に向か
って垂線を降ろすことにより得られる。
【0070】なお、実際のバリア膜表面は、前述の図1
5に示したように、コンタクトホールの底部C2の角部
において、曲面となっている。また、図16に示したよ
うに、コンタクトホールC2の角部が曲面となっている
場合には、コンタクトホールC2の側部の延長線と底部
の延長線との交点を起点とすることにより、前述の最短
距離Lを求めることができる。
【0071】このように、膜厚Cを膜厚Bより大きくす
ることにより、コンタクトホールC2の形成の際、膜厚
A以下のオーバーエッチングが行われた場合であって
も、電子の集中を防止することができる。なお、かかる
効果については、実施の形態3において詳細に説明する
ため、ここでの説明を省略する。
【0072】次に、本実施の形態で説明した、バリア膜
PM2aの形成工程、膜厚Aおよび膜厚B等の制御方法
の一例について説明する。
【0073】図27に、バリア膜PM2aの形成に用い
られるイオンバイアススパッタ装置101の概略図を示
す。図27に示すように、図3に示したコンタクトホー
ルC2が形成された基板1(ウエハ)は、支持部Stに
よって支持され、また、交流電圧Evが印加されている
(バイアスされている)。このウエハの上部には、ター
ゲットTa(この場合、Tiよりなるプレート)が位置
する。また、バリア膜の成膜の際は、装置内は、減圧状
態であり、成膜時には、アルゴン(Ar)等の放電用ガ
スが、注入される。このアルゴン雰囲気中に電圧を加え
ると、グロー放電が起こり、プラズマの中のイオンが、
陰極のターゲットTaにぶつかってスパッタ粒子(この
場合Ti粒子)をはじき出す。このはじき出された粒子
が、ウエハの表面のコンタクトホール内に堆積すること
によりバリア膜が形成される。
【0074】図28は、基板1に印加する基板バイアス
[a.u.]を変化させた場合の、膜厚Bに対する膜厚
Aの比(A/B)である。図28のグラフ(a)に示す
ように、膜厚比(A/B)は、基板バイアスが大きくな
るに従い、大きくなり、およそ2以上で、膜厚比(A/
B)が1以上となる。即ち、A≧Bとなる。また、点b
は、通常のマグネトロンスパッタで成膜した場合の膜厚
比(A/B)を示す。
【0075】この際、堆積速度は、50nm/min、
成膜圧力は、0.1Pa以下、成膜温度は、室温から4
00℃の範囲であることが望ましい。また、図28は、
配線溝HM2の幅が、0.18μm、コンタクトホール
C2部のアスペクト比(配線の深さと接続部の深さの和
/接続部の径)が、2.8の場合について膜厚比を求め
た。
【0076】このように、基板バイアスを制御すること
により膜厚比(A/B)を制御することができ、本実施
の形態で説明した膜厚比(A/B)が1以上となる条件
を選択することができる。また、膜厚比(A/B)が1
以上となる条件は、配線や接続孔の寸法によって異な
る。
【0077】図29は、基板1に印加する基板バイアス
[a.u.]を変化させた場合の、膜厚Bに対する膜厚
Cの比(C/B)である。図29のグラフ(c)に示す
ように、膜厚比(C/B)は、バイアスが大きくなるに
従い、大きくなり、およそ3以上で、膜厚比(C/B)
が1以上となる。即ち、C≧Bとなる。また、点dは、
通常のマグネトロンスパッタで成膜した場合の膜厚比
(C/B)を示す。
【0078】従って、A≧BかつC≧Bを満たすには、
この場合3以上の基板バイアスで成膜する必要がある。
【0079】図30は、通常のマグネトロンスパッタで
成膜し、A≦BでかつC≦Bである場合、本実施の形態
で説明したA≧BでかつC≧Bである場合の半導体集積
回路装置の不良率(Cumulative failure[%])とスト
レス時間(Stress time[a.u.])との関係を示し
た図である。グラフ(f)は、A≦BでかつC≦Bであ
る場合を、グラフ(g)は、A≧BでかつC≧Bである
場合を示す。ここで、ストレス時間(Stress time)と
は、例えば、半導体集積回路装置を高温下に置く等、悪
条件下にさらした場合の時間をいう。図示するように、
本実施の形態の構成によれば、エレクトロマイグレーシ
ョン寿命をおよそ1桁改善することができる。
【0080】次に、第2層配線M2上に、さらに上層の
配線(第3〜第5配線)を形成する工程について説明す
る。
【0081】まず、図31に示すように、第2層配線M
2上に、層間絶縁膜TH2と同様に層間絶縁膜TH3
(窒化シリコン膜TH3a、酸化シリコン膜TH3b、
窒化シリコン膜TH3cおよび酸化シリコン膜TH3
d)を形成し、配線溝HM2およびコンタクトホールC
2と同様に、配線溝HM3およびコンタクトホールC3
を形成する。次に、バリア膜PM2a、銅膜PM2bお
よびPM2cと同様に、バリア膜PM3a、銅膜PM3
bおよびPM3cを形成し、熱処理を施した後、CMP
法により研磨することにより第3層配線M3および第2
層配線と第3層配線との接続部(プラグ)P3を形成す
る。即ち、コンタクトホールC3内におけるバリア膜P
M3aの構造は、バリア膜PM2aの構造と同様に構成
される。つまり、コンタクトホールC3の底部のバリア
膜PM3aをコンタクトホールC3の底部の全周に渡っ
て底部の中央部から側壁に向かってその膜厚が増大する
ように構成する。
【0082】さらに、層間絶縁膜TH4、TH5、第
4、第5層配線M4、M5および接続部(プラグ)P
4、P5も、層間絶縁膜TH3、第3層配線M3および
接続部(プラグ)P3とそれぞれ同様の構造に形成する
ことにより、例えば、図32に示すような、5層の配線
を形成する。即ち、第4層配線M4と第3層配線M3と
を接続するコンタクトホールC4において、コンタクト
ホールC4の底部のバリア膜PM4aを、コンタクトホ
ールC4の底部の全周に渡って底部の中央部から側壁に
向かってその膜厚が増大するように構成される。また、
第5層配線M5と第4層配線M4とを接続するコンタク
トホールC5において、コンタクトホールC5の底部の
バリア膜PM5aを、コンタクトホールC5の底部の全
周に渡って底部の中央部から側壁に向かってその膜厚が
増大するように構成される。なお、PM4b、PM5b
は、PM3b、PM2bと同様な銅膜であり、PM4
c、PM5cは、PM3c、PM2cと同様な銅膜であ
る。
【0083】次いで、第5層配線M5上に、銅拡散防止
膜として例えば窒化シリコン膜を堆積した後、さらに、
保護膜として酸化シリコン膜と窒化シリコン膜との積層
膜PVを堆積する。
【0084】なお、特に限定されないが、第2層配線M
2および第4層配線M4は、主に、X方向に延在するよ
うに構成され、第3層配線M3および第5層配線M5
は、主に、X方向に垂直な方向に延在するように構成さ
れる。また、第1層配線M1から第5層配線M5を用い
てMISFETQn、Qp間が結線され、例えば、マイ
クロプロセッサー等の論理回路が構成される。
【0085】なお、本実施の形態においては、第1層配
線を銅膜M1b等により形成したが、第1層配線として
銅合金(銅の他、マグネシウム(Mg)、銀(Ag)、
白金(Pt)、チタン(Ti)、タンタル(Ta)、ア
ルミニウム(Al)等を含む合金)、銀もしくは銀合
金、金(Au)または、金合金、アルミニウムもしくは
アルミニウム合金(アルミニウムの他、シリコン(S
i)、銅、ニオブ(Nb)、チタン等を含む)等を主材
料に用いてもよい。また、本実施の形態においては、第
1層配線M1をダマシン法で形成したが、層間絶縁膜T
H1上に、前述の材料を堆積した後、ドライエッチング
法等を用いて所望の形状にパターニングすることにより
形成してもよい。
【0086】(実施の形態2)実施の形態1において
は、デュアルダマシン法を用いて第2層配線M2および
接続部(プラグ)P2を形成したが、以下に示すよう
に、シングルダマシン法を用いてこれらの部分を形成し
てもよい。以下に、本発明の実施の形態である半導体集
積回路装置をその製造方法に従って説明する。図33〜
図42は、本発明の実施の形態2である半導体集積回路
装置の製造方法を示す基板の要部断面図もしくは要部平
面図である。なお、第1層配線M1形成工程までは、図
1および図2を参照しながら説明した実施の形態1の場
合と同様であるためその説明を省略する。
【0087】次に、図33に示すように第1層配線M1
および配線溝用絶縁膜H1上に、絶縁膜として窒化シリ
コン膜TH2a、酸化シリコン膜TH2bを順次CVD
法により堆積することにより層間絶縁膜TH22を形成
する。これらの膜のうち、窒化シリコン膜TH2aは、
第1層配線M1を構成する銅の拡散を防止する機能を有
する。また、後述するコンタクトホールC2を形成する
際のエッチングストッパーとして利用される。
【0088】次いで、層間絶縁膜TH22上に接続部
(プラグ)形成予定領域上が開孔したレジスト膜(図示
せず)を形成し、このレジスト膜をマスクに、層間絶縁
膜TH22(窒化シリコン膜TH2a、酸化シリコン膜
TH2b)をエッチングすることにより、コンタクトホ
ールC2を形成する。
【0089】次いで、実施の形態1で説明したバリア膜
PM2aと同様にして、バリア膜P2aを形成する。
【0090】即ち、図34および図35に示すように、
このコンタクトホールC2内を含む層間絶縁膜TH22
上に、例えばTi(チタン)膜等の高融点金属を堆積す
ることによりバリア膜P2aを形成する。この際、コン
タクトホールC2内においては、その底部のバリア膜P
2aが、コンタクトホールC2の底部全周に渡って底部
の中央部から側壁に向かってその膜厚が増加するよう形
成する(実施の形態1の図5〜図7参照)。ここで、コ
ンタクトホールC2の底部の中央部上のバリア膜の膜厚
をBとすると、コンタクトホールC2の底部の膜厚であ
って、その側壁方向の端部の膜厚Aを、膜厚Bより大き
くする(A≧B)。また、その側壁底部の膜厚Cを、膜
厚Bより大きくする(C≧B)。図34は、図33のコ
ンタクトホールC2のうち右側のコンタクトホールC2
の近傍の拡大図である。また、図35は、図34のコン
タクトホールC2の底部の部分拡大図である。
【0091】次いで、図36に示すように、バリア膜P
2a上に電界メッキ用のシード膜として例えば銅膜P2
bを、スパッタ法もしくはCVD法により形成した後、
この銅膜P2b上に導電性膜として例えば銅膜P2cを
電界メッキ法により形成する。
【0092】次いで、銅膜P2b、P2cに熱処理を施
した後、図37に示すように、コンタクトホールC2外
部の銅膜P2b、P2cおよびバリア膜P2aをCMP
法により除去することにより第1層配線M1と第2層配
線M2との接続部(プラグ)P2を形成する。図38お
よび図40に、図37の3つのコンタクトホールC2の
うち右側のコンタクトホールC2の近傍の拡大図を示
す。また、図39に、図38および図40に示す基板の
要部平面図を示す。図38は、図39のA−A断面に対
応し、図40は、図39のB−B断面に対応する。図示
するように、この接続部(プラグ)P2の構成は、実施
の形態1で説明した接続部(プラグ)P2と同様であ
る。
【0093】次いで、図41に示すように層間絶縁膜T
H22およびプラグP2上に、絶縁膜として窒化シリコ
ン膜TH2cおよび酸化シリコン膜TH2dを順次CV
D法により堆積することにより配線溝用絶縁膜H22を
形成する。これらの膜のうち、窒化シリコン膜TH2c
は、後述する配線溝HM2を形成する際のエッチングス
トッパーとして利用される。
【0094】次いで、配線溝用絶縁膜H22上に第2層
配線形成予定領域上が開孔したレジスト膜(図示せず)
を形成し、このレジスト膜をマスクに、配線溝用絶縁膜
H22(酸化シリコン膜TH2dおよび窒化シリコン膜
TH2c)をエッチングすることにより、配線溝HM2
を形成する。
【0095】次いで、配線溝HM2内を含む層間絶縁膜
TH2上に、例えばTi(チタン)膜等の高融点金属を
堆積することによりバリア膜M2aを形成する。
【0096】次いで、バリア膜M2a上に電界メッキ用
のシード膜として例えば銅膜M2bを、スパッタ法もし
くはCVD法により形成した後、この銅膜M2b上に導
電性膜として例えば銅膜M2cを電界メッキ法により形
成する。
【0097】次いで、銅膜M2b、M2cに熱処理を施
した後、配線溝HM2外部の銅膜M2b、M2cおよび
バリア膜M2aをCMP法により除去することにより第
2層配線M2を形成する。
【0098】以降、層間絶縁膜(TH23〜TH2
5)、接続部(P3〜P5)、配線溝用絶縁膜(H23
〜H25)および配線(M3〜M5)の形成を繰り返す
ことにより図42に示すような5層の配線を形成する。
これらは、層間絶縁膜TH2、接続部(プラグ)P2、
配線溝用絶縁膜H22および第2層配線M2と同様に形
成する。
【0099】また、第5層配線M5の上部には、実施の
形態1と同様に、銅拡散防止膜として例えば窒化シリコ
ン膜を堆積した後、さらに、保護膜として酸化シリコン
膜と窒化シリコン膜との積層膜PVを堆積する。これに
より、実施の形態1と同様に、コンタクトホールC3,
C4およびC5の各々において、コンタクトホール底部
のバリア膜P3a、P4a、P5aをコンタクトホール
の底部の全周に渡って底部の中央部から側壁に向かって
その膜厚が増大するように構成される。
【0100】このように、本実施の形態によれば、実施
の形態1で説明したように、コンタクトホールC2の底
部のバリア膜P2aを、コンタクトホールC2の底部の
全周に渡って底部の中央部から側壁に向かってその膜厚
が増加するよう形成したので、第2層配線M2から第1
層配線M1への電流の幾何学的な最短ルートが、バリア
膜の薄い部分(電気的に抵抗が最小となる部分)を横断
せず、かかる部分への電子の集中を防止することができ
る。その結果、エレクトロマイグレーション特性を向上
させることができる。
【0101】また、膜厚Cを膜厚Bより大きくすること
により、コンタクトホールC2の形成の際、膜厚A以下
のオーバーエッチングが行われた場合であっても、電子
の集中を防止することができる。
【0102】(実施の形態3)本発明の実施の形態であ
る半導体集積回路装置をその製造方法に従って説明す
る。図43〜図51は、本発明の実施の形態3である半
導体集積回路装置の製造方法を示す基板の要部断面図も
しくは要部平面図である。なお、第1層配線M1形成工
程までは、図1および図2を参照しながら説明した実施
の形態1の場合と同様であるためその説明を省略する。
【0103】まず、図43に示すように第1層配線M1
および配線溝用絶縁膜H1上に、絶縁膜として窒化シリ
コン膜TH2a、酸化シリコン膜TH2b、窒化シリコ
ン膜TH2cおよび酸化シリコン膜TH2dを順次CV
D法により堆積することにより層間絶縁膜TH2を形成
する。これらの膜のうち、窒化シリコン膜TH2aは、
第1層配線M1を構成する銅の拡散を防止する機能を有
する。また、後述するコンタクトホールC2を形成する
際のエッチングストッパーとして利用される。また、窒
化シリコン膜TH2cは、後述する配線溝HM2を形成
する際のエッチングストッパーとして利用される。
【0104】次いで、層間絶縁膜TH2上に第2層配線
形成予定領域上が開孔したレジスト膜(図示せず)を形
成し、このレジスト膜をマスクに、層間絶縁膜TH2の
うち、酸化シリコン膜TH2dおよび窒化シリコン膜T
H2cをエッチングすることにより、配線溝HM2を形
成する。
【0105】次いで、配線溝HM2内を含む層間絶縁膜
TH2上に、第1レジスト膜(図示せず)を堆積し、エ
ッチバックすることにより配線溝HM2を第1レジスト
膜で埋め込む。さらに、第1レジスト膜上に第1層配線
と第2層配線との接続領域が開口した第2レジスト膜
(図示せず)を形成し、この第2レジスト膜をマスク
に、第1レジスト膜、酸化シリコン膜TH2bおよび窒
化シリコン膜TH2aを、エッチングすることにより、
コンタクトホールC2を形成する。なお、実施の形態1
で説明したように、コンタクトホールC2を形成した
後、配線溝HM2を形成してもよい。
【0106】このコンタクトホールC2の形成の際、オ
ーバーエッチングが行われると、例えば図43に示すよ
うに、コンタクトホールC2の底部が、第1層配線M1
の表面より深い位置に位置する。
【0107】次いで、図44に示すように、このコンタ
クトホールC2および配線溝HM2内を含む層間絶縁膜
TH2上に、例えばTi(チタン)膜等の高融点金属を
堆積することによりバリア膜PM2aを形成する。
【0108】この際、バリア膜PM2aを以下に示す構
造となるよう形成する。
【0109】図45および図47は、図44のコンタク
トホールC2近傍の拡大図である。また、図46は、図
45および図47に示す基板の要部平面図であり、図4
5は、図46のA−A断面に、図47は、図46のB−
B断面に対応する。図45および図47等に示すよう
に、バリア膜PM2aは、配線溝HM2やコンタクトホ
ールC2の底部および側壁に沿って形成される。
【0110】この際、コンタクトホールC2内において
は、その底部のバリア膜PM2aを、コンタクトホール
C2の底部の全周に渡って、コンタクトホールC2の底
部の中央部から側壁に向かってその膜厚が増加するよう
形成する。ここで、図47のコンタクトホールC2の底
部の部分拡大図である図48に示すように、コンタクト
ホールC2底部の中央部上のバリア膜の膜厚をBとする
と、コンタクトホールC2の底部の膜厚であって、その
側壁方向の端部の膜厚Aを、膜厚Bより大きくする(A
≧B)。また、その側壁のバリア膜は、第1層配線M1
の表面Fと接する位置より上部からコンタクトホールC
2の底部に向かってその膜厚が増加している。ここで、
その側壁の膜厚であって、第1層配線M1の表面Fと接
するバリア膜PM2aの膜厚Eは、膜厚Bより大きい
(E≧B)。
【0111】実施の形態1で説明したように、膜厚Bや
コンタクトホールC2の側壁上のバリア膜の膜厚Dは、
バリア性を確保できる最小限の膜厚以上とする。
【0112】次いで、図49に示すように、バリア膜P
M2a上に電界メッキ用のシード膜として例えば銅膜P
M2bを、スパッタ法もしくはCVD法により形成した
後、この銅膜PM2b上に導電性膜として例えば銅膜P
M2cを電界メッキ法により形成する。
【0113】次いで、銅膜PM2b、PM2cに熱処理
を施した後、配線溝HM2およびコンタクトホールC2
外部の銅膜PM2b、PM2cおよびバリア膜PM2a
をCMP法により除去することにより第2層配線M2お
よび第1層配線と第2層配線との接続部(プラグ)P2
を形成する。図50および図51に、図49のコンタク
トホールC2近傍の拡大図を示す。この図50は、前述
の図46のA−A断面部に対応し、図51は、B−B断
面部に対応する。
【0114】ここで、第2層配線M2、接続部(プラ
グ)P2および第1層配線M1の構造についてまとめて
おく。
【0115】まず、第2層配線M2および接続部(プラ
グ)P2は、銅膜PM2b、PM2cおよびバリア膜P
M2aから成る。第2層配線M2は、図50に示すよう
に、接続部(プラグ)P2を起点として左側に延在して
おり、第1層配線M1は、接続部(プラグ)P2を起点
として右側に延在している。
【0116】また、前述したように、コンタクトホール
C2底部のバリア膜PM2aは、底部の中央部から側壁
に向かってその膜厚が増加している。言い換えれば、バ
リア膜PM2aは、コンタクトホールC2の側壁から底
部の中央部に向かって下降する傾斜部を有している。ま
た、コンタクトホールC2の底部の中央部上のバリア膜
の膜厚をBは、コンタクトホールC2の底部の膜厚であ
って、その側壁方向の端部の膜厚Aより大きい(A≧
B)。この膜厚Aは、例えば、コンタクトホールC2の
底部の角部からバリア膜の表面までの最短距離Lの端部
からコンタクトホールC2の底部に向かって垂線を降ろ
すことにより得られる(図48参照)。
【0117】なお、実際のバリア膜表面は、前述の図1
5に示したように、コンタクトホールの底部の角部にお
いて、曲面となっている。また、図16に示したよう
に、コンタクトホールの角部が曲面となっている場合に
は、コンタクトホールの側部の延長線と底部の延長線と
の交点を起点とすることにより、前述の最短距離Lを求
めることができる。
【0118】また、接続部(プラグ)P2の底部は、第
1層配線M1の表面Fよりオーバーエッチング量OEだ
け深い位置にあり、この第1層配線M1の表面Fと接す
る部分のバリア膜PM2aの膜厚Eは、膜厚Bより大き
い(図48参照)。
【0119】従って、本実施の形態によれば、膜厚E
が、膜厚Bより大きいため、第2層配線M2から第1層
配線M1へ電流が流れる場合の幾何学的な最短ルートR
u1(図52参照)が、電気的に抵抗が最小となるバリ
ア膜の薄い部分を通過しない。
【0120】このように、本実施の形態によれば、第2
層配線M2から第1層配線M1への幾何学的な電流の最
短経路と、電気的に抵抗が最小となるバリア膜PM2a
の薄い部分が一致しないので、電流経路を分散すること
ができる。従って、コンタクトホールC2形成時にオー
バーエッチングが生じたとしても、電子(e)の集中が
起こりにくくなり、エレクトロマイグレーション特性を
向上させることができる。
【0121】即ち、実施の形態1で説明したように、コ
ンタクトホールの内部においてバリア膜の膜厚にばらつ
きが有り(図19参照)、さらに、コンタクトホールC
2形成時に、オーバーエッチングが生じた場合は、図5
2に示すように、幾何学的な電流の最短経路(ルートR
u1)がバリア膜PM2a’の側壁を横断する。
【0122】従って、第1層配線M1の表面と接するバ
リア膜の膜厚がコンタクトホール底部の膜厚より小さい
場合には、幾何学的な電流の最短経路と、電気的に抵抗
が最小となるバリア膜PM2aの薄い部分が一致し、電
子(e)の集中が起こり、エレクトロマイグレーション
特性を劣化させる。
【0123】これに対し、本実施の形態においては、第
1層配線M1の表面Fと接する部分のバリア膜の膜厚E
を膜厚Bより大きくしたので、前述の効果を得ることが
できる。
【0124】この後、第2層配線M2および接続部(プ
ラグ)P2と同様に、第3〜第5層配線M3〜M5およ
び接続部(プラグ)P1〜P5を形成するが、その図示
および詳細な説明は省略する。
【0125】なお、本実施の形態においては、デュアル
ダマシン法を用いて、第2層配線M2および接続部(プ
ラグ)P2を形成したが、実施の形態2で説明したシン
グルダマシン法を用いて第2層配線M2と接続部(プラ
グ)P2とを別工程で形成してもよい。この場合も、接
続部(プラグ)内のバリア膜PM2aの膜厚Eを膜厚B
より大きくすることにより前述の効果を得ることができ
る。
【0126】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
【0127】例えば、半導体素子の例としてMISFE
TQnおよびQpを挙げたが、これらMISFETに限
られず、バイポーラトランジスタ等他の素子を形成する
こともできる。
【0128】
【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。
【0129】(1)半導体基板上に形成された絶縁膜中
に形成された孔の底部および側壁上に形成された導電性
膜を孔の底部の中央部から側壁に向かってその膜厚が増
加するよう形成したので、孔内の幾何学的な電流の最短
経路と、電気的に抵抗が最小となる導電性膜の薄い部分
が一致せず、電流経路を分散することができる。
【0130】従って、電子の集中が起こりにくくなり、
エレクトロマイグレーション特性を向上させることがで
きる。また、このような導電性膜を有する半導体集積回
路装置の特性を向上させることができる。
【0131】その結果、製品歩留まりを向上させること
ができる。また、製品寿命(エレクトロマイグレーショ
ン寿命)を長くすることができる。
【0132】(2)また、孔の底部がその下に延在する
配線の表面より深い位置にある場合には、孔の底部およ
び側壁上に形成された導電性膜を、配線の表面と接する
導線成膜の膜厚Eが、孔の底部の中央部の膜厚Bより大
きくなるよう形成したので、孔内の幾何学的な電流の最
短経路と、電気的に抵抗が最小となる導電性膜の薄い部
分が一致せず、電流経路を分散することができる。
【0133】従って、電子の集中が起こりにくくなり、
エレクトロマイグレーション特性を向上させることがで
きる。また、このような導電性膜を有する半導体集積回
路装置の特性を向上させることができる。
【0134】その結果、製品歩留まりを向上させること
ができる。また、製品寿命(エレクトロマイグレーショ
ン寿命)を長くすることができる。
【図面の簡単な説明】
【図1】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図2】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図3】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図4】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図5】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図6】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部平面図である。
【図7】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図8】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図9】本発明の実施の形態1の効果を示すための半導
体集積回路装置の基板の要部断面図である。
【図10】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図11】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図12】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図13】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図14】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図15】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図16】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図17】本発明の実施の形態1の効果を示すための半
導体集積回路装置の基板の要部断面図である。
【図18】本発明の実施の形態1の効果を示すための半
導体集積回路装置の基板の要部断面図である。
【図19】本発明の実施の形態1の効果を示すための半
導体集積回路装置の基板の要部断面図である。
【図20】(a)は、本発明の実施の形態1である半導
体集積回路装置の製造方法を示した基板の要部平面図で
あり、(b)は要部断面図である。
【図21】(a)は、本発明の実施の形態1である半導
体集積回路装置の製造方法を示した基板の要部平面図で
あり、(b)は、要部断面図である。
【図22】(a)は、本発明の実施の形態1である半導
体集積回路装置の製造方法を示した基板の要部平面図で
あり、(b)は、要部断面図である。
【図23】(a)は、本発明の実施の形態1である半導
体集積回路装置の製造方法を示した基板の要部平面図で
あり、(b)は、要部断面図である。
【図24】(a)は、本発明の実施の形態1の効果を説
明するための半導体集積回路装置の基板の要部平面図で
あり、(b)は、要部断面図である。
【図25】(a)は、本発明の実施の形態1の半導体集
積回路装置を示すの基板の要部平面図であり、(b)
は、要部断面図である。
【図26】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部平面図である。
【図27】本発明の実施の形態1である半導体集積回路
装置の製造装置の概略を示した図である。
【図28】本発明の実施の形態1の効果を説明するため
の図である。
【図29】本発明の実施の形態1の効果を説明するため
の図である。
【図30】本発明の実施の形態1の効果を説明するため
の図である。
【図31】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図32】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図33】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図34】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図35】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図36】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図37】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図38】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図39】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部平面図である。
【図40】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図41】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図42】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図43】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図44】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図45】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図46】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部平面図である。
【図47】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図48】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図49】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図50】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図51】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図52】本発明の実施の形態3の効果を説明するため
の半導体集積回路装置の基板の要部断面図である。
【符号の説明】
1 半導体基板 2 素子分離 3 p型ウエル 4 n型ウエル 7 酸化シリコン膜 8 ゲート絶縁膜 9 ゲート電極 9a 多結晶シリコン膜 9c W膜 10 窒化シリコン膜 11 n-型半導体領域 12 p-型半導体領域 13 サイドウォールスペーサ 14 n+型半導体領域 15 p+型半導体領域 C1 コンタクトホール C2 コンタクトホール C3〜C4 コンタクトホール HM1 配線溝 HM2 配線溝 HM3〜HM5 配線溝 H1 配線溝用絶縁膜 H1a 窒化シリコン膜 H1b 酸化シリコン膜 TH1〜TH5 層間絶縁膜 TH22〜TH25 層間絶縁膜 H22〜H25 配線溝用絶縁膜 TH2a 窒化シリコン膜 TH2b 酸化シリコン膜 TH2c 窒化シリコン膜 TH2d 酸化シリコン膜 TH3a 窒化シリコン膜 TH3b 酸化シリコン膜 TH3c 窒化シリコン膜 TH3d 酸化シリコン膜 TH4a 窒化シリコン膜 TH4b 酸化シリコン膜 TH4c 窒化シリコン膜 TH4d 酸化シリコン膜 TH5a 窒化シリコン膜 TH5b 酸化シリコン膜 TH5c 窒化シリコン膜 TH5d 酸化シリコン膜5 M1 第1層配線 M1a バリア膜 M1b 銅膜 M2 第2層配線 M2a バリア膜 M2b 銅膜 M2c 銅膜 M3〜M5 第3〜第5層配線 P1 プラグ P2 プラグ P2a バリア膜 P2b 銅膜 P2c 銅膜 P3〜P5 プラグ PM2a バリア膜 PM2b 銅膜 PM2c 銅膜 PM3a バリア膜 PM3b 銅膜 PM3c 銅膜 PM2a’ バリア膜 OE オーバーエッチング量 PV 積層膜 Qn nチャネル型MISFET Qp pチャネル型MISFET Ru1 ルート Ru2 ルート 101 イオンバイアススパッタ装置 Ev 交流電圧 Ta ターゲット St 支持部 A〜E 膜厚 L 距離 F 第1層配線の表面
───────────────────────────────────────────────────── フロントページの続き (72)発明者 宮内 正敬 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 斎藤 敏男 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 芦原 洋司 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 5F033 HH12 HH18 HH19 HH21 HH27 HH28 HH32 HH33 HH34 JJ12 JJ18 JJ19 JJ21 JJ27 JJ28 JJ32 JJ33 JJ34 KK01 KK08 KK09 KK10 KK11 KK12 KK13 KK14 KK19 KK21 KK27 KK28 KK32 KK33 KK34 MM12 MM13 NN06 NN07 PP06 PP15 PP17 PP23 PP27 PP28 QQ25 QQ48 RR04 RR06 RR11 TT02 XX05

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板上に形成された絶縁膜
    中に形成された孔と、 (b)前記孔の底部および側壁上に形成された第1導電
    性膜であって、前記孔の底部上に形成された第1導電性
    膜は、前記孔の底部の中央部から側壁に向かってその膜
    厚が増加している第1導電性膜と、 (c)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  2. 【請求項2】 請求項1記載の半導体集積回路装置であ
    って、 前記孔の底部上に形成された第1導電性膜は、前記孔の
    底部を規定する領域の全周に渡って、底部の中央部から
    側壁に向かってその膜厚が増加していることを特徴とす
    る半導体集積回路装置。
  3. 【請求項3】 請求項1記載の半導体集積回路装置であ
    って、 前記半導体集積回路装置は、前記孔の底部から露出した
    配線を有し、 前記第1導電性膜は、少なくとも前記配線の延在方向に
    おいて、前記孔の底部の中央部から側壁に向かってその
    膜厚が増加していることを特徴とする半導体集積回路装
    置。
  4. 【請求項4】 (a)半導体基板上に形成された絶縁膜
    中に形成された孔と、 (b)前記孔の底部および側壁上に形成された第1導電
    性膜であって、前記孔の側壁から底部の中央部に向かっ
    て下降する傾斜部を有する第1導電性膜と、 (c)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  5. 【請求項5】 請求項4記載の半導体集積回路装置であ
    って、 前記孔の底部上に形成された第1導電性膜は、前記孔の
    底部を規定する領域の全周に渡って、前記孔の側壁から
    底部の中央部に向かって下降する傾斜部を有することを
    特徴とする半導体集積回路装置。
  6. 【請求項6】 請求項4記載の半導体集積回路装置であ
    って、 前記半導体集積回路装置は、前記孔の底部から露出した
    配線を有し、 前記第1導電性膜は、少なくとも前記配線の延在方向に
    おいて、前記孔の側壁から底部の中央部に向かって下降
    する傾斜部を有することを特徴とする半導体集積回路装
    置。
  7. 【請求項7】 (a)半導体基板上に形成された絶縁膜
    中に形成された孔と、 (b)前記孔の底部および側壁上に形成された第1導電
    性膜であって、前記孔の底部の角部から前記第1導電性
    膜の表面までの最短地点から前記孔の底部に向かって降
    ろした垂線に対応する膜厚Aよりも、前記孔の底部の中
    央部の膜厚Bが、小さい第1導電性膜と、 (c)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  8. 【請求項8】 請求項7記載の半導体集積回路装置であ
    って、 前記孔の底部上に形成された第1導電性膜は、前記孔の
    底部を規定する領域の全周に渡って、前記膜厚Aよりも
    前記膜厚Bの方が小さいことを特徴とする半導体集積回
    路装置。
  9. 【請求項9】 請求項7記載の半導体集積回路装置であ
    って、 前記半導体集積回路装置は、前記孔の底部から露出した
    配線を有し、 前記第1導電性膜は、少なくとも前記配線の延在方向に
    おいて、前記膜厚Aよりも前記膜厚Bの方が小さいこと
    を特徴とする半導体集積回路装置。
  10. 【請求項10】 (a)半導体基板上に形成された絶縁
    膜中に形成された孔と、 (b)前記孔の底部および側壁上に形成された第1導電
    性膜であって、前記孔の底部の角部から前記第1導電性
    膜の表面までの最短地点から前記孔の底部に向かって降
    ろした垂線に対応する部位よりも、前記孔の底部の中央
    部の抵抗が低い第1導電性膜と、 (c)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  11. 【請求項11】 請求項10記載の半導体集積回路装置
    であって、 前記孔の底部上に形成された第1導電性膜は、前記孔の
    底部を規定する領域の全周に渡って、前記部位よりも前
    記中央部の抵抗が低いことを特徴とする半導体集積回路
    装置。
  12. 【請求項12】 請求項10記載の半導体集積回路装置
    であって、 前記半導体集積回路装置は、前記孔の底部から露出した
    配線を有し、 前記第1導電性膜は、少なくとも前記配線の延在方向に
    おいて、前記部位よりも前記中央部の抵抗が低いことを
    特徴とする半導体集積回路装置。
  13. 【請求項13】 (a)半導体基板上に形成された第1
    配線と、 (b)前記第1配線上に形成された絶縁膜中に形成され
    た孔であって、その底部に、前記第1配線の表面が露出
    した孔と、 (c)前記孔の底部および側壁上に形成された第1導電
    性膜と、 (d)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 (e)前記第2導電性膜上に形成された第2配線と、を
    有し、 前記第1配線から第1導電性膜および第2導電性膜を介
    して前記第2配線へ到達する最短経路であって、前記最
    短経路が前記第1導電性膜を横切る部位と、 前記第1導電性膜の最小抵抗部位と、が異なることを特
    徴とする半導体集積回路装置。
  14. 【請求項14】 (a)半導体基板上に形成された第1
    配線と、 (b)前記第1配線上に形成された絶縁膜中の孔であっ
    て、その底部に、前記第1配線が露出している孔と、 (c)前記孔の底部および側壁上に形成された第1導電
    性膜と、 (d)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 (e)前記第2導電性膜上に形成された第2配線と、を
    有し、 前記第1配線から第1導電性膜および第2導電性膜を介
    して前記第2配線へ到達する最短経路であって、前記最
    短経路が前記第1導電性膜を横切る部位が、前記第1導
    電性膜の最小抵抗部位でないことを特徴とする半導体集
    積回路装置。
  15. 【請求項15】 (a)半導体基板上に形成された絶縁
    膜中に形成された孔と、 (b)前記孔の底部および側壁上に形成された第1導電
    性膜であって、 (b1)前記孔の底部の角部から前記第1導電性膜の表
    面までの最短地点から前記孔の底部に向かって降ろした
    垂線に対応する膜厚Aよりも、前記孔の底部の中央部の
    膜厚Bが、小さく、 (b2)前記孔の底部の角部から前記第1導電性膜の表
    面までの最短地点から前記孔の側壁に向かって降ろした
    垂線に対応する膜厚Cよりも、前記孔の底部の中央部の
    膜厚Bが、小さい、第1導電性膜と、 (c)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  16. 【請求項16】 請求項15記載の半導体集積回路装置
    であって、 前記孔の底部上に形成された第1導電性膜は、前記孔の
    底部を規定する領域の全周に渡って、前記膜厚Aよりも
    前記膜厚Bが小さく、また、前記膜厚Cよりも前記膜厚
    Bが小さいことを特徴とする半導体集積回路装置。
  17. 【請求項17】 請求項15記載の半導体集積回路装置
    であって、 前記半導体集積回路装置は、前記孔の底部から露出した
    配線を有し、 前記第1導電性膜は、少なくとも前記配線の延在方向に
    おいて、前記膜厚Aよりも前記膜厚Bが小さく、また、
    前記膜厚Cよりも前記膜厚Bが小さいことを特徴とする
    半導体集積回路装置。
  18. 【請求項18】 (a)半導体基板上に形成された第1
    配線と、 (b)前記第1配線上に形成された絶縁膜と、 (c)前記第1配線および前記絶縁膜中に形成された孔
    であって、その底部が前記第1配線の表面より深い位置
    に位置する孔と、 (d)前記孔の底部および側壁上に形成された第1導電
    性膜であって、前記孔の側壁部において、前記第1配線
    の表面と接する前記第1導電性膜の側壁部の膜厚Eが、
    前記孔の底部の中央部の膜厚Bより大きい第1導電性膜
    と、 (e)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  19. 【請求項19】 (a)半導体基板上に形成された第1
    配線と、 (b)前記第1配線上に形成された絶縁膜と、 (c)前記第1配線および前記絶縁膜中に形成された孔
    であって、その底部が前記第1配線の表面より深い位置
    に位置する孔と、 (d)前記孔の底部および側壁上に形成された第1導電
    性膜であって、前記第1配線の表面と接する側壁上の第
    1導電性膜は、孔の底部に向かってその膜厚が増加して
    いる第1導電性膜と、 (e)前記第1導電性膜上であって、前記孔の内部に埋
    め込まれた第2導電性膜と、 を有することを特徴とする半導体集積回路装置。
  20. 【請求項20】 請求項19記載の半導体集積回路装置
    であって、 前記孔の側壁上に形成された第1導電性膜は、前記第1
    配線の表面と接する部分より上部から孔の底部に向かっ
    てその膜厚が増加していることを特徴とする半導体集積
    回路装置。
  21. 【請求項21】 請求項1〜20のいずれか一項に記載
    の半導体集積回路装置であって、 前記第1導電性膜は、前記第2導電性膜より抵抗値が大
    きいことを特徴とする半導体集積回路装置。
  22. 【請求項22】 請求項1〜20のいずれか一項に記載
    の半導体集積回路装置であって、 前記第1導電性膜は、高融点金属膜もしくは高融点金属
    膜の窒化物であることを特徴とする半導体集積回路装
    置。
  23. 【請求項23】 請求項1〜20のいずれか一項に記載
    の半導体集積回路装置であって、 前記第1導電性膜は、タンタル(Ta)、窒化タンタル
    (TaN)、チタン(Ti)、窒化チタン(TiN)、
    タングステン(W)、窒化タングステン(WN)、窒化
    チタンシリサイド(TiSiN)、窒化タングステンシ
    リサイド(WSiN)、もしくはこれらの合金、または
    これらの積層膜であることを特徴とする半導体集積回路
    装置。
  24. 【請求項24】 請求項1〜20のいずれか一項に記載
    の半導体集積回路装置であって、 前記第2導電性膜は、銅(Cu)膜もしくはこれらの合
    金であることを特徴とする半導体集積回路装置。
  25. 【請求項25】 請求項1〜20のいずれか一項に記載
    の半導体集積回路装置であって、 前記第1導電性膜は、前記第2導電性膜と絶縁膜との間
    のバリア性を確保するための最小膜厚以上であることを
    特徴とする半導体集積回路装置。
JP2001309007A 2001-10-04 2001-10-04 半導体集積回路装置 Pending JP2003115535A (ja)

Priority Applications (10)

Application Number Priority Date Filing Date Title
JP2001309007A JP2003115535A (ja) 2001-10-04 2001-10-04 半導体集積回路装置
TW091121384A TW569307B (en) 2001-10-04 2002-09-18 Semiconductor integrated circuit device and manufacturing method thereof
CNB021442185A CN1333464C (zh) 2001-10-04 2002-09-29 半导体集成电路器件及其制作方法
CNA2007101368970A CN101097888A (zh) 2001-10-04 2002-09-29 半导体集成电路器件及其制作方法
KR1020020060071A KR20030029029A (ko) 2001-10-04 2002-10-02 반도체 집적 회로 장치 및 그 제조 방법
US10/263,829 US7095120B2 (en) 2001-10-04 2002-10-04 Semiconductor integrated circuit device with a connective portion for multilevel interconnection
US10/327,024 US7018919B2 (en) 2001-10-04 2002-12-24 Method of manufacturing a semiconductor integrated circuit device including a hole formed in an insulating film and a first conductive film formed over a bottom region and sidewalls of the hole
US11/444,316 US7569476B2 (en) 2001-10-04 2006-06-01 Semiconductor integrated circuit device and a method of manufacturing the same
KR1020070099531A KR20070103346A (ko) 2001-10-04 2007-10-02 반도체 집적 회로 장치 및 그 제조 방법
US12/345,917 US7777346B2 (en) 2001-10-04 2008-12-30 Semiconductor integrated circuit device and a method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001309007A JP2003115535A (ja) 2001-10-04 2001-10-04 半導体集積回路装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006280955A Division JP4648284B2 (ja) 2006-10-16 2006-10-16 半導体集積回路装置の製造方法

Publications (1)

Publication Number Publication Date
JP2003115535A true JP2003115535A (ja) 2003-04-18

Family

ID=19128224

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001309007A Pending JP2003115535A (ja) 2001-10-04 2001-10-04 半導体集積回路装置

Country Status (5)

Country Link
US (4) US7095120B2 (ja)
JP (1) JP2003115535A (ja)
KR (2) KR20030029029A (ja)
CN (2) CN1333464C (ja)
TW (1) TW569307B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203975A (ja) * 2001-12-22 2003-07-18 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
KR100806038B1 (ko) 2006-11-29 2008-02-26 동부일렉트로닉스 주식회사 반도체 소자의 콘택홀 형성 방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4250006B2 (ja) * 2002-06-06 2009-04-08 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
KR100668833B1 (ko) * 2004-12-17 2007-01-16 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조방법
CN102437100A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种使用双大马士革工艺同时形成铜接触孔和第一层金属的方法
KR102096614B1 (ko) * 2013-10-11 2020-04-03 삼성전자주식회사 반도체 장치의 이-퓨즈 구조체
EP3007224A1 (en) * 2014-10-08 2016-04-13 Nxp B.V. Metallisation for semiconductor device
US9418934B1 (en) * 2015-06-30 2016-08-16 International Business Machines Corporation Structure and fabrication method for electromigration immortal nanoscale interconnects
KR102432280B1 (ko) 2015-07-31 2022-08-12 삼성전자주식회사 반도체 소자
KR20230012361A (ko) * 2021-07-15 2023-01-26 삼성전자주식회사 집적회로 소자

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5635437A (en) * 1979-08-29 1981-04-08 Nec Corp Manufacturing of semiconductor device
US4720908A (en) * 1984-07-11 1988-01-26 Texas Instruments Incorporated Process for making contacts and interconnects for holes having vertical sidewalls
US4666737A (en) * 1986-02-11 1987-05-19 Harris Corporation Via metallization using metal fillets
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
JP2585140B2 (ja) * 1989-11-14 1997-02-26 三菱電機株式会社 半導体装置の配線接触構造
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP2817752B2 (ja) * 1992-07-01 1998-10-30 日本電気株式会社 半導体装置の製造方法
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5730835A (en) * 1996-01-31 1998-03-24 Micron Technology, Inc. Facet etch for improved step coverage of integrated circuit contacts
US6420786B1 (en) * 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US5998295A (en) * 1996-04-10 1999-12-07 Altera Corporation Method of forming a rough region on a substrate
JP3551722B2 (ja) 1997-09-04 2004-08-11 トヨタ自動車株式会社 シリンダヘッドの製造方法
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100267106B1 (ko) * 1998-09-03 2000-10-02 윤종용 반도체 소자의 다층 배선 형성방법
US6461955B1 (en) * 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
KR20010011230A (ko) * 1999-07-27 2001-02-15 윤종용 반도체 장치의 메탈 콘택 필링방법
JP2001060590A (ja) * 1999-08-20 2001-03-06 Denso Corp 半導体装置の電気配線及びその製造方法
US6157078A (en) * 1999-09-23 2000-12-05 Advanced Micro Devices, Inc. Reduced variation in interconnect resistance using run-to-run control of chemical-mechanical polishing during semiconductor fabrication
US6534866B1 (en) * 2000-04-13 2003-03-18 Micron Technology, Inc. Dual damascene interconnect
KR20020001108A (ko) * 2000-06-26 2002-01-09 이형도 휴대폰용 진동 모터의 회전자
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
US6586842B1 (en) * 2001-02-28 2003-07-01 Advanced Micro Devices, Inc. Dual damascene integration scheme for preventing copper contamination of dielectric layer
US6590288B1 (en) * 2001-06-04 2003-07-08 Advanced Micro Devices, Inc. Selective deposition in integrated circuit interconnects
US6576543B2 (en) * 2001-08-20 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively depositing diffusion barriers
US6667231B1 (en) * 2002-07-12 2003-12-23 Texas Instruments Incorporated Method of forming barrier films for copper metallization over low dielectric constant insulators in an integrated circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203975A (ja) * 2001-12-22 2003-07-18 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
JP4657571B2 (ja) * 2001-12-22 2011-03-23 株式会社ハイニックスセミコンダクター 半導体素子の金属配線形成方法
KR100806038B1 (ko) 2006-11-29 2008-02-26 동부일렉트로닉스 주식회사 반도체 소자의 콘택홀 형성 방법

Also Published As

Publication number Publication date
US7777346B2 (en) 2010-08-17
CN1412844A (zh) 2003-04-23
US7569476B2 (en) 2009-08-04
US20030067079A1 (en) 2003-04-10
US7095120B2 (en) 2006-08-22
TW569307B (en) 2004-01-01
US7018919B2 (en) 2006-03-28
US20030102565A1 (en) 2003-06-05
US20090115063A1 (en) 2009-05-07
CN101097888A (zh) 2008-01-02
KR20070103346A (ko) 2007-10-23
CN1333464C (zh) 2007-08-22
KR20030029029A (ko) 2003-04-11
US20060216925A1 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US7892976B2 (en) Semiconductor device and method for manufacturing the same
JP4118029B2 (ja) 半導体集積回路装置とその製造方法
KR20070103346A (ko) 반도체 집적 회로 장치 및 그 제조 방법
US7381637B2 (en) Metal spacer in single and dual damascence processing
KR100276055B1 (ko) 고전도성 상호접속 형성 프로세스
US9704740B2 (en) Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese
US20030160331A1 (en) Interconnection structure between wires
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US6362526B1 (en) Alloy barrier layers for semiconductors
JP2002217292A (ja) 半導体集積回路装置および半導体集積回路装置の製造方法
JP4886165B2 (ja) デポジション処理によって、相互接続領域を選択的に合金にする方法
US6200890B1 (en) Method of fabricating copper damascene
JP3677755B2 (ja) 半導体装置及びその製造方法
CN116130411A (zh) 一种具备防止铜扩散结构的半导体制造方法
JP4648284B2 (ja) 半導体集積回路装置の製造方法
US6724087B1 (en) Laminated conductive lines and methods of forming the same
JPH11307474A (ja) 半導体装置およびその製造方法
JP2004289008A (ja) 半導体集積回路装置およびその製造方法
JP3533022B2 (ja) 半導体集積回路装置およびその製造方法
JPH10214836A (ja) 半導体集積回路装置の製造方法および製造装置ならびに半導体集積回路装置
KR20020068132A (ko) 구리 배선용 장벽층 형성 방법
JP2001156169A (ja) 半導体集積回路装置の製造方法
JP2000164717A (ja) 半導体装置及び半導体装置の製造方法
JP2001176968A (ja) 半導体集積回路装置およびその製造方法
JP2001102380A (ja) 半導体集積回路装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040929

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060815

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070605