JPH0358530B2 - - Google Patents

Info

Publication number
JPH0358530B2
JPH0358530B2 JP58182009A JP18200983A JPH0358530B2 JP H0358530 B2 JPH0358530 B2 JP H0358530B2 JP 58182009 A JP58182009 A JP 58182009A JP 18200983 A JP18200983 A JP 18200983A JP H0358530 B2 JPH0358530 B2 JP H0358530B2
Authority
JP
Japan
Prior art keywords
wafer
gas
processing
processed
gas outlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP58182009A
Other languages
English (en)
Other versions
JPS6074626A (ja
Inventor
Toshimasa Kisa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP58182009A priority Critical patent/JPS6074626A/ja
Priority to KR1019840005891A priority patent/KR890004571B1/ko
Priority to DE8484401911T priority patent/DE3485109D1/de
Priority to EP84401911A priority patent/EP0140755B1/en
Priority to US06/654,939 priority patent/US4738748A/en
Publication of JPS6074626A publication Critical patent/JPS6074626A/ja
Publication of JPH0358530B2 publication Critical patent/JPH0358530B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】 (1) 発明の技術分野 本発明はウエハー処理方法及び装置、詳しくは
プラズマにより活性化された反応ガスによりウエ
ハーを浮上させた状態でエツチング又はアツシン
グ処理を行うウエハー処理方法及び装置に関す
る。
(2) 技術の背景 プラズマ処理は活性化された処理用ガスを用い
て例えばパターン形成におけるエツチングなどを
行う方法で高い精度を要求する半導体装置製造に
とつて重要な技術である。
プラズマ処理は、また上記エツチング処理のほ
かにアツシング処理にも用いられる。アツシング
処理は第1図を参照して説明すると、ウエハー1
上に例えばレジストを塗布し、次いで露光処理に
よつてレジストパターン2を形成した後、これを
用いて、エツチングなどを行なつた後不要となつ
たレジスト2を除去する処理である。
プラズマ処理によるエツチングまたはアツシン
グは、第2図に示し如く多数のウエハー1を直立
してウエハキヤリア3に搭載し、これを第3図に
簡略化して示すように処理室(真空チヤンバ)4
内に配設し、次いで所望の温度、圧力における処
理用ガス(アツシングの場合は酸素(O2)、エツ
チングの場合は四フツ化炭素(CF4)または四塩
化炭素(CCl4)等)のプラズマ雰囲気を形成し
て処理を行う。
(3) 従来技術と問題点 従来、前記プラズマ雰囲気の形成は、例えばア
ツシング装置においては(1)活性化されたガスをウ
エハー上に導く方式と、(2)プラズマ中にウエハー
を直接配置する方式のいずれかによつていた。と
ころが、上記(1)の方式においては、一枚当りの処
理時間が長くアツシング速度が遅いこと、またア
ツシング結果がウエハー上で均一でないという欠
点がある。他方(2)の方式では(1)の場合と同じく処
理がウエハーで均一でないのに加えて、ウエハー
間にバラツキがある欠点、およびプラズマ中に混
入している不純物がウエハーを衝撃してウエハー
が汚染させる問題がある。なお前記従来技術の問
題点はエツチング装置についても同様である。
(4) 発明の目的 本発明は上記従来の問題点に鑑み、アツシング
またはエツチング速度が大きく、かつウエハー全
面にわたり処理が均一であり、また簡易にウエハ
ーのアツシングまたはエツチングを行なえるプラ
ズマ処理装置の提供を目的とする。
(5) 発明の構成 そしてこの目的は本発明によれば、被処理ウエ
ハーの被処理面にプラズマにより活性化された反
応ガスを噴射し、該被処理ウエハーを該反応ガス
により浮上させた状態で該被処理ウエハーの被処
理面に対して該反応ガスによるエツチング又はア
ツシング処理を行うことを特徴とするウエハー処
理方法およびプラズマにより活性化された反応ガ
スを用いてプラズマ処理を行うチヤンバと、前記
チヤンバの外部に設けられた反応ガスを活性化す
るプラズマ発生部と、前記チヤンバの内部に設け
られた被処理面が下側に向くように被処理ウエハ
ーを配置する処理基板と、処理基板に配設され前
記プラズマ発生部で活性化された前記反応ガスを
被処理ウエハーの被処理面に噴出させるガス吹出
し口とを有し、前記噴出された反応ガスにより該
被処理ウエハーを浮上させながら前記被処理面の
エツチング又はアツシング処理を行うことを特徴
とするウエハー処理装置を提供することによつて
達成される。
(6) 発明の実施例 以下本発明実施例をアツシングを例に図面によ
り詳説する。
本願の発明者は真空中に微小な口からガスを噴
出し、その上に試料例えばウエハーを置くと浮上
すること、および上記ガス吹出し口が形成されて
いる面とウエハーとの間に均一なガスの層流が形
成されることを利用して以下に示す半導体製造
(プラズマ処理)装置を提供する。
第4図は本発明の第1の実施例を説明するため
のプラズマ処理装置の構成図で、同図において1
1は真空チヤンバ、12はプラズマ発生部、13
はプラズマガス吹出し口、14は試料例えばウエ
ハー1を保持するガイド、15は処理基板、16
は排気口、17は排気装置を示す。
かかる構成のプラズマ処理装置であるから、プ
ラズマ発生部12より供給される酸素プラズマガ
スは図中矢印で示す如く処理基板15の内部に設
けられた空洞15aに導かれた後、当該空洞15
aの上面15bと処理基板15のガス吹出し面1
5cとを貫通して結ぶ細いガス吹出し口13を通
つて噴出する。そしてウエハー1を集積回路など
の微細パターンが形成される面を上記ガス吹出し
面15cに対向させて(反転して)配置すると、
ウエハー1は噴出するガスの圧力によつてわずか
に0.1〜1.00mm程度浮上し、処理基板15の縁に
設けられたガイド14によつてガス吹出し面15
c上に保持される。なおウエハーの配置は通常の
技術で容易に自動化できる。
他方、噴出したガスは図に矢印で示す如く、ウ
エハー1の表面をなでるように均一な層流となつ
てウエハー周辺部方向に流れ、ウエハー1とガイ
ド14との間からチヤンバ11へ拡散する。その
後は排気装置17によつて排気口16から排気さ
れる。なおチヤンバ11内の酸素プラズマガスの
圧力は約1Torrとし、又、プラズマ発生部12よ
り供給されるプラズマにより活性化された反応ガ
スの処理基板15の内部に設けられた空洞15a
内のガス圧は、真空チヤンバ11の反応領域26
と空洞15aとの間に圧力差が生じ、ガス吹出し
口13から反応ガスが噴出されるように、約2〜
3Torrとすれば良い。
本願の発明者は上述した装置によれば、従来ウ
エハー1枚当たり1分ほど要したアツシング時間
が半分の30秒に短縮されることを確認した。かく
して高速で均一なアツシングが実現される。また
本発明の装置によれば、ウエハーの裏面に付着し
たレジストなどの膜も同時にアツシングできる利
点があり、後の処理におけるゴミの発生などが防
止できる。またガスはガス吹出し口13からウエ
ハー表面へ直接噴出されて有効に使用されるた
め、従来に比べ使用するガスの量を少なくするこ
とができる。ところで以上のことはエツチングに
おいても同様であるが、その場合には処理用ガス
として四フツ化炭素ガスもしくは四塩化炭素ガス
等を用い、圧力は0.3Torrとする。
第5図は本発明の第2の実施例を説明するため
のプラズマ処理装置の構成図で、同図において第
4図と同じ部分は同じ符号を付して示す。
この装置は処理基板15に設けられたガス吹出
し口13の周囲にヒータ18を配設し、かかるヒ
ータ18を温度コントローラー19を設けて制御
する。
かかる構成によりガス吹出し口13を加熱し、
プラズマガスの熱伝導によつて試料例えばウエハ
ー1を所望の温度まで加熱することができ、また
加熱温度は温度コントローラー19によつて制御
できるため、反応速度の向上、処理時間の短縮、
ガスの効率的な使用が実現される。
なお上述した加熱しながら処理を行う本実施例
はアツシングにおいて効果があり、この場合ウエ
ハーの加熱温度は150℃程度とする。
第6図は本発明の第3の実施例を説明するため
のプラズマ処理装置要部の図で、同図を参照する
と、処理基板15に配設されているガス吹出し口
21を傾斜して形成し、図中に矢印で示す如くガ
スを前方斜め上方向に噴出するようにする。な
お、前方斜め上方向に噴出させるには、例えばガ
ス吹出し口の軸を処理基板15ガス吹出し面15
cに対し90°より小、30°〜60°傾斜した角度とすれ
ばよい。
かくして、試料すなわちウエハー1は上記斜め
上方向に噴出すガスにより浮上し、かつ前方に押
し出されることになり、ウエハーを搬送しながら
アツシングまたはエツチング処理ができる。従つ
てウエハーの搬送機構(例えばエアベアリング)
を併用することにより連続した処理が行なえる利
点がある。
第7図は本発明の第4の実施例を説明するため
の処理基板23の平面図で、同図を参照すると、
例えば処理基板23を円形に形成し、その周囲に
ガイド24を設ける。そしてガイド24の試料例
えばウエハーを配置する部分(破線25の内部)
には第6図に示す前方に傾斜したガス吹出し口を
処理基板23と中心を同じくする同心円の円周上
に配設する。この実施例では大小二つの同心円の
円周上に、それぞれガス吹出口22aおよび22
b等間隔でしかもガスが図中矢印で示す円の接線
方向かつ斜め上方に例えば45°斜め上方に噴出す
るように配設する。かくすることによりウエハー
は処理中噴出するガスにより浮上するとともに噴
出方向回りに回転するため、アツシングまたはエ
ツチング処理をより均一にすることができる。
第6図と第7図に示す実施例は、加熱装置を併
置した場合と併置しない場合の双方に適用可能で
ある。
(7) 発明の効果 以上詳細に説明した如く本発明の半導体製造装
置によれば、従来に比べて少ないガス量で高速か
つ均一なプラズマ処理すなわちアツシングまたは
エツチング処理ができるため、半導体装置生産に
おける処理効率の向上およびコスト低減に効果が
あるだけでなく半導体装置の信頼性向上に効果大
である。
【図面の簡単な説明】
第1図レジストパターンを形成したウエハーの
側面図、第2図はウエハーを搭載したキヤリアの
斜視図、第3図は上記キヤリアを配置した真空チ
ヤンバの概略図、第4図および第5図は本発明実
施例を説明するためのプラズマ処理装置の構成
図、第6図および第7図は本発明実施例を説明す
るためのガス吹出し口の配置を示す図である。 1……ウエハー、11……真空チヤンバ、12
……プラズマ発生部、13,21,22a,22
b……ガス吹出し口、14,24……ガイド、1
5,23……処理基板、16……排気口、17…
…排気装置、18……ヒータ、19……温度コン
トローラー、25……ウエハーを配置する部分、
26……反応領域。

Claims (1)

  1. 【特許請求の範囲】 1 被処理ウエハーの被処理面にプラズマにより
    活性化された反応ガスを噴射し、該被処理ウエハ
    ーを該反応ガスにより浮上させた状態で該被処理
    ウエハーの被処理面に対して該反応ガスによるエ
    ツチング又はアツシング処理を行うことを特徴と
    するウエハー処理方法。 2 プラズマにより活性化された反応ガスを用い
    てプラズマ処理を行うチヤンバと、 前記チヤンバの外部に設けられた反応ガスを活
    性化するプラズマ発生部と、 前記チヤンバの内部に設けられた被処理面が下
    側に向くように被処理ウエハーを配置する処理基
    板と、 処理基板に配設され前記プラズマ発生部で活性
    化された前記反応ガスを被処理ウエハーの被処理
    面に噴出させるガス吹出し口とを有し、 前記噴出された反応ガスにより該被処理ウエハ
    ーを浮上させながら前記被処理面のエツチング又
    はアツシング処理を行うことを特徴とするウエハ
    ー処理装置。 3 上記ガス吹出し口の軸を処理基板のガス吹出
    し面に対し傾斜して設け、当該噴出ガスにより被
    処理ウエハーを浮上させ、かつ搬送しながら処理
    を行うことを特徴とする特許請求の範囲第2項記
    載のウエハー処理装置。 4 上記ガス吹出し口を円の円周上に配設し、か
    つ、上記ガス吹出し口の軸を前記円周の接線方向
    に傾斜して設け、当該噴出ガスによつて被処理ウ
    エハーを浮上させ、かつ回転させながら処理を行
    うことを特徴とする特許請求の範囲第2項記載の
    ウエハー処理装置。 5 上記反応ガスはプラズマ発生部でプラズマに
    より活性化されて、上記ガス吹出し口が設けられ
    た処理基板の空洞に導入された後、該ガス吹出し
    口から噴出されることを特徴とする特許請求の範
    囲第2項記載のウエハー処理装置。 6 該ガス吹出し口を加熱する手段および当該加
    熱手段の制御装置を具備し、前記加熱手段により
    ガス吹出し口を加熱するとともに噴出ガスによつ
    て被処理ウエハーを浮上させながら処理を行うこ
    とを特徴とする特許請求の範囲第2項記載のウエ
    ハー処理装置。 7 該ガス吹出し口の軸を処理基板のガス吹出し
    面に対し傾斜して設け、当該噴出ガスにより被処
    理ウエハーをを浮上させ、かつ搬送しながら処理
    することを特徴とする特許請求の範囲第6項記載
    のウエハー処理装置。 8 上記ガス吹出し口を円の円周上に配設し、か
    つ、このガス吹出し口の軸を前記円周の接線方向
    に傾斜して設け、当該噴出ガスによつて被処理ウ
    エハーを浮上させ、かつ回転させながら処理を行
    うことを特徴とする特許請求の範囲第6項記載の
    ウエハー処理装置。
JP58182009A 1983-09-30 1983-09-30 ウエハー処理方法及び装置 Granted JPS6074626A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP58182009A JPS6074626A (ja) 1983-09-30 1983-09-30 ウエハー処理方法及び装置
KR1019840005891A KR890004571B1 (ko) 1983-09-30 1984-09-25 Ic 제조를 위한 플라스마 처리장치
DE8484401911T DE3485109D1 (de) 1983-09-30 1984-09-26 Plasmakontrollgeraet fuer die herstellung von ic.
EP84401911A EP0140755B1 (en) 1983-09-30 1984-09-26 A plasma processor for ic fabrication
US06/654,939 US4738748A (en) 1983-09-30 1984-09-27 Plasma processor and method for IC fabrication

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP58182009A JPS6074626A (ja) 1983-09-30 1983-09-30 ウエハー処理方法及び装置

Publications (2)

Publication Number Publication Date
JPS6074626A JPS6074626A (ja) 1985-04-26
JPH0358530B2 true JPH0358530B2 (ja) 1991-09-05

Family

ID=16110724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58182009A Granted JPS6074626A (ja) 1983-09-30 1983-09-30 ウエハー処理方法及び装置

Country Status (5)

Country Link
US (1) US4738748A (ja)
EP (1) EP0140755B1 (ja)
JP (1) JPS6074626A (ja)
KR (1) KR890004571B1 (ja)
DE (1) DE3485109D1 (ja)

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615755A (en) * 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
NL8601824A (nl) * 1986-07-11 1988-02-01 Hauzer Holding Werkwijze en inrichting voor het met een geleidend plasmakanaal ontsteken van een boog.
NL8703024A (nl) * 1986-12-18 1988-07-18 De Beers Ind Diamond Werkwijze voor het bepalen van een stralingsdosis alsmede inrichting voor het uitvoeren van de werkwijze.
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
DE3886113T3 (de) * 1987-06-26 1999-04-01 Yuzo Mori Präzises spannungsfreies Nachbehandlungsverfahren durch Radikalreaktionen.
AT389959B (de) * 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US4869777A (en) * 1988-12-16 1989-09-26 Ibm Corporation Method for selectively etching the materials of a composite of two materials
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5075256A (en) * 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
USH1145H (en) 1990-09-25 1993-03-02 Sematech, Inc. Rapid temperature response wafer chuck
JPH06251896A (ja) * 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5346601A (en) * 1993-05-11 1994-09-13 Andrew Barada Sputter coating collimator with integral reactive gas distribution
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
DE19505906A1 (de) * 1995-02-21 1996-08-22 Siemens Ag Verfahren zum Damage-Ätzen der Rückseite einer Halbleiterscheibe bei geschützter Scheibenvorderseite
WO1996032742A1 (fr) * 1995-04-11 1996-10-17 Zakrytoe Aktsionernoe Obschestvo Nauchno-Proizvodstvennaya Firma 'az' Installation destinee au traitement par flux plasmiques de plaques
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
WO1998058731A2 (en) * 1997-06-20 1998-12-30 Flowgenix Corporation Apparatus for exposing substrates to gas-phase radicals
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6105435A (en) * 1997-10-24 2000-08-22 Cypress Semiconductor Corp. Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6336775B1 (en) * 1998-08-20 2002-01-08 Matsushita Electric Industrial Co., Ltd. Gas floating apparatus, gas floating-transporting apparatus, and thermal treatment apparatus
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
US6569775B1 (en) 1999-03-30 2003-05-27 Applied Materials, Inc. Method for enhancing plasma processing performance
NL1012004C2 (nl) 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
US6322116B1 (en) 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US7030401B2 (en) * 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
US6644964B2 (en) * 2000-06-20 2003-11-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6399510B1 (en) * 2000-09-12 2002-06-04 Applied Materials, Inc. Bi-directional processing chamber and method for bi-directional processing of semiconductor substrates
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
FI111939B (fi) * 2000-12-05 2003-10-15 Liekki Oy Menetelmä ja laitteisto lasipinnoitteen valmistamiseksi
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
DE10157703B4 (de) * 2001-11-24 2004-05-06 Weidenmüller, Ralf Vorrichtung zum gleichzeitigen Fördern und Temperieren von Formteilen
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
US6843201B2 (en) * 2002-05-08 2005-01-18 Asm International Nv Temperature control for single substrate semiconductor processing reactor
US7427329B2 (en) * 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6788991B2 (en) 2002-10-09 2004-09-07 Asm International N.V. Devices and methods for detecting orientation and shape of an object
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
DE10319379A1 (de) 2003-04-30 2004-11-25 Applied Films Gmbh & Co. Kg Vorrichtung zum Transportieren eines flachen Substrats in einer Vakuumkammer
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
KR100653687B1 (ko) * 2003-11-04 2006-12-04 삼성전자주식회사 반도체기판들을 건조시키는 장비들 및 이를 사용하여반도체기판들을 건조시키는 방법들
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
JP4396847B2 (ja) * 2004-12-22 2010-01-13 Smc株式会社 除電装置付きエア浮上装置及び該浮上装置における除電方法
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US8551290B2 (en) * 2006-01-31 2013-10-08 Perfect Dynasty Taiwan Ltd. Apparatus for substrate processing with fluid
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7615061B2 (en) * 2006-02-28 2009-11-10 Arthrocare Corporation Bone anchor suture-loading system, method and apparatus
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080179288A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side scavenger plasma
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US8057601B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
KR20120007063A (ko) * 2009-04-24 2012-01-19 어플라이드 머티어리얼스, 인코포레이티드 측면 가스 출구를 가진 기판 지지대 및 방법
WO2012119034A2 (en) * 2011-03-02 2012-09-07 Game Changers, Llc Method and apparatus for a dynamic air cushion transport system
JP2011056335A (ja) * 2009-09-07 2011-03-24 Toray Eng Co Ltd 予備乾燥装置及び予備乾燥方法
US20120225206A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6076780B2 (ja) * 2012-03-12 2017-02-08 エア・ウォーター株式会社 粉体処理装置および粉体処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
IL234729B (en) 2013-09-20 2021-02-28 Asml Netherlands Bv A light source operated by a laser and a method using a mode mixer
IL234727B (en) 2013-09-20 2020-09-30 Asml Netherlands Bv A light source operated by a laser in an optical system corrected for deviations and the method of manufacturing the system as mentioned
JP6282080B2 (ja) * 2013-10-30 2018-02-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
GB201402126D0 (en) * 2014-02-07 2014-03-26 Spts Technologies Ltd Method of processing a substrate
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
EP3143638B1 (en) 2014-05-15 2018-11-14 Excelitas Technologies Corp. Laser driven sealed beam lamp
JP6356516B2 (ja) * 2014-07-22 2018-07-11 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10057973B2 (en) 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US9576785B2 (en) 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017154173A1 (ja) * 2016-03-10 2017-09-14 三菱電機株式会社 基板吸着ステージ、基板処理装置、基板処理方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106403605B (zh) * 2016-11-17 2018-09-14 绥阳县华夏陶瓷有限责任公司 瓷砖烧结装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111135730B (zh) * 2020-01-06 2022-04-12 常州费曼生物科技有限公司 一种药液过滤器滤膜的制备工艺及药液过滤器滤膜
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7437187B2 (ja) * 2020-02-26 2024-02-22 Jswアクティナシステム株式会社 浮上搬送装置、及びレーザ処理装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021262529A1 (en) * 2020-06-22 2021-12-30 Lam Research Corporation Dry backside and bevel edge clean of photoresist
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58153335A (ja) * 1982-02-16 1983-09-12 イ−トン・コ−ポレ−シヨン ウエ−ハ支持装置及びその操作方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3645581A (en) * 1968-11-26 1972-02-29 Ind Modular Systems Corp Apparatus and method for handling and treating articles
JPS53121469A (en) * 1977-03-31 1978-10-23 Toshiba Corp Gas etching unit
JPS5420586A (en) * 1977-07-15 1979-02-16 Hitachi Ltd Air bearing
JPS5420584A (en) * 1977-07-15 1979-02-16 Hitachi Ltd Air bearing type conveying apparatus
US4348139A (en) * 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
JPS5740931A (en) * 1980-08-25 1982-03-06 Fujitsu Ltd Plasma processing device
US4380488A (en) * 1980-10-14 1983-04-19 Branson International Plasma Corporation Process and gas mixture for etching aluminum
JPS57111031A (en) * 1980-12-27 1982-07-10 Clarion Co Ltd Sputtering device
JPS58204537A (ja) * 1982-05-24 1983-11-29 Hitachi Ltd プラズマエツチング方法
JPS58207217A (ja) * 1982-05-28 1983-12-02 Fujitsu Ltd 真空中に於ける物体の移送方法
US4411733A (en) * 1982-06-18 1983-10-25 Bell Telephone Laboratories, Incorporated SPER Device for material working
US4417947A (en) * 1982-07-16 1983-11-29 Signetics Corporation Edge profile control during patterning of silicon by dry etching with CCl4 -O2 mixtures
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
NL8302163A (nl) * 1983-06-16 1985-01-16 Bok Edward Verbeterde proces installatie met "floating" transport van substraten.

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58153335A (ja) * 1982-02-16 1983-09-12 イ−トン・コ−ポレ−シヨン ウエ−ハ支持装置及びその操作方法

Also Published As

Publication number Publication date
JPS6074626A (ja) 1985-04-26
EP0140755A2 (en) 1985-05-08
DE3485109D1 (de) 1991-10-31
KR890004571B1 (ko) 1989-11-15
US4738748A (en) 1988-04-19
EP0140755B1 (en) 1991-09-25
KR850002674A (ko) 1985-05-15
EP0140755A3 (en) 1988-01-13

Similar Documents

Publication Publication Date Title
JPH0358530B2 (ja)
US5071485A (en) Method for photoresist stripping using reverse flow
US4812201A (en) Method of ashing layers, and apparatus for ashing layers
JPH06163467A (ja) エッチング装置
JP3275043B2 (ja) エッチングの後処理方法
JP2004096086A (ja) 処理装置及び処理方法
WO2005059976A1 (ja) 基板処理方法、基板処理装置およびコンピュータ読み取り可能な記録媒体
KR102584068B1 (ko) 클리닝 방법 및 기판 처리 장치
US6955991B2 (en) Atmospheric process and system for controlled and rapid removal of polymers from high depth to width aspect ratio holes
JPS59215718A (ja) 半導体基板の赤外線熱処理装置
JPS59166675A (ja) エツチング装置
JPH0420253B2 (ja)
JPH0425122A (ja) 半導体処理装置
JPH0133936B2 (ja)
JPH0423416B2 (ja)
WO2020262039A1 (ja) 基板処理方法及び基板処理システム
JPS6074441A (ja) 半導体層の表面処理方法
JPS5511167A (en) Dry etching method
JP3067245B2 (ja) 基板処理装置
JPS6220347A (ja) 処理装置
JPS6231125A (ja) ドライエツチング装置
JPH01290290A (ja) 厚膜ペースト焼成方法及び厚膜ペースト焼成装置
JPH05234949A (ja) プラズマ処理装置
JPH02183530A (ja) 半導体素子の作製方法
JPS63271933A (ja) アツシング方法