JPH10150032A - 基板処理装置の真空管路のインシチュ・クリーニング用のマイクロ波装置 - Google Patents

基板処理装置の真空管路のインシチュ・クリーニング用のマイクロ波装置

Info

Publication number
JPH10150032A
JPH10150032A JP9299040A JP29904097A JPH10150032A JP H10150032 A JPH10150032 A JP H10150032A JP 9299040 A JP9299040 A JP 9299040A JP 29904097 A JP29904097 A JP 29904097A JP H10150032 A JPH10150032 A JP H10150032A
Authority
JP
Japan
Prior art keywords
dpa
gas
plasma
electrode
pfc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9299040A
Other languages
English (en)
Other versions
JP4245198B2 (ja
Inventor
Sebastian Raoux
ラウ セバスチャン
Mukul Kelker
ケルカー ムクル
Hari Ponnekanti
ポンネカンティ ハリ
Kevin Fairbairn
フェアベアン ケヴィン
David Cheung
チュン デイヴィッド
Tsutomu Tanaka
タナカ ツトム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10150032A publication Critical patent/JPH10150032A/ja
Application granted granted Critical
Publication of JP4245198B2 publication Critical patent/JP4245198B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01L21/205
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D45/00Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces
    • B01D45/04Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia
    • B01D45/06Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia by reversal of direction of flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/46Removing components of defined structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/12Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
    • B01J19/122Incoherent waves
    • B01J19/126Microwaves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/206Organic halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges
    • H05H1/4622Microwave discharges using waveguides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/10Treatment of gases
    • H05H2245/17Exhaust gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S55/00Gas separation
    • Y10S55/30Exhaust treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Biomedical Technology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 基板処理チャンバの排気管路内の堆積を最小
化する装置を提供する。 【解決方法】 この装置は、相対する表面を有しその間
に流体導管を画成する第1及び第2部材を含む。流体導
管は入口、出口、及び前記入口と前記出口の間の集塵チ
ャンバとを有する。装置は入口で基板処理チャンバの排
気を受け取るように接続し、集塵チャンバは、流体導管
内を流れる粉粒体を捕捉しかつ粉粒体が集塵チャンバか
ら流出しないように、構成され調整される。マイクロ波
プラズマ発生システムは流体導管内にマイクロ波エネル
ギを供給し、流体導管内のエッチング・ガスからプラズ
マを形成させる。プラズマから生じる成分は、集塵チャ
ンバで補集された粉粒体と反応し、流体導管からポンプ
で排出できるガス状生成物を形成する。装置はさらに静
電集塵機を含み、集塵チャンバ内での微粒子の捕捉を増
強し、粉粒体の流出をさらに防止する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に半導体処理
装置に関し、さらに詳しくは、反応チャンバに接続され
た真空排気管路の内部から汚染物及び残留物を除去する
方法及び装置、ならびに反応チャンバからの過フルオロ
化合物(PFC)ガス放出を削減する方法及び装置に関
する。
【0002】
【従来の技術】化学気相堆積(CVD)の処理中、反応
チャンバ内に堆積ガスが放出され、処理対象の基板の表
面に薄膜層が形成される。このようなCVD処理中、反
応チャンバの壁などの領域にも、望ましくない堆積が発
生する。しかし、これらの堆積ガスの個々の分子が反応
チャンバに滞留する時間は比較的短いので、堆積工程で
消費され、ウェハ又は反応チャンバの壁のいずれかに堆
積されるのは、反応チャンバに放出される分子のうちの
わずかな一部分にすぎない。
【0003】消費されなかったガス分子は、部分的に反
応した化合物及び反応副生物と共に、一般に「フォアラ
イン(foreline)」(補助真空側配管)と呼ばれる真空
管路を介して反応チャンバから排出される。この排気ガ
ス中の化合物の多くは、依然として非常に反応性の強い
状態であったり、フォアライン内に望ましくない堆積物
を形成できる残留物又は粉粒体を包含している。時間が
経過すると、この粉状残留物及び/又は粉粒体の堆積
は、問題を生じる。第1に、この物質はしばしば発火性
物質であり、標準的な定期クリーニング操作中に真空密
閉が破れ、フォアラインが大気条件に暴露されたとき
に、問題を引き起こすおそれがある。第2に、適切なク
リーニングを行なわなければ、フォアラインに充分な量
の堆積物質が蓄積され、フォアライン及び/又はその関
連真空ポンプが詰まることがある。たとえ定期的にクリ
ーニングを行なっても、物質の蓄積が真空ポンプの正常
な動作を妨げ、ポンプの耐用寿命を極度に短縮する可能
性がある。また、固体物質はフォアラインから反応チャ
ンバに逆流し、反応段階を汚染し、ウェハの歩留りに悪
影響を及ぼす場合がある。
【0004】このような問題を避けるために、フォアラ
インの内部表面を定期的にクリーニングし、堆積した物
質を除去する。この作業は、反応チャンバの壁及び反応
チャンバの同様の領域から望ましくない堆積物を除去す
るために用いられる、標準反応チャンバクリーニング操
作中に行われる。一般的な反応チャンバクリーニング技
術は、フッ素などのエッチング・ガスを使用して、反応
チャンバの壁及びその他の領域から堆積した物質を除去
することを含む。エッチング・ガスを反応チャンバに導
入し、プラズマを発生させることにより、エッチング・
ガスを堆積物質と反応させて、反応チャンバの壁から堆
積物質を除去する。一般的に、各ウェハごと、又はウェ
ハN枚ごとに、堆積段階の合間にこのようなクリーニン
グ手順が実施される。
【0005】反応チャンバ内の堆積物質に近接する領域
にプラズマが発生するので、堆積物質を反応チャンバの
壁から除去することは比較的簡単である。フォアライン
は反応チャンバの下流に当たるので、フォアラインから
堆積物質を除去することは、より困難である。一定の期
間内に、反応チャンバ内の大部分の場所は、フォアライ
ン内の場合より多くのエッチング・ガスのフッ素原子と
接触する。したがって、一定の期間内に、反応チャンバ
はクリーニング工程によって適切にクリーニングができ
るが、フォアラインには残留物及び同様の堆積物が残
る。
【0006】フォアラインを適切にクリーニングしよう
とするには、クリーニング作業の時間を増大しなければ
ならない。しかし、クリーニング作業の長さを増大する
と、ウェハのスループットに悪影響が生じるので、望ま
しくない。また、そうした残留物の蓄積のクリーニング
は、クリーニング段階の反応物が、フォアライン内の残
留物と反応できる状態で、フォアライン内へ排気される
という程度に制限される。システムや適用分野によって
は、排気される反応物の寿命は、フォアラインの端部又
は中央部分にさえも到達するのに充分ではない。これら
のシステムや適用分野では、残留物の蓄積はさらに大き
い問題である。したがって、半導体処理システムのフォ
アラインを充分かつ完全にクリーニングするための装
置、及びそれを実行する方法が必要である。
【0007】フォアラインのクリーニングに使用されて
きた1つの方法は、プラズマ・エンハンストCVD技術
を用いて、排気ガス中の反応性化合物を電極表面の薄膜
堆積物として抽出するスクラブ・システムに依存するも
のである。スクラブ・システムは、反応物を固体膜とし
て最大限に除去するように設計され、表面積の大きいス
パイラル電極を使用する。スパイラル電極は、フォアラ
インの端部付近のブロワ・ポンプとメカニカル・ポンプ
との間に配置された取り外し可能な容器内に包含され
る。電極に充分な量の固体廃棄物が堆積した後、廃棄及
び交換のために容器を取り外すことができる。
【0008】
【発明が解決しようとする課題】この先行技術による方
法は、システムが堆積される固体物質を収集するための
領域を表面積の大きい電極に依存するという点で、問題
がある。表面積の大きい電極を収容するために、システ
ムはどうしてもかさばり、大きくなる。さらに、取り外
し可能な容器が、交換及び適切な処分を必要とする使い
捨て製品であるので、この先行技術のスクラブ・システ
ムの稼働に、余分の経費がかかる。また、このスクラブ
・システムは真空フォアラインの開始部分より下流に配
置され、したがって管路のこの部分に堆積した粉状物質
や粉粒体の除去は保証されない。以上のことから、フォ
アラインをクリーニングするための改善された方法及び
装置が望まれることが分かる。
【0009】CVD及びその他の基板処理装置の別の問
題点は、反応チャンバからフォアラインを介して排出さ
れるガス及び副生物の種類に関係がある。例えば、クリ
ーニング・プラズマ内のガスの解離が完全ではなく(適
用分野によっては、導入されたガス分子の10%しか解
離しない)、またクリーニング・ガスの個々の分子の反
応チャンバにおける滞留時間が比較的短いため、反応チ
ャンバに放出された分子のうち小部分しか堆積物質と反
応しない。エッチング・ガス反応に加わらないガス分子
は、食刻された物質及び反応副生物と共に、一般に「フ
ォアライン」と呼ばれる真空管路を介して反応チャンバ
から排出される。排出されたガスは、半導体プロセスの
放出副生物となる。
【0010】クリーニング用エッチング・ガスのように
半導体産業で使用されるフッ素を包含するガスの多く
は、過フルオロ化合物又は略して“PFC”と呼ばれ
る。比較的一般的に使用されるPFCの一部として、C
4、C26、NF3、及びSF6といった類いのガスが
挙げられる。これらのガスは寿命が長いことが知られて
おり(CF4 の場合、最高50,000年)、また地球温暖化
の大きい潜在的要因であるとも考えられている。したが
って、これらのガスを大気中に放出することは潜在的に
有害であり、政府やその他の規制の対象になりつつあ
る。したがって、CVD反応チャンバのような半導体処
理装置からのPFC放出を削減することが重要である。
【0011】
【課題を解決するための手段】本発明は、粉粒体及びそ
の他の残留物が基板処理チャンバの排気管路内に蓄積す
るのを実質的に防止する装置を提供し、かつ/又は、そ
うした処理チャンバからのFPF放出を削減することに
より、先行技術における上記の問題を解決する。本発明
の様々な実施形態を、特に粉粒削減又はPFC放出削減
のいずれかのために設計し、最適化することができる。
また、特定の基板処理業務用として、粉粒及びPFC放
出の両方を削減するように最適化した実施形態を設計す
ることも可能である。
【0012】本発明は、プロセス透過的でありながら、
これらの目標を達成する。すなわち、好適な実施形態に
おいて、本発明の作業は、適宜、フォアライン内の粉粒
体の蓄積を防止するため、又はPFC放出を削減するた
めに、追加処理時間がかからない。また、一部の好適な
実施形態では、本発明は追加ガス及び/又は消耗部品を
使用する必要が無い。
【0013】粉粒体削減のために設計され最適化され
た、本発明による装置の1つの実施形態では、1対の容
量結合型電極が、装置の入口と出口の間に配置された複
雑なガス通路を画成する。基板処理チャンバから排気さ
れたときに(例えばCVD段階中)、これまでの技術で
は真空管路内に集まる粉末残留物及びその他の粉粒体
が、このガス通路内で捕捉される。この装置は、電極に
電力を供給してガス通路内にプラズマを形成するプラズ
マ発生システムを含むことができる。プラズマは、クリ
ーニング・サイクル中にガス通路を介して排出される未
反応排ガスから形成される。プラズマから生じる成分は
捕捉された粉粒体と反応して、粉粒体をガス状生成物に
変換し、これは排気管路を通してそこから容易に排出さ
れる。
【0014】別の実施形態における本発明の装置は、流
体導管を画成する相対する表面を有する第1及び第2部
材を含む。この流体導管は入口、出口、及び前記入口と
前記出口の間の集塵チャンバとを有し、集塵チャンバは
流体導管内を流れる粉粒体を補集し、かつ粉粒体が集塵
チャンバから出て行くのを防止する。前記流体導管内で
エッチング・ガスからプラズマを発生させるために、装
置にマイクロ波プラズマ発生システムを動作可能に結合
する。前記プラズマから生じる成分は、集塵チャンバで
補集された粉粒体と反応してガス状生成物を形成する。
この生成物は、流体導管からポンプで排出することがで
きる。この実施形態の装置の好適な態様では、第1及び
第2部材をそれぞれ電極とし、電極の表面に粉粒体を収
集するために2つの電極間に電圧を印加する粒子捕捉シ
ステムをも装置に含める。プラズマは、電気的に補集さ
れたこの粉粒体とも反応し、粉粒体を装置からポンプで
排出できるガス状生成物に変換する。
【0015】ガス通路は、重力の作用によって通路内を
流れる粉粒体を補集すると共に、粉粒体が集塵チャンバ
から出ていくのを防止するように構成され配置された、
少なくとも1つの集塵チャンバを含む。さらに、電極の
少なくとも一方に電圧を印加し、通路内を流れる粉粒体
を補集し捕捉するのに役立つ電界(voltage field )を
電極間に形成する。
【0016】別の実施形態では、本発明は、半導体処理
装置からのPFC放出を削減するように設計され、最適
化されている。こうした装置の1つの実施形態は、流体
導管を画成する容器チャンバ(vessel chamber)を含
む。PFC酸化剤の供給源は流体導管内にあり、プラズ
マ発生システムは、装置からポンプで排出される放出P
FCガスからプラズマを形成する。プラズマから生じる
成分はPFC酸化剤と反応して、放出PFCガスを低害
の水溶性非PFCガス状生成物及び副生物に変換する。
【0017】本発明の装置の好適な実施形態は、ケイ素
を包含するフィルタ内に入ったPFC酸化剤を用意す
る。プラズマ発生システムは、装置からポンプで排出さ
れる放出PFCガスからプラズマを形成する。プラズマ
から生じる成分は、フィルタ内のケイ素包含化合物と反
応し、放出PFCガスを低害の非PFCガス状生成物及
び副生物に変換する。本実施形態の好適な態様では、ケ
イ素包含化合物はケイ素酸化物とする。
【0018】本発明の別の実施形態では、気体ケイ素源
及び/又は酸素源を装置に導入し、PFC酸化剤を提供
する。気体ケイ素源及び/又は酸素源とPFCガスから
プラズマが形成される。プラズマから生じる成分は放出
PFCガスと反応して、これを低害の非PFCガス状生
成物及び副生物に変換する。
【0019】本発明のさらに別の実施形態では、粒子捕
捉及び補集システムにより、基板処理チャンバに接続さ
れた排気管路内に堆積した粒子を削減し、補集した粒子
及び残留物からPFC酸化剤を得る。粒子捕捉及び補集
システムは、ケイ素を包含する残留物を生成する堆積工
程からそうした残留物を捕捉する。プラズマ発生システ
ムは、放出PFCガスからプラズマを形成する。プラズ
マから生じる成分は、補集された残留物と反応して、放
出PFCガスを低害の非PFCガス状生成物及び副生物
に変換する。
【0020】こうした実施形態の1つの態様では、1対
の容量結合型電極が複雑なガス通路を画成する。電極に
DC電圧又はAC電圧を印加し、通路内に電界を形成す
る。この電界は、通路を介して排出される負の荷電粒子
を一方の電極に引き寄せ、正の荷電粒子をもう一方の電
極に引き寄せる。画成された通路はまた、通路を介して
排出される粉粒体を重力の作用によって捕捉する、少な
くとも1つの領域(集塵チャンバ)をも含む。通路を介
して排出されるPFCガスは、電極に印加された高周波
(RF)電力にさらされ、励起してプラズマ状態にな
る。プラズマから生じる成分は、集塵チャンバで捕捉さ
れたケイ素残留物の微粒子と反応し、PFCガスを非P
FCガス状副生物に変換する。
【0021】本発明の上記及びその他の実施形態をその
利点及び特徴と共に、添付の図面に照らして、以下でさ
らに詳しく説明する。
【0022】
【発明の実施の形態】
I.典型的半導体処理チャンバ 本発明の装置は、様々な種類の半導体処理装置と共に使
用することができる。1つの適切な装置として化学気相
堆積装置を図1に示す。これは、簡素化した平行平板型
化学気相堆積システム10の断面図である。システム1
0は、真空チャンバ15内のサセプタ12に搭載したウ
ェハ(図示せず)に堆積ガスを散布するためのガス分配
マニホルド11を含む。サセプタ12は熱応答性が高
い。サセプタ12(及びサセプタ12の上部表面に支持
されたウェハ)は、下部装填(ローディング)/排出
(オフローディング)位置と、マニホルド11に近接す
る上部処理位置との間を、制御可能に移動することがで
きる。
【0023】サセプタ12とウェハは、処理位置14に
あるときは、環状真空マニホルド24に排気する間隔配
置された複数の穴23を有するそらせ板17によって取
り囲まれる。処理中、マニホルド11のガス入口は、矢
印21で示すように、ウェハの表面全体に放射方向に均
等に分配される。次に、ガスはポート23から環状真空
マニホルド24へ排気され、さらに真空ポンプシステム
32によってにフォアライン31を通って排気される。
マニホルド11に達する前に、堆積ガスとキャリア・ガ
スはガス管路18を介して混合チャンバ19に供給さ
れ、ここで混合された後、マニホルド11へ送られる。
【0024】高周波(RF)電源装置25からマニホル
ド11に印加されるRFエネルギによって、ウェハ隣接
部に制御されたプラズマが形成される。ガス分配マニホ
ルド11はRF電極であり、サセプタ12は接地され
る。高周波電源装置25は、真空チャンバ15に導入さ
れる活性種の解離を強化するために、マニホルド11に
単一周波数又は混合周波数のRF電力(又はその他の所
望のバリエーション)のいずれかを供給することができ
る。
【0025】円形外部ランプ・モジュール26は、石英
製のウィンドウ28を通してサセプタ12の環状外周部
に平行(collimated)環状パターン光27を当てる。こ
うした熱分布は、サセプタの自然熱損失パターンを補償
し、堆積を行なうための急速かつ均等なサセプタとウェ
ハの加熱を達成する。
【0026】モータ(図示せず)は、サセプタ12を処
理位置14と下部のウェハ装填位置との間で上下移動さ
せる。ガス管路18及びRF電源装置25に接続された
モータ、ガス供給バルブ(図示せず)は、一部だけが図
示されている制御ライン36を介してプロセッサ34に
よって制御される。プロセッサ34は、メモリ38のよ
うなコンピュータ読出可能媒体に保存されたコンピュー
タ・プログラムの制御下で動作する。コンピュータ・プ
ログラムは、特定の工程のタイミング、ガスの混合、反
応チャンバの圧力、反応チャンバの温度、RF電力レベ
ル、サセプタの位置、及びその他のパラメータを指示す
る。
【0027】一般的に、反応チャンバのライニング、ガ
ス入口マニホルド面板、サポート・フィンガ13、及び
その他の様々な反応チャンバのハードウェアは一部又は
全部が、陽極酸化アルミニウムなどの材料から形成され
る。こうしたPECVD装置の一例は、本願と同一譲受
人に譲渡された、"Thermal CVD/PECVD Reactor and Use
for Thermal Chemical Vapor Deposition of Silicon
Dioxide and In-situMulti-step Planarized Process"
と称する米国特許第5,000,113号明細書に記載
されている。
【0028】上記反応チャンバの記述は主として分かり
やすく説明するためであって、本発明は、電子サイクロ
トロン共鳴(ECR)プラズマCVD装置や誘導結合型
RF高密度プラズマCVD装置など、他のCVD装置に
使用することができる。本発明はまた、熱CVD装置、
プラズマ・エッチング装置、物理蒸着装置、及びその他
の基板処理装置にも使用することができる。本発明の装
置及び真空管路内の堆積を防止する方法は、特定の半導
体処理装置や特定の堆積法又はエッチング工程や方法に
限定されない。
【0029】II.本発明の典型的使用法 CVD反応装置10によって行われる化学気相成長工程
などの半導体処理作業中に、様々な気体排出物や汚染物
質が真空チャンバ15から真空管路31に排出される。
実行される特定の作業によって、これらの排出物は、フ
ォアラインを通して排出されるときにフォアライン内に
残留物又は同様の粉末状物質を残す、部分的に反応した
生成物や副生物などの粉粒体、又はPFCガスのいずれ
か、あるいはその両方を含むことがある。本発明は、フ
ォアライン内のこうした粉粒体の堆積を防止し、及び/
又は真空チャンバ15から放出されるPFCガスを削減
する。本発明の様々な実施形態は、こうした微粒子の堆
積を防止するか、あるいはPFCガスの放出を削減する
ために特に設計し、最適化することができる。また、本
発明の一部の実施形態は、微粒子の堆積及びPFCの放
出の両方を削減するように、最適化され得る。
【0030】図2は、図1の簡素化CVD装置に本発明
の装置を取り付けた状態の断面図であるが、この図2か
ら分かるように、本発明の装置は排気ガス源すなわち反
応チャンバの下流に配置する。この装置は、真空フォア
ラインに接続するか、又は真空フォアラインの一部と置
換することができる。図2では、真空ポンプシステム3
2と真空マニホルド24の間に、真空管路31の一部分
に沿って下流プラズマ・クリーニング装置(以下“DP
A”という)40を取り付ける。その位置のため、真空
チャンバ15から排出されたガスは、必ずDPA40を
通過する。DPA40は、真空管路31に沿ったどの位
置にでも配置できるが、真空チャンバ15から排気され
たガスが、真空管路31のいずれかの部分を通過する前
にDPA40を通過するように、排気マニホルド24の
できるだけ近くにDPA40を配置することが望まし
い。
【0031】また、図3に示すように、2つ以上のDP
Aを真空管路31に接続することも可能である。こうし
た構成を使用して、例えば微粒子の補集のために最適化
された2台のDPAを使用することにより、真空ポンプ
に微粒子や残留物が堆積するのをさらに防止することが
できる。図3に示す構成では、第2のDPA42は、D
PA40の下流でポンプ32の直前に配置する。粉粒体
がDPA40をすり抜けても、その粉粒体はDPA42
内で捕捉され、気体に変換される。DPA40及び42
は両方とも、単一のRF電源装置44を使用し、電力を
スプリッタ46で分割することにより駆動することがで
きる。任意選択的に、DPA40及び42はそれぞれを
別個のRF電源装置で駆動することもでき、あるいは両
方とも反応チャンバ10に接続された主RF電源装置で
駆動することもできる。
【0032】このような2台のDPA構成を使用し、P
FC削減のために最適化された2台のDPAを使用する
ことにより、PFCガスの放出をさらに抑制することが
できる。あるいは、代替的に、微粒子削減のために最適
化された1台のDPAと、PFC削減のために最適化さ
れた1台のDPAとを含む、2台のDPA構成とするこ
ともできる。PFC削減及び微粒子補集のために最適化
された別々のDPAを使用する場合、微粒子補集のため
に最適化されたDPAを、PFC削減用DPAよりフォ
アラインの上流に配置することが望ましい。こうした構
成は、フォアラインの下流だけでなくフォアライン全体
の微粒子の堆積をよりよく防止するのに役立ち、またP
FC削減DPA内における潜在的に望ましくない微粒子
の堆積をも軽減できる。
【0033】フォアライン内の微粒子堆積の削減及び/
又はPFC放出の削減のために構成され最適化された、
DPA40の様々な構成及び実施形態の詳細について、
以下で説明する。これらの実施形態は、説明のために掲
げるだけである。いかなる形でも、本発明がこれらの特
定の構成や実施形態に限定されるとは解釈されないもの
とする。
【0034】A.微粒子削減のために最適化されたDP
A40の特定の実施形態 本発明の一部の実施形態は、反応チャンバから排出され
る微粒子や残留物のフォアライン内部における堆積を削
減するように構成され、最適化されている。そうした粉
粒体の一例として、シラン(SiH4)、窒素(N2)及
びアンモニア(NH3)を前駆物質として使用する窒化
ケイ素膜の成長中に、Sixyz、Sixy、及びケ
イ素から成る茶色の粉末の形態の残留物がフォアライン
に観察されてきた。この残留物の堆積は、SiH4+N2
+NH3の反応の半反応副生物によるものと考えられ
る。本願発明者が知る限りでは、シランを基体にした窒
化ケイ素CVD法は、最も多くの微粒子を発生する基板
処理法の中に数えられる。しかし、他の基板処理法でも
微粒子の堆積や残留物が発生することがある。例えば、
同様の残留物は、ジシラン(Si26)や有機源など、
他の気体や液体の前駆物質を用いて窒化ケイ素層を成長
させるときにも形成される。残留物の堆積は中でも特に
酸窒素膜、酸化ケイ素、炭化ケイ素、及び無定形ケイ素
膜の成長中にも発生し、また、プラズマ・エッチングや
その他の処理段階でも発生することがある。 本発明の
微粒子削減の実施形態は、集塵チャンバで粉粒体を捕捉
し、真空フォアライン内に排出された反応ガスと集塵チ
ャンバ内の残留物及び粉粒体を励起してプラズマ状態に
することによって、そうした残留物及び粉粒体の堆積を
防止する。プラズマは、集塵チャンバで捕捉された残留
物及び粉粒体と反応して、ガス状生成物及び副生物を形
成し、これらは管路内に堆積物を形成したり凝縮するこ
となく、DPA及び真空管路を通して排出することがで
きる。
【0035】運転中、堆積ガスは真空チャンバ15から
真空管路31を介して排出されるので、ガスからの粉粒
体及び残留物は、DPA内のガス流路の内部表面に堆積
する。粉粒体及び残留物の除去は、DPA40を起動
し、DPA内にプラズマを形成することによって達成さ
れる。DPA40は、エッチング・ガスを真空チャンバ
15から排気するクリーニング・サイクル中に起動し、
そうしたプラズマを形成する。
【0036】DPA40は起動すると電界を形成し、そ
れにより、DPA内を通過する排気ガス(エッチングガ
ス)をプラズマ状態にし、プラズマを形成する。プラズ
マは、DPA40内における粉粒体及び残留物の分解を
強化してガス状生成物及び副生物を発生するが、これら
はフォアラインを介して真空ポンプにより排出すること
ができるので、フォアライン内の微粒子の堆積や残留物
の蓄積が防止される。例えば、DPA40内の残留物の
堆積が、先に窒化ケイ素の堆積に関連して述べたよう
に、Sixyz、Sixy、及びケイ素元素から成る
茶色の粉末状であり、クリーニング・サイクル中に使用
するエッチング・ガスがCF4とN2Oの混合物である場
合、DPA40によって形成されるプラズマは、残留物
をSiFx、COF2、F2、SiOF2、CO及びCO2
NO、O及びO2などのガス状成分に分解すると考えら
れる。
【0037】適用用途によっては、DPAに排出された
エッチング・ガスからプラズマを形成するのではなく、
DPA40が実際には基板処理チャンバで形成されたプ
ラズマを維持する場合もある。つまり、これらの適用用
途では、処理チャンバ内で形成されたプラズマの全部又
は一部が、処理チャンバの下流でも活性状態である。こ
れは、例えば、プラズマが非常に活性の高いフッ化種
(fluorinated species)から形成される、処理チャン
バのクリーニング作業中に発生することがある。プラズ
マから生じる成分は、以前として励起された状態すなわ
ちプラズマ状態のままで、処理チャンバからフォアライ
ン及びDPAに排出される。したがって、これらの実施
形態では、DPA40の電界は、プラズマを新たに形成
するのではなく、実際にはプラズマを維持することがで
きる。プラズマがDPA内で維持されるか、それとも新
たに形成されるかによって、DPAの設計及び動作のい
ずれも変更する必要は無い。
【0038】DPA40は、大部分の実施形態では、ク
リーニング・サイクル中にのみ起動してプラズマを形成
及び/又は維持するが、他の実施形態では、CVDガス
をさらに反応させるため、堆積サイクル中及びクリーニ
ング・サイクル中の両方でプラズマを維持することが可
能である。そうした構成の場合、以下でさらに詳細に説
明するように、堆積サイクル中にDPAの上流に、又は
DPAに直接、追加エッチング・ガスを導入することが
できる。
【0039】DPA40内での通常の堆積により残留物
を補集するだけでなく、様々な好適な実施形態のDPA
40は、真空チャンバ15から排出された粉粒体をDP
A内で捕捉し、粉粒体がDPAの下流に堆積できないよ
うに特に設計する。捕捉は、以下で詳しく説明するよう
に、機械、静電気、及び/又は伝熱的(thermophoreti
c)捕捉機構を用いて行なう。いったん捕捉された粉粒
体は、クリーニング工程中にプラズマの活性種と反応し
てガス状副生物を形成し、真空管路31を介して排出さ
れるまで、DPA40の中に維持される。
【0040】これらの実施形態では、DPA内にプラズ
マを形成又は維持するために電圧を印加することなく、
効果的に微粒子の堆積を削減することができる。これ
は、例えば、真空チャンバのクリーニング中にエッチン
グ・ガス(例:フッ素)の電離密度が充分に高く、クリ
ーニング・プラズマ内に生成される自由基が、DPAに
排出されたときもまだ励起状態を維持するほど充分に長
い場合に、可能である。そうした励起状態では、自由基
が捕捉された粉粒体と反応することができ、上述のとお
り粉粒体はガス上生成物に変換される。
【0041】容量結合電極又は誘導結合コイルにHF
(高周波数)又はRF(無線周波数)の電力を印加する
など様々な周知の技術を使用したり、マイクロ波又はE
CR技術などにより、DPA40内でプラズマを発生さ
せることができる。こうした方法の一部の特定の実施形
態について、以下で詳しく説明する。以下で説明する各
々の実施形態では、費用効率的な理由から、説明するD
PAをプロセス透過的に設計することが望ましい。つま
りDPA40は、余分なクリーニング・ガスや余分なク
リーニング時間を使用する必要無く、フォアライン内の
微粒子の堆積を防止するように設計することが望まし
い。また、DPAが、薄膜の特徴に対し不均一性、微粒
子の汚染、応力など、いかなる悪影響をも及ぼさないこ
とが望ましい。
【0042】1.好適な実施形態 図4の(a)〜(f)は、残留物及び微粒子の堆積を削
減するために構成し最適化したDPA40の好適な実施
形態の様々な斜視断面図である。図4(a)は、DPA
40の扉を取り外した状態の正面斜視図である。図4
(b)はDPAの正面図(扉を取り外した状態)であ
る。図4(c)は、DPAの中心の平面に沿った正面斜
視断面図である。図4(d)は、DPAの中心の平面に
沿った側面斜視断面図である。図4(e)はDPA40
への電源貫通接続部(power feed through connection
)の断面図である。また図4(f)は、扉及びハンド
ルを取り付けた状態のDPA40の斜視図である。
【0043】図4の(a)〜(f)に示すように、DP
A40は入口50及び出口52を有する(図4(c)参
照)。入口50と出口52の間には、1対の相対するア
ルミニウム電極すなわち陰極56及び陽極58によって
画成される流体導管54(ガス流路)がある(図4
(a)参照)。DPA40は、継手機構64、66を介
してフォアラインに接続する(又は反応チャンバに直接
接続する)(図4(a)参照)。例えば1つの実施形態
では、継手機構64を用いてDPA40を反応チャンバ
の排気口に直接接続し、フォアラインの開始部をDPA
の継手機構66に接続する。基板処理チャンバ(反応チ
ャンバ)からフォアラインに排出される気体及び粉粒体
は、入口50からDPH40に流入し、出口52から流
出する。
【0044】取外し可能なアルミニウムの扉63(図4
(d))は、後板65と共にガス流路54を閉囲する。
アルミニウムの扉63及び後板65は、電極(陽極5
8)に電気的に結合する。電極56、58、扉63、及
び後板65は、DPA40に排出されたガスが漏れるの
を防止する、閉囲された真空チャンバ(流体導管54)
を形成する。扉63及び後板65はそれぞれセラミック
絶縁板71を含み(図5(d))、これは電極56、5
8と接触して密封状態を形成し、DPAに排出されたガ
スが矢印60で示すガス流路以外に移動するのを防止す
る(図4(b))。好適な実施形態では、扉63は、ア
ルミニウムの扉とセラミック絶縁層71との間にテフロ
ン・クッション73を含む(図4(d))。テフロン・
クッション73は熱膨張率がセラミック絶縁層71より
高く、また比較的柔らかいので、破損したりひび割れる
ことなく膨張することができる。DPA40が活性化し
てプラズマを形成すると、熱が発生し、テフロン層73
が膨張し、セラミック絶縁層71が電極56、58に押
しつけられる。これにより、扉63の適切な密閉状態が
確保されるので、ガスはDPAから漏出しない。
【0045】扉63はねじ59によりDPA40に取り
付け(図4(f))、ねじを取り外すことにより、ハン
ドル67を持って取り外すことができる(図4
(f))。いったん取り外した後、DPA40の内部を
掃除したり、アルコールなどの溶液で手拭きしたり、あ
るいは長時間の使用後又はその他の理由により発生した
微粒子の堆積又は残留物を真空吸引して除去することな
どができる。好適な実施形態では、ハンドル67は、プ
ラスチックなど熱伝導率の低い材料から形成する。
【0046】電極56、58は4つの絶縁プラグ61
(好適な実施形態ではセラミックで形成する)によって
相互に電気的に分離する(図4(a))。これらの絶縁
プラグは、陰極保持器と呼ばれることもある。図から分
かるように、電極56、58は、陰極保持器の一部分を
収容するために、機械加工によって形成された溝を有す
る。図面には、DPAの正面側に2つの陰極保持器61
が示され、残りの2つはDPAの背面に同様に配置され
ている。1つの実施形態では、陰極保持器61は各々約
1cmの厚さである。したがって、陰極保持器61はガ
ス流路54の幅全体には伸長せず、流路内のガス流を阻
害しない。
【0047】DPAでは、ガス流は、矢印60(図4
(b))で示すように流体導管54に従う。流体導管5
4は、2つの鏡像的なガス流路を有する。陰極56の突
出部(分流器57−−図4(b)参照)は、放出ガスを
2つの流路のうちの一方に向ける。ガス流のほぼ半分
は、DPA40の左側の流路に向かって分流し、残りの
半分は右側の流路に向かって分流する。
【0048】流体導管54は、て放出ガス流内に存在す
る微粒子、例えば基板堆積段階又はその他の処理段階で
発生した微粒子を部分的に重力に頼って補集し捕捉す
る、微粒子補集領域62(図4(a))を含む複雑な/
蛇行した流路である。各微粒子補集領域62は、微粒子
をDPAから引っ張り出そうとする放出ガス流路に関係
なく、重力によって底部のU字形の部分内に微粒子を補
集し保持するように配置された、ガス流路のU文形の部
分である。ガス流は、図4(c)に示すように、陰極5
6又は陽極58のいずれかの突出フィンガ79によっ
て、各々のU字部を通過するように向けられる。これら
の微粒子補集領域62は、集合的に重力トラップ又は機
械的トラップと呼ばれており、以下で詳しく説明する。
【0049】電極56、58は、平行平板型プラズマ発
生システム及び静電集塵機の両方を形成する。静電集塵
機の一部として、電極56にDC電力を印加する一方、
電極58は、排出される帯電した微粒子を引きつけるた
めに接地する。DC電力の印加により電界が発生し、D
PAを介して排出される正の荷電微粒子が一方の電極に
引きつけられ、負の荷電微粒子がもう一方の電極に引き
つけられる。接地された電極58は、RF遮蔽のための
ファラデー・ケージとしても作動する。プラズマ発生シ
ステムの一部として、電極56にRF電力が印加され
る。RF電力の印加により、DPA内を通過する放出ガ
スからプラズマが形成され、重力トラップ領域62又は
電極56、58の表面に沿って補集された微粒子及び残
留物が食刻され、除去される。
【0050】図5は、電極56、58を含む電気回路を
示す線図である。図5に示すように、電極56はDC発
電機(DC電源)100及びRF発生器102の両方に
接続し、電極58は接地する。DC発電機100は、静
電トラップに必要なDC電圧を供給し、RF発生器10
2はプラズマを発生するためのRF電力を供給する。R
F整合回路104は、反射電力を最小限にするために発
電機の出力インピーダンスを50Ωに整合し、DC/R
Fフィルタ(低域RCフィルタ)は、DC電源100を
RF信号干渉から分離する。RF発生器102は、図2
に示すRF電源装置25と同一電源装置とすることがで
き、あるいはDPA40のみを駆動する別個のRF電源
装置とすることもできる。さらに、クリーン・ルームに
複数の処理チャンバが存在すると仮定して、処理チャン
バに接続した複数のDPAを全て、適切な数のRF電力
スプリッタに接続した別個のDPA専用RF電源装置に
よって駆動することもできる。
【0051】DPA40内を通過する物質及び/又はD
PA40内に堆積する物質の完全な反応を確実にするた
め、DPAは、プラズマを形成及び/又は維持するのに
充分なレベルのRF電源(例えばRF発生器102)に
よって駆動しなければならない。一般に、陰極の表面積
及び所望のプラズマの強さによって、50〜2000W
以上の電力レベルを使用することができる。陰極58の
表面積が約120in2の実施形態では、750〜10
00W(6.31〜8.42W/in2)の間の電力レ
ベルを使用することが望ましい。実際に選択する電力レ
ベルは、強いプラズマを形成するために高い電力レベル
を使用する希望と、エネルギ・コストを節約するために
低い電力レベルを使用する希望とのバランスを取ること
によって決定すべきであり、より小型でより安価な電源
装置の使用を可能にする必要がある。
【0052】DPA40を駆動する電源装置は、約50
KHz〜約200MHz以上の周波数範囲で作動し、約
50KHz〜60MHzの範囲内で作動することが望ま
しい。一般に、周波数の低い電源装置の方が、周波数の
高い電源装置より購入価格も運転経費も安価である。し
たがって、最も好適な実施形態では、DPA40を駆動
する電源装置は、325KHz以下のRF周波数を提供
するように設計する。RF電源装置は、単一周波数RF
電源又は混合周波数RF電源のどちらからでも供給でき
る。電源装置の最適出力電力及び動作周波数は、経費の
問題と共に、DPAが使用される適用用途や、DPA4
0で処理されるガスの量などによって異なる。
【0053】DPA40への電気接続は、電源貫通接続
部(PFD)68(図4(a))を介して行なわれる。
PFD68は、PFD68の拡大側面図である図4
(e)に詳しく示す。PFD68は、DC発電機100
及びRF発生器102を、コネクタ70を介して陰極5
6に接続する。好適な実施形態では、コネクタ70は、
陰極56に直接ねじ込まれるねじ切り部(threaded scr
ew)である。
【0054】RF接続部の腐食を軽減し、ねじ切り部7
0と陰極56との間の適切な電気接続を維持するため
に、接続は大気圧で行う必要がある。大気圧のこの部分
は、領域76として示され、陰極56に接触するねじ切
り部70を含む。Oリング78は陰極56と領域76と
の間の密封を維持する。Oリング78がDPAの作動中
に発生する高熱で溶融するのを防止するために、陰極5
6の主要部(領域56Aとして示す部分)から陰極56
のOリング78が埋め込まれた部分(領域56Bとして
示す部分)への熱伝導を軽減するように特別設計された
領域を設ける。この特別設計領域は、真空領域80及び
陰極56の細い部分82を含む。真空領域80により陰
極領域56Bは陰極領域56Aから分離されているの
で、発生した熱及び/又は陰極56の領域56Aに伝導
される熱は、領域56Bへは容易に伝導しない。RF及
びDC信号を領域56Bから領域56Aに伝える陰極5
6の小部分(部分80)は充分に細いので、領域56A
から領域56Bへ伝導される熱は著しく軽減される。
【0055】電源貫通接続部はアルミニウム・ハウジン
グ72に収容し、テフロン板73及びテフロン・リング
74によってハウジング72及び扉63から絶縁する。
ハウジング72は陽極58及び扉63に電気的に接続す
る。平座金84、止め座金85、及びナット86アセン
ブリにより、テフロン・リング75及びテフロン・ライ
ニング73は陰極56の領域56Bに締め付けて固定す
ることができる。この締付け力によりOリング78を圧
縮し、適切な密封を維持する。第2のOリング、すなわ
ちOリング77は、ガスが電源貫通接続部68から漏出
しないように、テフロン・ライニング73と扉63との
間の密封を維持する。
【0056】標準動作では、CVD段階などの基板処理
段階中に、DPA40の微粒子捕捉能力を高めるため
に、電極56にDC電力が供給される。電極56に印加
される電圧は、適用用途によって異なる。一般的に、1
00〜3000Vの印加により、有効な捕捉メカニズム
が発生する。こうしたDC電圧は、反応チャンバの動作
中(処理及びクリーニング段階)常に印加することがで
き、あるいはDPA40が起動する反応チャンバのクリ
ーニング動作中は停止することもできる。
【0057】SiH4、N2及びNH3の処理ガスから窒
化ケイ素を堆積する1つの基板処理作業では、発生した
微粒子の約60%±10%が正に荷電し、約40%±1
0%が負に荷電することが、実験からつき止められた。
図6に示すように、DPA40内で約500V/cmの
DC電界を形成することにより、この基板処理作業用の
最適静電集塵機が得られることが、実験から分かった。
【0058】図6の線110は、電極間に200〜12
00V/cmの電界を形成することにより、正に荷電し
た電極で補集される負の荷電微粒子の総堆積量を表わ
し、線112は、接地した電極で補集される正の荷電微
粒子の総堆積量を表わす。線114は、捕捉された微粒
子の総堆積量を表わす。500Vより低い電圧の電界で
は、大きい微粒子は静電集塵機では効果的に捕捉されな
いが、それより高い電界を形成すると、部分的プラズマ
が発生する。こうしたプラズマの形成は、発生する電界
の性質を変化し、捕捉効率を低下させる。
【0059】静電集塵機と機械的(重力)トラップを組
み合わせると、真空管路31における堆積を防止するの
に特に効果的な機構が得られる。放出ガス流内に存在す
る比較的大きい微粒子は、重力によって外部管62内に
保持されやすいので、重力トラップはこのような微粒子
を捕捉するのに特に有効である。一方、静電トラップ
(集塵機)は、重力トラップだけでは捕捉されない放出
ガス流内の比較的小さい微粒子を補集及び捕捉するのに
特に有効である。
【0060】例として、上述の窒化ケイ素を堆積する場
合、直径1μmから直径1mm以上までの範囲の大きさの
粒子が観察されている。これらの粒子が排気管路内に存
在するときは、2種類の重要な力すなわち重力( Fg
及びガスの運動の結果生じる中性抵抗力(Fnd)が粒子
に作用する。直径が100μmを超えるような大きい粉
粒体の場合、主要な相互作用は重力であり、したがって
機械的トラップが特に有効である。しかし、より小さい
微粒子の場合、ガスの抵抗力の方が重力より高くなるこ
とがある。したがって、静電トラップの2つの電極の間
に形成される電界が、微粒子の軌跡に垂直な補助力(F
elec)を与える。この力は、直径が10μm未満のよう
な非常に小さい微粒子の場合、重力及び抵抗力のどちら
より2桁以上大きく、非常に高い補集効果を達成する。
【0061】図7は、本発明の1つの実施形態による静
電力及び重力の効果と比較した、中性抵抗力の効果を示
すグラフである。線122は重力を示し、線124は静
電力を示し、線126は粒子の中性抵抗力を示す。図に
示すように、粒子が小さい場合、静電力124が重力1
22より大きい。より大きい粒子では、重力が静電力1
24より優勢である。この実施形態では、直径が約30
μm以下の粒子は主として静電集塵機で補集し、約30
μmを超える粒子は主として機械的トラップで補集す
る。任意の粒子に対して静電力又は重力のどちらが優勢
であるかに関係なく、図7の焦点は、任意の大きさの粒
子に対し、静電力124又は重力122の少なくとも一
方が中性抵抗力126より大きくなるように、DPA4
0を設計することが望ましいということである。このよ
うな場合、静電トラップ集塵機と機械的トラップ集塵機
を組み合わせることにより、様々な大きさの粒子が効果
的に補集されることが確保される。
【0062】第4の力、すなわち伝熱力(Fth)もま
た、DPA40内の微粒子に作用する。伝熱力とは、D
PA内に形成される温度勾配による力である。このよう
な温度勾配は、例えば、プラズマ支援クリーニング工程
中にプラズマの発生によって形成される。プラズマ形成
中に、イオン衝突やプラズマ形成中のジュール効果のた
めに、陰極56は陽極58より高温になる。1つの実施
形態では、陰極56と陽極58の間の温度勾配は、15
0℃のガス温度で200℃/cmである。この実施形態に
おける伝熱力を図7に、線128として示す。伝熱力1
28は、この実施形態では0.1μm〜100μmの間
の微粒子を捕捉するのに充分な強さではないが、荷電粒
子及び非荷電粒子の両方を捕捉することができる。ま
た、他の実施形態でも、当業者は、大きい温度勾配を形
成するほど、より大きい伝熱力が得られ、微粒子及び残
留物の捕捉に一層効果的に役立つことを理解されるであ
ろう。先に述べたように、処理チャンバのクリーニング
作業中、RFエネルギを電極56に印加して、DPAに
排気される放出エッチング・ガスからプラズマを形成及
び/又は維持する。プラズマから生じる成分は、その前
の1つ以上の基板処理段階からDPA内に捕捉された微
粒子及び残留物と反応する。このプラズマを形成するた
めにRFエネルギを印加するのは、エッチング・ガスが
DPA内に排気されない間は、中断することが望ましい
(このような構成におけるDPA40は、受動素子では
なく、能動素子と呼ばれる)。DPA40を能動素子と
して構成する場合、DPA40のタイミングの側面の制
御(例えばRF電源102及び/又はDC電源100の
オン/オフの切替えなど)は、一般的に、図1に示す制
御ライン36を介して送られる制御信号の入力により、
プロセッサ34によって行われる。図12には示さない
が、そうした構成では、そのような制御ラインがDPA
40に接続される。
【0063】代替実施形態では、クリーニング作業中
に、真空チャンバ15から排気されるエッチング・ガス
とは別にエッチング・ガスを導入するために、DPA4
0に直接ガス供給管路を設けることができる。そのよう
な余分なガス供給管路は、例えば入口部分又はその付近
でフォアラインに接続できる。また、DPAの上流位置
でDPAに直接接続することもできる。そうした別個の
ガス管路を設ける場合、クリーニング中のみ、あるいは
堆積又はその他の基板処理段階のときのみに、エッチン
グ・ガスの追加供給をDPAに行うことができ、あるい
は堆積及びクリーニングの両サイクル中に連続的に供給
することもできる。基板処理段階にDPAにエッチング
・ガスを供給する実施形態では、基板処理段階で電極5
6にRFエネルギを印加してプラズマを発生させ、DP
A内に堆積した物質をさらに食刻して除去する。
【0064】微粒子を捕捉し堆積を削減するDPA40
の有効性は、生成され真空チャンバから排出される微粒
子の量や、DPA40内の放出ガス流の流速、電極56
と58の間に形成される電界、電極56、58の表面
積、及びクリーニング段階で生成されるプラズマの強さ
などをはじめとする多数の因子に依存する。
【0065】また、多数のその他の設計上の考慮点によ
り、DPA40の有効性は向上する。例えば、好適な実
施形態では、分流器57(図4(a))の上部表面を単
一先端に対し先鋭に傾斜させる。ガス流がDPA内のバ
リア又はその他の表面と直接接触する部分に、堆積がよ
り急激に集まることが、実験から示されている。分流器
57の傾斜表面と、分流器57の単一先端の真上にあっ
てそれに対し垂直な入口50からの放出ガスの導入とを
結合することにより、放出ガス流が入口50からDPA
40に流入するための小さい接触面積が得られ、したが
って分流器57の上部表面における堆積は最小化され
る。このような傾斜表面を持たずに実施した実験では
(例えば丸みのある表面)、分流器57の上部表面57
で微粒子の堆積が補集された。そうした堆積の量によっ
ては、堆積をくずし、補集領域62の1つに落下させる
ことができる。粒子の堆積が充分に大きい場合、通常の
クリーニング・サイクル中に形成されるプラズマによっ
て、それを消散することはできないかもしれない。これ
は、ガス流路の閉塞につながるおそれがある。また、堆
積物が誘電体材料(例えば窒化ケイ素の膜成長工程から
の堆積)である場合、その堆積はプラズマの発生を阻害
し、形成されるプラズマの強さを低下する。これは次
に、堆積した物質の食刻の低下及び流路閉塞の機会の増
加につながる。分流器57の側部表面は、そうした蓄積
を防止するために30度以下の角度で合わせることが望
ましい。形成される角度が約10度未満であることがさ
らに望ましい。
【0066】DPA40のいずれかの特定の領域におけ
る微粒子の堆積を軽減する別の設計の特徴は、入口50
とガス流が左右の流路に分割される位置との間のガス流
路54の部分の壁の形状である。先鋭な角度を持つ形状
とは反対に、入口50からガス流路までの平滑な輪郭遷
移(又は朝顔形の張出し)は、流路へのガス流の均等な
分布を確保するのに役立つ。入口から流体導管54まで
のこの輪郭遷移は、プロファイルド・マニホルド(prof
iled manifold )と呼ばれる。
【0067】プロファイルド・マニホルド内の均等なガ
ス流は、ガス流路54の左右部分の両方にガス流を均等
に分配するに役立ち、それによって流路のどの部分でも
他の部分と比較してより多く微粒子が堆積するのを防止
する。プロファイルド・マニホルドはまた、電極の幅全
体にわたって均等なガス分布を確保する。プロファイル
ド・マニホルドの好適な態様の輪郭を、ガス流路表面5
5として図4(c)及び図4(d)に詳細に示す。
【0068】均等なプラズマの形成は、DPA40内で
補集された微粒子及び残留物の完全な除去を確保するの
に役立つ。この目的を達成するために、電極56の表面
積は、電極58の表面積とほど同じであることが有用で
ある。電極同士の表面積が様々な位置で3:1から1.
3:1までの範囲で異なるDPAで実施した実験では、
均等でない表面積の電極でもプラズマを形成することが
可能であり、そうしたプラズマはDPA内で補集された
一部の物質を適切に除去できることを示している。しか
し、これらの実験では、電極表面の比率が3:1の部分
より1.3:1に近い部分ほど、微粒子及び残留物の堆
積がより効果的に除去された。さらに、陰極56の表面
積が陽極58の表面積の95%以内である場合(11
8.79in2対123.31in2)の実験では、プラ
ズマの形成がより強く、微粒子の除去がより効果的であ
った。別の実施形態では、陰極の表面積が陽極の表面積
と実質的に同一である。
【0069】別のプラズマの均等性の問題は、電極58
に対する電極56の間隔に関係がある。この間隔は、次
の例外を除き、DPA40のガス流路全体で基本的に一
定に維持すべきである。プラズマの降伏電圧は、圧力と
電極間の距離の関数である(P×D)。放出ガス流がD
PA40内を流れるには、入口50付近の圧力を出口5
2付近の圧力より少し高くする必要がある。この好適な
実施形態で降伏電圧を一定に維持するには、DPA40
の底部の電極間に、上部の電極間より多くの空間を導入
することである。この間隔のバリエーションは、例えば
電極56及び/又は電極58のいずれか一方又は両方の
突起フィンガを、図4(b)に示すようにDPAの上部
より厚くすることによって、達成することができる。図
4(b)で、DPA40の上部の陰極56及び陽極58
のフィンガは、それぞれa及びbの厚さを持つ。DPA
40の下部の対応する部分はそれぞれc及びdの厚さを
持つ。ここでa>c、及びb>dである。
【0070】DPA内の圧力も又はプラズマの形成に影
響を及ぼす。一般に、圧力が高いほどプラズマ・エッチ
ングの効率が高くなる。したがって、より高い圧力でD
PAを作動すると、低い圧力の場合より所用電力が低く
てすみ、その結果運転経費の節約になる。このような構
成では、DPAの下流に単一スロットルバルブを使用す
るか、好ましくは二重スロットルバルブを、すなわち真
空チャンバの圧力を制御するためにDPAの上流に1
つ、及び処理チャンバ内の圧力とは独立してDPAの圧
力を制御するためにDPAの下流に1つを使用すること
ができる。
【0071】DPAの下流にスロットルバルブを使用し
ない場合、DPA内の圧力は一般にフォアラインの圧力
と等しい(約4.5〜6torrで作動する一部のPECV
D処理装置では約0.8〜2.5torrの間)。しかし、
DPAの下流にスロットルバルブを使用すると、DAP
内の圧力をより幅広い範囲にわたって制御できる。いう
までもなく、DPA内の圧力は、処理チャンバからの放
出ガス流を維持するために、処理チャンバ内の圧力より
低くしなければならない。DPA内の圧力を増加する
と、DPAに排出される微粒子の中性抵抗力が増加する
という、望ましくない副作用も発生し、これが今度は重
力トラップの効率を低下する原因となる。したがって、
DPAに設定する実際の圧力は、プラズマの効率の問題
と粒子の捕捉の問題の間でバランスを取る必要があり、
この圧力はDPAを使用する特定の適用用途によって異
なる。
【0072】DPA40内の圧力を監視するために、圧
力感知スイッチ53(図4(d))を含めることができ
る。DPA内の圧力が望ましくないレベルにまで高まる
と、スイッチ53はプロセッサ34に信号を送り、DP
A及び基板処理チャンバ10の両方のスイッチを切断す
る。好適な実施形態では、スイッチ53は半大気圧スイ
ッチ(half-atmosphere switch)であり、DPA40内
の圧力が大気圧の2分の1(360torr)を超えて増加
すると、遮断手順が始動する。
【0073】DPA内でプラズマを形成するために使用
するRF電力、陰極の大きさ、DPAが起動する時間、
及びその他の要因によって、DPA40はかなりの量の
熱を発生することがある。熱を消散するために、DPA
40は、図4(e)に示すように熱消散フィン69を含
むことができる。熱消散フィン69は、陽極58に取り
付ける。
【0074】熱は、プラズマ形成中にイオン衝突及びジ
ュール効果によって、陰極56に発生する。したがっ
て、陽極58は陰極56より低温である。また、陽極5
8は、セラミック陰極保持器61、セラミック・ライニ
ング板71(後部及び扉)及びPFD68のテフロン絶
縁リングによって、陰極56から熱的に絶縁されてい
る。フィン69は、陽極をさらに冷却するのに役立つ。
フィン69はアルミニウムなどの熱伝導材料で構成さ
れ、これは受動冷却装置なので、DPA40を冷却する
ための好適な方法である。安全上の理由から、DPA4
0の外部が少なくとも75℃以下まで冷却されるよう
に、フィン69を設計することが望ましい。
【0075】アプライド・マテリアル・インコーポレイ
テツドによって製造されたP5000型反応システムの
DCVDチャンバにDPAを装備した好適な実施形態で
は、DPAの三方の側面にフィンを配置するが、第4の
側面には配置しない。その代わり、DPAの第4側面
(後部)を基板処理チャンバの一部分に直接当てるよう
に配置する。フィン69によって達成される冷却の程度
は、フィンの大きさによって異なる。陰極の温度が25
0〜300℃の間で作動する1つの実施形態では、フィ
ン69は、DPAの外部を約75℃まで冷却するのに充
分な大きさである。
【0076】また、他の方法でDPA40を冷却するこ
ともできる。例えば、DPA40の周囲に水を循環する
冷却システムを使用して、DPAから熱を運び去ること
ができる。このような冷却システムは能動冷却機構であ
る。
【0077】2.DPA40の好適な微粒子削減実施形
態を使用した試験結果 微粒子の堆積の削減における本発明の効果を証明するた
めに、上記の好適な実施形態に従って設計したDPA4
0を、6インチ・ウェハ用に装備され、窒化ケイ素のC
VD膜堆積用に設計されたPrecision 5000反応チャンバ
に取り付け、実験を行なった。Precision 5000反応チャ
ンバは、本発明の譲受人であるアプライド・マテリアル
・インコーポレイテッドによって製造された製品であ
る。
【0078】DPAの効果を試験する実験を行なう前
に、窒化ケイ素の頴娃町段階及びその後に続くフッ素ク
リーニング段階によって処理チャンバ内に堆積する残留
物の組成を決定するための実験を行なった。2種類の異
なる窒化ケイ素膜成長/フッ素クリーニング作業工程シ
ーケンスについて、残留物の組成を決定した。各工程シ
ーケンスの窒化ケイ素膜成長段階は同じであるが、クリ
ーニング段階は、第1シーケンスではCF4の化学作用
に基づいて行なわれ、第2シーケンスではNF3の化学作
用に基づいて行なわれた。
【0079】窒化ケイ素の薄膜は、ウェハにシラン(S
iH4)、窒素(N2)、及びアンモニア(NH3)ガス
のプラズマをウェハに暴露することによって、ウェハに
堆積した。SiH4は、275sccmの流速で処理チャン
バ内に導入し、N2は3700sccmの速度で処理チャン
バに導入し、NH3は100sccmの速度で導入した。プ
ラズマは4.5torrの圧力、400℃の温度で、720
Wで駆動する13.56MHzのRF電源を用いて形成
された。窒化ケイ素の堆積は約75秒持続したが、これ
はウェハに約10000オングストロームの薄膜を成長
させるのに充分であった。
【0080】第1標本については、窒化ケイ素膜の成長
段階が完了し、ウェハを処理チャンバから取り出した
後、処理チャンバをCF4及びN2Oのプラズマにより、
120秒間クリーニングした。CF4対N2Oの比率は
3:1であり、CF4は1500sccmの速度で導入し、
2Oは500sccmの速度で導入した。クリーニング段
階中、処理チャンバは温度400℃及び圧力5torrに維
持した。1000Wで作動する13.56MHzの電源
装置により、プラズマを形成した。
【0081】第2標本については、NF3及びN2O及び
2の前駆物質のガスから形成されるプラズマで、クリ
ーニングを行なった。NF3対N2O対N2の比率は約
5:2:10であり、NF3は500sccmの速度で導入
し、N2Oは200sccmの速度で導入し、N2は1000
sccmの速度で導入した。クリーニング段階中、処理チャ
ンバは温度400℃及び圧力5torrに維持し、これを約
95秒間持続した。プラズマの形成は、1000Wで作
動する13.56MHzの電源装置により達成した。
【0082】CF4クリーニングの残留物の色は茶色が
かっており、NF3クリーニングの残留標本の色は黄色
/白色であることが分かった。Si34の膜成長段階だ
けから生成される残留物は茶色であることが分かったの
で、これらの結果から、NF3クリーニングにより、初
期の茶色の粉末が黄色/白色の粉末により完全に変換さ
れることを示すと考えられる。これは、NF3プラズマ
に発生する余分のフッ素自由基のためであると考えられ
る。
【0083】別の一連の実験で、3種類の残留物の標本
を採取した。すなわち、上記のSi34の膜成長段階の
直後に処理チャンバから約0.5m下流のフォアライン
で採取した粉末(標本A)、上記のNF3/N2O/N2
のクリーニング用プラズマの作動後に標本Aと同じ場所
で採取した粉末(標本B)、及び数日間の連続した堆積
/クリーニング・シーケンスの後に処理チャンバから約
12m下流の乾燥真空ポンプの入口で採取した粉末(粉
末C)の3種類である。粉末標本の組成は、水素前方散
乱(HFS)、X線電子分光法(XPS)、及びX線回
折(XRD)解析から引き出される。これらの粉末のそ
れぞれの組成を表1に示す。
【0084】
【表1】 標本Aは、Si34の膜堆積化学作用の直接固体副生物
である。この粉末は、RFプラズマ内で発生した微粒子
の組成を反映している。この粉末は大部分がSi、N、
H、及び酸素で構成される。酸素はおそらく、標本採取
中に空気から吸収されたものであろう。膜堆積段階で酸
素を包含するガスを使用していないので、酸素は粉末の
初期成分ではありえない。プラズマ内で発生する残留微
粒子は、大部分が水素化窒化ケイ素SiXyzである
可能性が高い。この粉末は反応性が高い。XPS測定に
よりHFSの結果が確認され、空気にさらした後、ケイ
素は元素として18%存在し、窒化物として24%、酸
化物として58%存在することが示された。窒素は、窒
化物として93%、アンモニアとして7%存在する。X
RD解析から粉末が非晶質であることが示された。
【0085】標本Bは、NF3/N2O/N2プラズマを
使用したクリーニング工程後の粉末Aの変換の結果であ
る。膜成長チャンバ内に蓄積された残留物は、クリーニ
ング工程により完全に蒸発するが、F*自由基の寿命が
限定されるので、フォアライン内での変換は完全ではな
い。しかし、この寿命は、フォアラインの最初のメート
ル内で部分変換が行われるには充分に長い。この白色粉
末はFの含有量が高いことを示し、Sixyzから
(NH42SiF6(ヘキサフルオロけい酸アンモニウ
ム、これはX線回折法を用いて識別された)への変換を
表わしている。多結晶質白色粉末は、250℃の昇華温
度を示している。
【0086】標本Bの粉末の蓄積量は、処理チャンバか
らの距離の増加と共に増加し、ガスがフォアラインに沿
って移動するにつれて、固体−気体蒸発の効率がだんだ
ん低下することを示唆している。これはおそらく、
*、CFx、O*などの励起された種が処理チャンバか
ら遠くに移動する間に希薄になるためである。ポンプに
近い位置では、粉末AとBの混合物が存在する。この残
留物(粉末C)の色は、処理チャンバからの距離が長く
なるにつれて、黄色がかった色から茶色になる。
【0087】化学分析から、粉末Cは、粉末Aの不完全
な変換結果であることが示された。興味深いことは、−
(−CF2−C24−O−)x−という重合体の形成であ
り、これは初期の茶色の残留物を被覆することができ、
保護被膜を形成し、膜成長中に補集された粉末がそれ以
上変換されるのを防止する。市販のPECVD窒化ケイ
素システムのフォアライン内の粉末Cの蓄積は、1か月
の連続堆積/クリーニング・シーケンスの後、500g
を超えることがありうる。
【0088】処理チャンバ内の残留物の堆積の組成を決
定した後、残留粉末の粒径を決定する実験を行なった。
この実験では、フォアライン内にシリコン片を置き、膜
成長工程でそこに堆積される材料を採取した。15秒の
膜堆積工程後でさえも、真空管路3内で茶色の粉末状の
残留物の堆積が通常発生する。この残留物の堆積を示す
顕微鏡写真を図8に示す。茶色の粉末は、Six
yz、Sixy、SiOx、及びケイ素元素残留物から
構成される。残留物の元素粒子は粒状又は海綿状の形態
を呈し、密度は2.3g/cm3 である。粒子の回転楕
円体の左右対称性が図9に示され、膜成長が均質な核生
成によって行なわれることを表わす。図9は、4個又は
5個の元素粒子(各々直径15〜20μm)が凝集し
て、直径約50μmの典型的な粒状残留集合体を形成す
ることを示す顕微鏡写真である。さらなる実験から、粉
末の粒径が堆積時間と共に増大し、90秒の堆積段階に
より直径1.0mm以上の集合体が形成されることが示さ
れた。
【0089】次にプロトタイプ(試作品)のDPAを使
用して、シランを基本にした窒化ケイ素の堆積作業から
発生するような微粒子の堆積を削減する上での本発明の
有効性を証明した。プロトタイプのDPAは図4の
(a)〜(f)に示す実施形態に従って構成し、フォア
ラインの直前でP5000型CVD反応チャンバの出口
に接続した。実験では、3段階の順次1.0ミクロンの
膜成長工程の後にCF4/N2Oクリーニング段階が続
く、窒化ケイ素の一般的な堆積/クリーニング・シーケ
ンスに従って、反応チャンバを作動した。この堆積/ク
リーニング・サイクルを、5000枚のウェハ実行試験
に連続的に繰り返した。
【0090】窒化ケイ素の膜堆積段階では、反応チャン
バの圧力は4.5torrに設定及び維持される一方、反応
チャンバの温度は400℃に設定され、サセプタはガス
分配マニホルドから600milの位置に配置された。堆
積ガスは、190sccmの速度で導入されたSiH4、1
500sccmの速度で導入されたN2、及び60sccmの速
度で導入されたNH3を含んでいた。周波数13.56
MHzの単一周波数RF電力を445Wの電力レベルで
供給してプラズマを形成し、約7500オングストロー
ム/minの速度で窒化ケイ素層を堆積した。1.0ミク
ロンの各層の総堆積時間は約80秒であった。
【0091】反応チャンバのクリーニング段階では、反
応チャンバの圧力は4.6torrに設定及び維持される一
方、反応チャンバの温度は400℃に設定され、サセプ
タ(ウェハは搭載されない)はガス分配マニホルドから
600milの位置に配置された。クリーニング用ガス
は、1500sccmの速度で導入されたCF4及び500s
ccmの速度で導入されたN2Oを含んでいた。エッチング
・プラズマを形成し、反応チャンバ内に堆積した材料を
食刻して除去するため、RF電力を供給した。この周波
数電源装置は13.56MHzで動作し、1000Wで
駆動する。3段階の1.0ミクロンの窒化ケイ素層の堆
積工程後に反応チャンバのクリーニングのために使用し
た総クリーニング時間は、最初の3000枚のウェハに
ついては、110秒であった。その後、終点検出器(en
dpoint detector )を使用して、最後の2000枚のウ
ェハに対するクリーニング時間を最適化した。
【0092】プロトタイプのDPAは、長さ約35cm×
直径14cmであった。電極56、58は総表面積が24
2.1in2であり、アルミニウムから機械加工によっ
て形成された。陰極は幅3.00in、周長39.59
66inであった。
【0093】電極56と電極58の間に500VのDC
電圧を形成し、上述の通り、窒化ケイ素の膜成長段階及
びCF4 のクリーニング段階の両方で、荷電した微粒子
を捕捉した。電圧電界は、電極56と接地電極58に5
00Vを供給することによって形成した。プラズマの形
成に関しては、DPA装置は能動装置として作動する
(つまり、クリーニング・サイクル中にのみRF電力が
DPAに印加され、プラズマが形成される−−RF電力
は堆積段階には印加されない)。プラズマは、1000
Wで駆動する325KHzのRF波形によって発生し
た。DPA内の圧力は0.8torrであった。
【0094】その後の試験で、プロトタイプのDPA
は、プロセスに対し透過的でありながら、上記の窒化ケ
イ素の膜成長/CF4のクリーニング・シーケンスを用
いて20000枚のウェハ処理試験の実行中に、フォア
ライン内での微粒子の堆積を防止するのに100%有効
であることが示された。プロトタイプのDPAを使用す
るにあたり、実験中に反応チャンバから排出される全て
の粉粒体を捕捉し除去するために、追加のクリーニング
・ガスや追加のクリーニング時間を用いる必要は無かっ
た。実験中に堆積した窒化ケイ素の薄膜の厚さ、均一
性、応力、及び屈折率などの薄膜特性について行なった
測定により、1枚目のウェハと5000枚目のウェハと
の間で(又は中間のどのウェハ間でも)、これらの特性
のいずれにも際だった、あるいは著しい変化の無いこと
が示された。さらに、実験中の反応チャンバ内の微粒子
の数の測定でも、ウェハ処理の実行中に直径が0.16
ミクロン以上の粒子の数に増加が無いことが示された。
【0095】3.螺旋コイル、単管の実施形態 他のプラズマ形成構造を組み込んだ、他の実施形態のD
PA40も可能である。例えば、一部の実施形態では、
螺旋共振器コイルなどの誘導コイルにRF信号を印加す
ることによって、プラズマを形成する。螺旋コイルは小
型であり、比較的高密度のプラズマを形成する容量を有
する。こうしたコイルは当業者には周知であり、Michae
l A.Lieberman and Allan J. Lichtenberg, "Principle
s of Plasma Discharges and Materials Processing",
pp. 404-410 John Wiley & Sons(1994)などのよく知ら
れた多数の教科書のどれにでも記載されている基準に従
って、設計することができる。これを引用によって本明
細書に組み込む。
【0096】螺旋共振器コイルは、銅、ニッケル、又は
金などの高導電性金属、又は同様の導電性材料から作成
することができる。コイルを適切に共振させるには、コ
イルの長さが、印加RF信号の波長のほぼ4分の1又は
それよりわずかに長いことが重要である。
【0097】図11は、こうしたコイルを含むDPA4
0の1つの実施形態の断面図である。図11のDPA4
0は、処理チャンバ15から排出されたガスがDPA内
を通過するときに流れる管150を含む。管150は、
セラミック、ガラス、又は石英などの絶縁材から形成さ
れる円筒形の管である。好適な実施形態では、管150
は、クリーニング段階で使用するフッ素などのエッチン
グ・ガスとは反応しないセラミック材で形成する。ま
た、管150の外径は真空管路31の内径とほぼ等し
い。別の実施形態では、管150は必ずしも円筒形であ
る必要は無く、角があったり、平面的であったり、楕円
形、又は同様に湾曲した内部表面を持つことができる。
これら及びその他の実施形態における管150の内径
は、真空管路31の内径より大きく、又は小さくするこ
ともできる。
【0098】コイル152は管150の外面の周囲に巻
かれ、一端は点156の位置でRF電源装置に接続し、
他端は点155の位置で接地電位に接続する。管150
内を通過する排気ガスは、RF電源装置からコイル15
2への電圧の印加によって、プラズマ状態に励起する。
プラズマ状態のとき、プラズマから生じる成分は、管内
に堆積した材料と反応して、ガス状生成物を形成する。
このガス状生成物は、上述の通りポンプ・システム32
によって、DPA40及び真空管路31から排出するこ
とができる。コイル152は、上述の通り標準的な螺旋
共振器コイルであり、管の外側ではなく、管150の内
側に巻き付けることもできる。
【0099】管150の周囲は外部容器154で取り囲
まれている。容器154は、少なくとも2つの目的を果
たす。第1に、これはコイル152によって生じる電磁
放射を遮蔽するファラデー・ケージとして機能する。第
2に、セラミック管150が破損したりひび割れた場
合、あるいは別の方法で管150の真空密閉が破れた場
合、容器154は第2密閉の役割を果たし、排気ガスが
漏出するのを防止する。容器154は、アルミニウムや
鋼、又はその他の化合物といった様々な金属から形成す
ることができ、遮蔽効果のために接地する。上部フラン
ジ157及び下部フランジ158は、空密閉を維持しな
がら、DPA40をそれぞれ真空マニホルド24及び真
空管路31に接続する。
【0100】標準RF電源装置は、インピーダンスが5
0Ωとなるように設計される。したがって、コイル15
2のインピーダンスが50Ωとなるように、RF電源装
置のコイル152への接点(点156)を選択しなけれ
ばならない。電源装置に別のインピーダンス・レベルが
必要な場合には、点156をそれに従って選択すること
ができる。
【0101】コイル152はRF電源装置により50W
以上の電力レベルで駆動するが、500W以上のレベル
で駆動することが望ましい。こうした条件下で、プラズ
マの発生は最大限になり、均一性の問題は無くなる。コ
イル152によって発生する実際の電圧は、RF電源装
置によって使用される電力、コイル152の長さ及び巻
線間隔、コイルの抵抗など、多数の要素によって異な
る。電圧はコイルに沿って均等に展開するので、コイル
全体の電圧レベルは、コイルを大地に接続する位置(点
155)とRF電源装置に接続する位置(点156)と
の間のレベルを求めることによって決定することができ
る。例えば、特定のコイルが点155と156の間のコ
イル部分の4倍の長さである場合、コイルの総電圧は、
点155と156の間の電圧レベルの4倍となる。
【0102】コイル、電力レベル、及び印加RF周波数
は、管150内で強いプラズマが形成されるように、選
択する必要がある。ただし、そのときに、コイル152
によって生じる電圧が、コイルから容器154へ電流が
放電するレベルを超えないことを確保することも必要で
ある。特定のDPAで放電が問題になる場合は、容器1
54とコイル152との間に絶縁材を挟むことが可能で
ある。しかし、設計を簡素化するために、容器154と
コイル152の間の空間には空気を満たしておくことが
望ましい。
【0103】DPA40の長さと大きさは変えることが
できる。適用用途によっては、DPA40はわずか4〜
6cmの長さ又はそれより短くすることもできる一方、
他の適用用途では、DPA40を真空管路31の全長
(4〜5フィート又はそれ以上)に及ぶ長さとし、した
がって管路と置き換えることもできる。同様の設計であ
れば、短いDPAより長いDPAの方が、より多くの粉
粒体を補集し、したがって除去することができる。DP
Aの設計は、空間の問題と残留物補集効率とのバランス
を取らなければならない。しかし、最新技術の捕捉機構
を取り入れたDPAは短くても、処理チャンバから排出
される全粉粒体の99.9%を補集及び捕捉することが
でき、長さは重要な要素にならない。コイルの長さは、
RF波長の1/4より少し長くする必要があるので、コ
イルの長さと使用するRF周波数との間には直接の関係
がある。コイルが長ければ、低い周波数のRF電力信号
が必要になる。
【0104】先に述べたように、DPA40は処理手順
の特定の期間中に作動を開始(ON)したり停止(OF
F)することが望ましいが、DPAは受動装置として構
成することもできる。受動装置としてのDPA40に
は、充分なRF電力信号が連続的に供給されるので、特
別な制御信号やプロセッサ時間を、DPAの作動の開始
や停止のために使用する必要は無い。
【0105】4.螺旋コイル、機械的トラップ及び静電
トラップの第1実施形態 図12は、別の実施形態のDPA40の断面図である。
図12に示すDPA40の実施形態は、第1内部セラミ
ック管160及び第2外部セラミック管162を含む。
管160の端部は管162の円筒形の空間内にあるの
で、DPA40内のガスの流れは、矢印164で示すよ
うになる。
【0106】螺旋共振器コイル166は管162の外側
の周囲に巻き付けられ、図11の実施形態に関連して述
べたように、RF電源装置168に接続される。コイル
166は、管162の内側か、あるいは管160の外側
の周囲又は内側に巻き付けることもできる。
【0107】上記の容器150と同様のシェル168
で、内管160及び外管162を閉囲する。外管162
は、内管160又はシェル168のいずれかへの接続に
よって支持することができる。いずれの場合も、外管1
62の支持構造により、放出ガス流がDPA40内を通
過できるようにすることが重要である。この目的のため
に、支持構造は、複数の貫通穴を有する管160と16
2の間の平面状のセラミック材とするか、管160と1
62の間に伸長する4つの細長い接続部又はフィンガの
うちの3つだけにより構成するか、あるいは多くのその
他の同様の方法で設計することができる。貫通穴を有す
る構造は、以下で説明する補集領域170内で粉粒体を
補集及び捕捉するのに役立てることができる。しかし、
この構造は、DPA40を介して排出されるガスの流速
を低下させないために、穴が充分な大きさとなるように
設計する必要がある。
【0108】この実施形態のDPA40の設計により、
粉粒体の捕捉が強化され、したがってその分解が強化さ
れる。この設計は、排気ガス流内の微粒子を補集及び保
持する機械的トラップとして作用し、図4(a)のトラ
ップ62と同様の方法で、捕捉された微粒子がDPAの
その他の部分を通過して真空管路31内に流入できない
ようにする、管162の補集領域170を含む。微粒子
は形成されたプラズマにより分解されるまで、トラップ
内に維持され、プラズマにさらされる。
【0109】本実施形態のDPA40のトラップ部の動
作は部分的に重力に依存し、微粒子をDPA装置から真
空管路内に掃引しようとする放出ガスの流路にも拘ら
ず、粉粒体をトラップ内に保持するように作用する。し
たがって、部分的に、DPA40の有効性は、微粒子が
反応してガス状生成物になるまで微粒子が管162から
流出するのを防止する外管162の能力に依存する。こ
の目的のために、補集領域170がDPAの入口から下
向きになり、かつ、外管162が重力の作用と共にこの
トラップを形成するのに充分な長さとなるように、DP
A40を配置することが重要である。
【0110】DPA40内の面176におけるガス流路
の断面積を増加すると、粉粒体の捕捉にさらに役立つ。
任意の堆積工程における放出ガス流の流速は、一般に一
定である。したがって、1つ又はそれ以上の流路の断面
積を増加すると、ガス流の微粒子の速度が低下し、これ
に相応して微粒子に対する中性抵抗力が低下する。微粒
子に対する重力が中性抵抗力を超えると、その微粒子は
重力によってDPA40の重力トラップ内に捕捉され
る。
【0111】機械的トラップの効果をさらに高めるため
に、補集領域170付近に静電集塵機172を配置する
ことができる。静電集塵機172は、DC又はAC電源
に接続した小型電極とすることができる。静電集塵機1
72に印加される極性及び電荷の量は、適用用途に特定
的であり、個々の適用用途で排出される粉粒体の極性の
タイプ及び一般的な荷電レベルによって異なる。
【0112】本発明では、様々な静電トラップ装置を使
用することができる。こうした静電集塵機の別の実施形
態については、図13(a)、図13(b)及び図13
(c)に関連して以下で詳しく説明する。
【0113】5.螺旋コイル、機械的トラップ及び静電
トラップの第2実施形態 図13(a)は、DPA40の別の実施形態の断面図で
ある。図13(a)の実施形態は、図12の実施形態と
同様の機械的トラップ設計を使用し、また変形した静電
トラップをも使用している。さらに、放出ガスは、上部
フランジの反対側ではなく、上部フランジ181に隣接
して配置した側部フランジ180を介して排出される。
フランジ180は、外管186ではなく、外部ケーシン
グ184との真空密閉を形成するように配置する。ケー
シング184は金属又は同様の材料で形成し、管186
はセラミックなどの絶縁材で形成する。
【0114】この実施形態のDPAへのRF電力は、R
F電源との接続点188と点189(接地)との間のイ
ンピーダンスが50Ωとなるように設計された、外部コ
イルを介して供給される。上述の通り、コイルが標準R
F電源によって駆動できるように、コイル187は50
Ωのインピーダンスを持つように設計する必要がある。
内部コイル190は、内管185の内側に巻き付ける。
内部コイル190は、外部コイル187に供給されるR
F信号を誘導によって受け取り、プラズマ反応を駆動す
るために必要な電圧電界を形成する。
【0115】中央ワイヤ192は内管185の中心部を
走り、中央和や192と内部コイル190との間に電位
差が発生し、DPAを通過する粉粒体が静電気により捕
捉される。電位差は、多くの様々な方法によって形成す
ることができる。全ての方法で、中央ワイヤ192及び
コイル190は電極として作用する。一実施形態では、
中央ワイヤ192を接地し、正のDC又はAC電圧をコ
イル190に印加する。図13(b)に示すように、排
出された微粒子194が負に帯電している場合、その微
粒子はワイヤ192及びコイル190によって形成され
た電圧電界(Felec)によって引き寄せられる。コイル
190を接地し、中央ワイヤ192に負の電圧を印加し
た場合にも、同様の結果を達成することができる。ただ
し、この場合、ワイヤ192は負に荷電した微粒子をコ
イル190の方に追いやる。
【0116】別の実施形態では、正のDC又はAC電圧
を中央ワイヤ192に印加し、コイル190を接地電位
に接続する。この方法では、負の荷電粒子が、図13
(c)に示すように、正に荷電したワイヤ192の位置
196に補集される。コイル190に負の電圧を印加
し、中央ワイヤを接地した場合にも、同様の結果を達成
することができる。この場合は、コイル190が負の荷
電粒子をワイヤ192の方に追いやる。
【0117】さらに別の実施形態では、ワイヤ192及
びコイル190のどちらも接地せず、代わりに両方と
も、コイル190に対しワイヤ192との間に正又は負
の電位差を生じる電圧源に接続する。いうまでもなく、
正に荷電して粉粒体が存在する場合、この粉粒体は、負
に荷電した粉粒体が補集される電極とは反対の電極に補
集される。
【0118】また、粉粒体が正の荷電粒子と負の荷電粒
子の両方を含む場合、微粒子は静電力によって補集する
ことができる。このような場合、正の荷電粒子は低電位
の電極に引きつけられ、負の荷電粒子は高電位の電極に
引きつけられる。また、このような場合、中央ワイヤ1
92にAC電圧を印加することもできる。AC電圧を中
央ワイヤ192に印加し、コイル190を接地すると、
正の半サイクル中、正の粉粒体はワイヤから反発してコ
イル90の方に追いやられる。しかし、負の半サイクル
中には、負の粉粒体がワイヤから反発し、コイル190
に補集される。このような場合、AC電圧周期は、微粒
子の応答時間より長くしなければならない。
【0119】上記のうちいずれかの場合、2つの電極間
の電界は50〜5000V/cmの間とすることができ
る。電極間の電界は、500V/cm(DC)〜100
0V/cm(AC)の間とすることが、望ましい。微粒
子が中央ワイヤ192から反発してコイル190に補集
されるかどうかは、微粒子の極性及びコイル190及び
ワイヤ192に印加される電荷によって異なる。
【0120】この設計は、コイル190と中央ワイヤ1
92との間に形成される電位差に依存するので、コイル
190は、最大限の微粒子の補集を達成するために、管
の絶縁材によってワイヤ192と分離されないように、
内管185の内部に配置する必要がある。管185の内
側に配置されたコイル190及び中央ワイヤ192は、
フッ素などのように反応性の高い様々な活性種と接触す
る。したがって、コイル190及びワイヤ192を、ニ
ッケルなど、こうした活性種と反応しない適切な導電性
材料で形成することが重要である。この実施形態では、
コイル190が微粒子を引き付けるか反発させる電位差
及びRF電力信号の両方を受けることに留意することが
重要である。
【0121】6.平行電極を含む機械的トラップ及び静
電トラップの第3実施形態 図14(a)は、機械的トラップ及び静電トラップを含
む別のDPA40の断面図である。図14の実施形態
は、1対の容量結合電極に印加されるRF電力からプラ
ズマを形成するという点で、図4(a)〜(c)に示す
実施形態と同様である。ただし、図14(a)に示す電
極は、図4(a)〜(f)の実施形態における実質的に
同一表面積の平行平板電極ではなく、外周円筒形の電極
402、404である。図14(b)に示すように、円
筒形の性質のため、電極402の電極404に対する表
面積の比率は、DPAの部分によって異なる。例えば、
1つの実施形態の場合、電極404に対する電極402
の表面積に比率は、内部流路405aでは約3:1であ
る。この同じ実施形態でも、外部流路405bでは、電
極404に対する電極402の表面積の比率は約1.
3:1である。
【0122】電極402、404は、処理チャンバ15
から排出されたガスが通過するガス流路405を画成す
る。電極402は接地し、電極404にはRF及びDC
電力を印加する。電極404には、FPD406を介し
てRF及びDC電力を供給する。PFD406は、テフ
ロン絶縁体408によって接地電極403から絶縁す
る。
【0123】流路405はU字形重力トラップ領域41
0を含むが、これは、電極の同心円的性質のために、丸
いドーナツの下半分のような形状である。放出ガスは入
口401からガス流路405に流入し、出口403から
流出する。
【0124】適切な場合、DPA40と処理チャンバ1
5の間にDCフィルタ412を配置し、放出ガス流内の
荷電粒子を捕捉するのに役立てるためにDPAに印加す
る電圧が、処理チャンバ内で行われる基板処理作業を阻
害しないようにする。
【0125】電極402、404を含む電気回路を示す
線図を図15に示す。図15に示すように、電極404
はDC発電機420及びRF発生器422の両方に接続
し、電極402は接地する。DC発電機420は、静電
トラップが必要とするDC電圧を供給し、RF発生器4
222は、プラズマを形成するためのRF電力を供給す
る。RF整合回路424は、反射電力を最小にするため
にRF発生器の出力インピーダンスを50Ωに整合し、
またDC/RFフィルタ(好適な実施形態では1mΩ抵
抗器)426は、DC電源420をRF電源から分離す
る。
【0126】7.平行電極を含む機械的トラップ及び静
電トラップの第4実施形態 図16(a)は、機械的トラップ及び静電トラップを含
むDPA40の別の実施形態の断面図である。図16
(a)の実施形態はまた、DPAに排出される放出ガス
から容量結合プラズマを形成する、均等間隔で配置され
た平行電極430、432をも含む。電極430は、図
14(a)の実施形態と同様の方法でRF及びDC電源
に接続し、電極432は接地する。
【0127】各電極はシートメタルから形成し、それを
曲げてガス流路435を形成する。反応チャンバ15か
らの放出ガスは、入口434からガス流路に流入し、出
口436から流出する。ガス流路435は、隣り合わせ
に配置され、かつ、電極430の一部によって初期分離
された2つのガス流路、すなわち流路435a及び流路
435bを有する。ガス流路をこのような方法で2つの
別個の流路に分割することにより、固定された領域内の
電極430、432の表面積が増加する。電極430、
432は、DPA内におけるRFプラズマ形成によって
発生する高温条件下で溶融及び/又は湾曲しないよう
に、充分な厚さを持たなければならない。他の実施形態
では、電極430、432をアルミニウムから機械加工
することができる。
【0128】図16(b)は、図16(a)に示したD
PAの斜視図である。図16(b)のDPA40は、そ
の他のすでに述べたDPAの実施形態の場合と同様のア
ルミニウムのケーシング440に収容する。ケーシング
440は、ねじ442でDPAに取り付けた扉441を
含む。この扉を取り外すことにより、DPA40を掃除
することができる。また、PFD接続部438を介して
電極430に、RF及びDC電力も供給される。
【0129】8.マイクロ波の第1実施形態 図17(a)は、DPA40の別の実施形態の側面断面
図であり、図17(b)は、図17(a)に示した実施
形態の正面図である。図17(a)及び(b)の実施形
態は、マイクロ波源を使用してプラズマを発生し、DP
A内の粉粒体及び残留物を削減する。多数の様々なマイ
クロ波源が利用可能であるが、購入価格及び運転経費の
理由から、1対の交互パルス・マグネトロン(alternat
ively pulsed magnetron)450(例えば一部の電子レ
ンジで使用されている種類のマグネトロン)を使用する
ことが望ましい。このようなマグネトロンは、CWマイ
クロ波発生装置やRF発生器より価格的に2桁以上安価
である。
【0130】図18(a)に示すように、各マグネトロ
ン450は、交差パルス(60Hz)電界(2.45G
Hz)を発生する。図18(b)に示すように、1つの
マグネトロンのパルスを他のマグネトロンに対して18
0度の位相差分遅らせることにより、2つのマグネトロ
ン源は、図18(c)に示すように、常に120Hzで
パルスすることができる。図18(c)で、第1サイク
ルの波形(M1)は、1つのマグネトロンによって生成
され、第2サイクル(M2)はもう1つのマグネトロン
によって生成される。マグネトロンによって発生するエ
ネルギは、高いプラズマ密度で90%に近いイオン化効
率を達成することができる。したがって、そうした電力
源では、一般に10〜20%の間のイオン化効率を達成
する容量結合電極より高いクリーニング効率が得られ
る。
【0131】マイクロ波源のさらに別の利点は、ジュー
ル効果による発熱の減少である。発熱が少ないので、ガ
ス流路456を定義する電極452、454(図17
(a))を、比較的薄いシートメタルから容易に形成す
ることができる。ガス流路456は、入口458から始
まり、出口460で終わる。流路は、図16(a)の実
施形態のガス流路435と同様の方法で分割され、二重
経路を取る。また、入口458は、図17(b)のプロ
ファイル464で示すように、ガス流路456の開始部
がDPA40内に朝顔形に張り出している。
【0132】マグネトロン450は、DPA40の両側
に配置する。マイクロ波電力は、適切な導波管462
(図17(b))によって反応装置に結合される。マグ
ネトロン及び導波管は結合して、マイクロ波をガス流路
456の幅全体に投影し、プラズマ形成がガス流路全体
で発生できるようにする。シートメタル電極間の距離
は、電界の各ノード(強さゼロの点)が電極表面にくる
ように、マイクロ波の波長に従って調整することができ
る(つまり、電極板間の距離は、マイクロ波の波長の周
期の2分の1の倍数とする必要がある)。マグネトロン
450と導波管462の配置のため、プラズマはガス流
路456のあらゆる部分で形成される。セラミックの扉
466(図17(b))は、マグネトロンと導波管を電
極452、454から分離し、外側ケーシング468は
DPAを閉囲し、第2水準の密閉を提供する。
【0133】DC電源(図示せず)は電極452に結合
され、堆積作業中又はその他の基板処理作業中に、上述
の静電集塵機となる。しかし、クリーニング作業中にマ
グネトロン450を起動するときは、電極452のDC
電源のスイッチ(図示せず)を切り、電極を接地する。
このときの電極の接地への切替えは、放電を防止するた
めに必要である。
【0134】9.マイクロ波の第2実施形態 図19(a)は、DPA40の別の実施形態の側面断面
図であり、図19(b)は、図19(a)に示す実施形
態の正面図である。図19(a)及び(b)の実施形態
は、マグネトロン450を用いてDPAプラズマを発生
させるという点で、図17(a)及び(b)の実施形態
と同様である。
【0135】しかし、図19(a)に示すように、この
実施形態のDPA40は、入口457のすぐ下流に配置
された初期モジュール472を含む。モジュール472
は、クリーニング・サイクル中のプラズマの発生を目的
とするものであり、イオン化効率を高めて、エッチング
・ガスの自由基(例えばCF4 をエッチング・ガスとし
て使用する場合、CFx 及び自由F)を発生できるよう
にする。こうして発生された自由基は寿命が比較的長
く、DPAの第2モジュール475に送られても、堆積
物や補集された物質と反応する。
【0136】第2モジュール475は、好適な実施形態
でシートメタルから形成した相対する電極476、48
0によって画成されるガス流路470を含む。ガス流路
470は、図17(a)及び(b)の実施形態のガス流
路456と同様の設計である。これは二重流路470
a、470bを含み、出口478で終わる。
【0137】マグネトロン450に導波管を結合する。
導波管及びマグネトロンは、マイクロ波の発生によりモ
ジュール472にプラズマが形成されるように、配置す
る。陽極476の内壁は、マイクロ波がモジュール47
2より外側のガス流路470の他の部分に到達するのを
防止する。電極480はDC電源(図示せず)に接続
し、上述の実施形態と同様の静電集塵機を形成する。こ
の実施形態では、クリーニング・サイクル中に電極48
0へのDC電源のスイッチを切る必要が無い。第2モジ
ュール475ではプラズマが発生しないので、放電の問
題は無い。
【0138】10.プロトタイプDPAを使用した微粒
子削減の追加実験 本発明の有効性を実証する別の実験で、8インチ・ウェ
ハ用に装備されたPrecision 5000型反応チャンバにDP
A40の第2のプロトタイプを取り付けた。第2プロト
タイプのDPAは、DPAをフォアラインに接続するた
めに使用した下部フランジの設計以外は、図11に示し
たDPA40と同様であった。この第2プロトタイプの
DPA及び下部フランジの断面図を、図20に示す。図
20に示すように、下部フランジ200は、DPA内を
流れる排ガスの方向を転換して約90度の角度でフォア
ラインへ送り込む。このフランジはまた、フォアライン
接続部の反対側に石英窓を装備していたので、フランジ
の底部204に蓄積した堆積物を観察できる。上述の通
り、プロトタイプDPAの下部フランジのこの設計は、
図4(a)〜(f)、図12及び図13(a)に示した
DPA40の実施形態におけるU字形流路又は機械的ト
ラップの設計ほど効果的ではないが、それと同様の方法
で、領域204で粉粒体を捕捉するという追加の利点が
あった。
【0139】第2プロトタイプのこの装置は石英管20
6を含み、石英管の外部の周囲に3/8インチの銅管で
形成されたコイル208を巻き付けた。コイル208の
全長は約25フィートであり、以下の実験の記述で説明
するように、13.56MHzの電源を様々な電力レベ
ルで駆動した。石英管206及びコイル208は、アル
ミニウム容器210で密閉した。この組立体の全長は約
14インチであり、組立体の幅は約4.5インチであっ
た。
【0140】第2プロトタイプのDPAの有効性を、3
つの別個の実験で試験した。各実験では、真空排気マニ
ホルドとフォアラインの間に第2プロトタイプのDPA
を接続したPrecision 5000反応チャンバで、窒化ケイ素
堆積/CF4フッ素クリーニング作業シーケンスで、1
00枚のウェハを処理した。第2プロトタイプのDPA
は、各実験の堆積シーケンス時は作動を停止し(OF
F)、フッ素クリーニング・シーケンス時は作動を開始
して(ON)、13.56RF電源から電力を供給し
た。堆積中のOFF時に、図20に領域212として示
す管206の内部に沿って微粒子を補集した。これらの
微粒子は、クリーニング・シーケンス時にDPAが作動
を開始すると、管206から除去された。3つの実験の
それぞれの条件を下の表2に要約する。
【0141】
【表2】 第1実験では、フッ素クリーニング・シーケンスは13
5秒であり、DPAは200Wで駆動した。CF4 を1
500sccmの速度で処理チャンバに導入し、500sccm
の速度で処理チャンバに導入したN2Oと混合した
(3:1の割合)。100回の堆積/クリーニング・シ
ーケンスの後、DPAを検査し、残留物及び堆積物が全
然残っていないことが分かった。DPAの底部の角フラ
ンジに、少量の残留物の堆積が集まっていた。この残留
物の堆積の原子濃度を測定した結果を、以下の表3に要
約する。残留物内のケイ素の大部分は、ケイ素酸化物の
形で含まれており、窒素の約半分は窒化ケイ素膜に含ま
れ、残りの半分はアンモニアの形を取っていた。
【0142】第2実験では、フッ素クリーニング・シー
ケンスを120秒に短縮し、DPAを駆動する電圧は5
00Wに増加した。CF4 を2000sccmの速度で処理
チャンバに導入し、500sccmの速度で処理チャンバに
導入したN2Oと混合した(4:1の割合)。100回
の堆積/クリーニング・シーケンスの後、DPAを検査
し、残留物及び堆積物が全然残っていないことが分かっ
た。角フランジに、少量の残留物の堆積が集まってい
た。しかし、外観検査から、残留物の堆積量は、第1実
験における堆積量より約80%少なかった。
【0143】この残留物の堆積の原子濃度を測定した結
果を、下の表3に要約する。この表から明白なように、
この実験による残留物は、第1実験からの残留物よりか
なり高い濃度のフッ素が含まれていた。このフッ素濃縮
残留物から、プラズマのためにより多くのフッ素核種が
得られ、したがって、その後のDPAの起動中に残留物
を容易に除去することができる。また、この実験による
残留物内のケイ素の圧倒的大部分はケイ素酸化物の形で
含まれ、窒素の圧倒的大部分はアンモニアの形で存在し
た。
【0144】第3実験は、第1及び第2実験中に残留物
が集まりやすかった角フランジ及びDPAの両方から、
残留物を完全に除去できることを実証した。この第3実
験では、フッ素クリーニング・シーケンスの長さは12
0秒とし、DPAを駆動する電圧は500Wに増加し
た。CF4を処理チャンバに導入する速度は2500scc
mに増加し、500sccmの速度で反応チャンバに導入さ
れるN2Oと混合した(5:1の割合)。100回の堆
積/クリーニング・シーケンスの後、DPA及び角フラ
ンジを検査し、両方とも残留物及び堆積物が全然残って
いないことが分かった。
【0145】残留物の有無及び組成について、これらの
実験の結果を以下の表3に要約する。
【0146】
【表3】 B.PFC削減のために最適化されたDPA40の特定
の実施形態本発明の一部の実施形態は、PFCガスを放
出する任意の処理工程から放出されるPFCガスを削減
するように構成され、最適化されている。そのように構
成したDPAのことを、PFC削減反応装置と呼ぶこと
がある(以下「PR2」という)。簡便さと参照のため
に、PR2装置として構成し最適化したDPA40のこ
とを、本明細書の以下の部分ではPR2 240と記載す
る。PR2 240が、図3に示すDPA40の場合と同
様に、反応チャンバに接続できることは理解されるはず
である。
【0147】本発明を使用してPFCの放出を削減する
ことのできる処理工程の例として、ケイ素酸化物の堆積
/クリーニング・シーケンスを使用する。ただし、本発
明は以下の典型的なプロセス・シーケンスにおけるPF
C放出の削減のみに限定されず、PFCガスを反応チャ
ンバ15に導入するいかなる処理工程にも、また反応チ
ャンバ15で行われる処理作業の副生物としてPFCガ
スが発生するいかなる処理工程にも適用できることを、
理解する必要がある。さらに、本発明は、ハイドロフル
オロカーボン(HFC)など他の物質、又は同様のガス
の放出を削減するためにも、使用することができる。
【0148】典型的な堆積/クリーニング・シーケンス
では、ケイ素酸化物の薄膜は、シラン(SiH4)及び
亜酸化窒素(N2O)などの気体前駆物質をはじめとす
るプロセス・ガスから基板上に堆積する。堆積の完了
後、基板を反応チャンバから取り出し、反応チャンバの
クリーニング作業を実行し、反応チャンバの壁から望ま
しくないケイ素酸化物の堆積を食刻し除去する。クリー
ニング作業は、CF4及びN2Oのプラズマを衝突させる
ことから成る。
【0149】上述の通り、クリーニング作業中に反応チ
ャンバに導入したCF4のうち、反応チャンバの壁に堆
積した物質と実際に反応するのは、ごく一部分である。
反応しなかった残りのCF4は、他の成分、反応の生成
物及び副生物と一緒に、反応チャンバからフォアライン
を介して排気される。
【0150】この例では、本発明のPR2は、排気され
たCF4からプラズマを形成する。プラズマから生じる
成分は、PR2内の固体ケイ素酸化物などのケイ素源と
反応し、CF4ガスを、PFCの潜在的危険性の無い低
害のガス状生成物及び副生物に変換する。PR2の内部
で行われる反応の一部を、次に列挙する。
【0151】 CFx + SiO2 → SiFx + CO2 CF4 + O2 → CO2 + 2F2 2CF4 + O2 → 2COF2 + 2F2 C + SiO2 → CO + SiO SiO + F2 → SiOF2 いうまでもなく、厳密な反応及び反応シーケンスはもっ
と複雑であり、核種の電子衝突解離や気相自由基の再結
合などの元素反応が起こる。PR2 から放出されること
が知られている、上記の生成物及び副生物はどれもPF
Cではない。実際、上記の生成物及び副生物は全て水溶
性である。したがって、PFC変換反応が100%の効
率で行なわれた場合、本発明のPR2 から放出されるガ
スは、PFCを含まないガスである。
【0152】上記説明は典型的な例示の目的だけであ
り、CF4以外のPFCガスを本発明のPR2 に導入し
てプラズマを形成すると、これらのPFCガスのプラズ
マもまた、ケイ素酸化物源と反応して、PFC以外のP
FCほど有害ではないガス状生成物を発生する。
【0153】動作中、PFCガスを真空チャンバ15か
ら真空管路31に排気するときに、これらのガスはPR
2 40を通過する。PR2 40の内部で排ガスは電界に
さらされ、プラズマを形成及び/又は維持する。プラズ
マから生じた成分は、PR240内のケイ素及び/又は
酸素化合物などのPFC酸化剤と反応し、放出PFCを
PFC以外の低害ガス状生成物に変換し、これらの生成
物はフォアラインを介してポンプで排気することができ
る。放出PFCガスはクリーニング作業中に排気流に存
在するので、一般に、プラズマの形成が行なわれる(P
2 40を起動する)のは、堆積/クリーニング・シー
ケンスのうち、クリーニング作業中だけである。したが
って、堆積シーケンス中には、PR2 40内でプラズマ
は一般に形成されない。ただし、堆積又はその他の処理
段階(例えば、フッ素ガスが炭素包含フォトレジストと
反応してCF4副生物を生じるエッチング段階)で、特
定の処理工程からPFCガスが放出される場合には、P
2 240を起動して、その期間のPFC放出を削減す
ることができる。
【0154】PFCプラズマと反応するPR2 240内
のケイ素及び酸素は、様々な供給源から供給することが
できる。本発明の幾つかの実施形態では、特別設計のフ
ィルタに、プラズマ反応用の砂又は石英など、固体の酸
化ケイ素化合物を包含する。ケイ素フィルタは、PR2
240のプラズマが形成される領域内に配置する。本発
明の他の実施形態では、堆積/クリーニング・シーケン
スのケイ素酸化物、窒化ケイ素、シリコン・オキシニト
リド(silicon oxynitride)、炭化ケイ素、又は同様の
堆積段階から排出される残留物を、DPA40に関連し
て説明したのと同様の方法で、PR2 240内で捕捉及
び補集する。こうした堆積工程中に捕捉できる一般的な
残留生成物として、SiO2、SiN、SiON、Si
Cなどの化合物がある。いうまでもなく、補集される実
際の残留物は、堆積又はその他の処理工程中に導入され
るガスによって異なる。補集された残留物は、プラズマ
反応のケイ素源として作用する。捕捉は、図7に関連し
て先に説明したように、伝熱力と共に、機械的トラップ
及び/又は静電トラップ機構を用いて行われる。これに
ついては、本発明の様々な実施形態に関連して、以下で
さらに詳しく説明する。いったん捕捉されたケイ素残留
物又はその他の粉粒体は、PFCプラズマ内の活性種と
反応してガス状生成物を形成し、真空管路31を通して
排気されるまで、PR2 240内に残る。本発明のさら
に別の実施形態では、特に放出PFCガスの分解を強化
するため、ケイ素包含ガス及び/又は酸素包含ガスをP
2 240内に導入する。これらのケイ素及び/又は酸
素包含ガスの導入は、ケイ素フィルタ及び/又は静電ト
ラップ及び/又は機械的トラップ機構の使用に追加し
て、又はそれらの代わりとして用いることができる。
【0155】プラズマを形成するためにPR2 240内
で形成される電界は、容量結合電極、ホロー(中空)陰
極リアクタ、又は誘導結合コイルにRF電力(好適な幾
つかの実施形態においては、設備コスト及び運転コスト
を最小化するためにRF電力の代りに、HF電力(<3
50kHz)が用いられる)を印加するなど、周知の様
々な方法を使用して発生することができる。マイクロ波
装置やECR技術を使用することもできる。しかし、P
FC変換は形成されるプラズマの密度に直接関係するの
で、一部の実施形態では、誘導コイルやホロー陰極リア
クタなど、高密度のプラズマを形成する装置が望まし
い。PFC変換は、プラズマが形成される電力にも直接
関係するが、PR2 40装置内のPFCガスの滞留時間
には反比例する。したがって、電源装置の実際の電力出
力は、とりわけ、PR2 を使用する適用用途、プラズマ
の密度、PR2 240で処理するPFCガスの量、及び
PFCガスの滞留時間などによって異なる。PR2 24
0は、PR2 を通過する実質的に全てのPFCガスをそ
の他のガスに変換するのに充分なプラズマを発生するこ
とが、理想である。
【0156】また、PR2 240は、その使用が実行さ
れるプロセスに透過的になるように設計する必要があ
る。つまり、クリーニング・シーケンスでPR2 240
を作動する場合、PR2 240は、クリーニング・シー
ケンス中に、クリーニング・シーケンスの実行時間を延
長することなく、排ガス流内の実質的に全てのPFCガ
スを非PFCガスに変化するように、設計する必要があ
る。このような場合、PR2 240はウェハのスループ
ットに悪影響を及ぼさない。
【0157】RF電力はRF電源装置25から駆動する
ことができ、また、PR2 240だけを駆動する別個の
RF電源装置から供給することもできる。大抵の実施形
態では、マイクロ波ECR実施形態を際だった例外とし
て、周波数の低いRF電力を用いてPR2 240を駆動
することが望ましい。約50KHz〜2MHzのRF電
力を供給する周波数の低いRF電源装置を使用すると、
13.56MHzなどの高いRF周波数の場合より、運
転経費がかなり安価になる。クリーン・ルームに複数の
処理チャンバが存在すると仮定した場合、処理チャンバ
に接続した複数のPR2 は全て、適切な数のRF電力ス
プリッタに接続された別個のPR2 専用RF電源装置に
よって駆動することができる。
【0158】PR2 240の長さ及び大きさは変化させ
ることができる。適用用途によっては、PR2 240は
わずか4〜6インチ又はそれ以下の長さとすることがで
き、また別の適用用途では、PR2 240は真空管路3
1の全長(4〜5フィート以上)にわたるものとし、し
たがって管路に置き換えることができる。一般に、個々
の分子の滞留時間は、PR2 の長さ及び容量の増加と共
に増加する。PR2 の設計は、空間の問題と残留物補集
効率のバランスを取らなければならない。しかし、適切
に設計された微粒子トラップ機構又はフィルタを含むP
2 40は長さが短くあるいは容積が小さくても、処理
チャンバから排出される実質的に全てのPFCガスを、
低害のガスに変換することができ、長さや量席はあまり
重要な要因にならない。
【0159】本発明の装置は様々な実施形態を構成する
ことができる。そうした実施形態の幾つかを、模範例と
して以下で説明する。なお、いかなる形でも、本発明が
こうした特定の実施形態に制限されると解釈すべきでは
ない。
【0160】1.ケイ素包含フィルタ(Silicon-Filled
Filter)の実施形態 a)単管、螺旋共振器の実施形態 図21は、PR2 240の第1実施形態の断面図であ
る。図21のPR2 240は、処理チャンバ15からの
排ガスがPR2 240内を通過するときに流れる管25
0を含む。管250は、セラミック、ガラス又は石英な
どの絶縁材で形成した円筒管である。好適な実施形態で
は、管250は、クリーニング段階で使用するフッ素な
どのエッチング・ガスと反応しないセラミック材で形成
する。また、管250は、真空管路31の内径とほぼ同
一の内径を持つ。他の実施形態では、管250は必ずし
も円筒形である必要は無く、その代わりに角がある形、
平面形、又は楕円形、又は同様の湾曲した内部表面を持
つことができる。これら及びその他の実施形態では、管
250の内径も、真空管路231の内径より大きくある
いは小さくすることができる。
【0161】管250の内部にはフィルタ251があ
る。フィルタ251は多孔フィルタであり、プラズマ条
件下でPFCガスと反応してガスを非PFCガスに変換
することのできる固体ケイ素源を含む。フィルタ251
は管251内に挿入できる消耗部品であってもよく、ケ
イ素化合物を使用し終わったら交換することができる。
フィルタ251内のケイ素源は、多数のケイ素を包含す
る材料のどれでもよい。望ましくは、ケイ素源は、砂や
ガラス、石英、フリント、又はオニキスなどのケイ素酸
化物材とする。また、フィルタは、ポンプ速度やフォア
ラインのコンダクタンスに著しい影響が出ないように充
分多く孔を有することも望ましい。
【0162】ケイ素酸化物材を使用することにより、P
FCプラズマが反応するケイ素と酸素の両方が得られ
る。好適な実施形態では、ケイ素源として粉砕石英(cr
ushedquartz)を使用する。石英を粉砕することで総表
面積が増大し、より多くのケイ素を反応に利用すること
ができる。さらに、粉砕石英は、堆積工程で発生する固
体残留物の機械的フィルタとしても機能し、したがっ
て、ケイ素残留物を発生する処理工程でそうした材料が
反応チャンバ15から排出されるときに、反応のために
さらにケイ素材を追加的に捕捉することができる。
【0163】管252の外側の周囲にコイル252を巻
き付け、点256でRF電源に接続し、点257で接地
電位に接続する。管250内を通過するPFC排ガス
は、RF電源からコイル252への電圧の印加によっ
て、プラズマ状態に励起する。プラズマ状態で、排気物
質から生じる成分は、フィルタ251内の反応物質の固
体ケイ素酸化物と反応し、PFCではないガス状生成物
を形成する。この生成物は次に、上述の通りポンプ・シ
ステム32によってPR2 240及び真空管路31から
排気される。
【0164】ガス供給管路253は、PFC変換反応を
強化するために、酸素及び/又はケイ素の源となる追加
ガスを供給することができる。使用できる典型的なガス
として、O2 、O3 、N2O、SiH4などがある。いう
までもなく、テトラエチルオルソシリケート(tetraeth
ylorthosilicate 、TEOS)などの液体源を蒸気に
し、管路53から導入することもできる。追加反応強化
ガスをPR2 40に導入する速度は、バルブ255を制
御するプロセッサ34によって設定される。プロセッサ
34は、制御ライン(図示せず)によって通信可能に
(communicatively)バルブ255に結合する。
【0165】コイル252は、螺旋共振器コイルなどの
誘導コイルである。このようなコイルは当業者によく知
られており、Michael A. Lieberman and Allan J. Lich
tenberg, "Principles of Plamsa Discharges and Mate
rials Processing," pp.404-410 John Wiley & Sons (1
994)など、多数の周知の教科書のどれにでも記載された
基準に従って設計することができる。なお、これを引用
によって本明細書に組み込む。螺旋共振器コイルは、導
電性の高い銅やニッケル、金などの金属、又は同様の導
電性材料から形成することができる。コイルを適切に共
振するには、コイルの長さが印加するRF信号の波長の
ほぼ1/4又はそれよりわずかに長いことが重要であ
る。この長さのコイルはより強い電圧電界を形成し、そ
れが今度はPFCガスの分解を高める。コイル252
は、管の外側ではなく、管250の内部に巻き付けるこ
とができる。
【0166】外部容器254で管250を取り囲む。容
器254には、少なくとも2つの目的がある。第1に、
これはファラデー・ケージとして作用し、CVD処理装
置10やその他の機器を、コイル252によって生じる
電磁放射から遮蔽する。第2に、セラミック管250が
破損するか亀裂が入った場合、又は別の方法で管250
の真空密閉が破れたとき、容器254は第2密閉を提供
し、排ガスが漏出するのを防止する。容器254は、ア
ルミニウムや鋼、又はその他の化合物など様々な金属か
ら形成することができ、遮蔽効果のために接地すること
が望ましい。上部フランジ259及び下部フランジ25
8は、真空密閉を維持したまま、PR2240をそれぞ
れ真空マニホルド24及び真空管路31に接続する。
【0167】標準RF電源装置は、出力インピーダンス
が50Ωとなるように設計される。したがって、コイル
252のインピーダンスが50Ωとなるように、RD電
源装置のコイル252への接点(点256)を選択しな
ければならない。電源装置に別のインピーダンス・レベ
ルが必要な場合には、点256をそれに従って選択する
必要がある。
【0168】コイル252はRF電源装置により50W
以上の電力レベルで駆動する。コイル252によって発
生する実際の電圧は、RF電源装置によって使用される
電力、コイル252の長さ及び巻線間隔、コイルの抵抗
など、多数の要素によって異なる。電圧はコイルに沿っ
て均等に展開するので、コイル全体の電圧レベルは、コ
イルを大地に接続する位置(点255)とRF電源装置
に接続する位置(点256)との間のレベルを求めるこ
とによって決定することができる。例えば、特定のコイ
ルが点255と256の間のコイル部分の4倍の長さで
ある場合、コイルの総電圧は、点255と256の間の
電圧レベルの4倍となる。
【0169】コイル、電力レベル、及び印加RF周波数
は、管250内で強いプラズマが形成されるように、選
択する必要がある。ただし、そのときに、コイル252
によって生じる電圧が、コイルから容器254へ電流が
放電するレベルを超えないことを確保することも必要で
ある。特定のPR2 で放電が問題になる場合は、容器2
54とコイル252との間に絶縁材を挟むことが可能で
ある。しかし、設計を簡素化するために、容器254と
コイル252の間の空間には空気を満たしておくことが
望ましい。
【0170】b)単管マイクロ波の実施形態 図22は、PR2 240の第2実施形態の断面図であ
る。図22に示すPR2240の実施形態は、図21に
示す実施形態と多くの同一要素を含む。したがって、簡
便さのために、図22及び本願の他の図面では、同様の
要素を参照するために同様の参照番号を使用する。ま
た、簡便さのために、図22及び他の図面における新し
い要素についてのみ、以下で、適宜詳しく説明する。
【0171】図22において、マイクロ波発生装置26
0及び導波管262は、PR2 240に流入する放出P
FCガスから高密度のプラズマを発生させるために使用
されている。電子サイクロトロン共振(ECR)装置の
場合と同様に、管250の外部の周囲に磁石264を配
置し、管250内の気体分子をさらに活性化し、プラズ
マの形成を増強する。プラズマから生じる成分は、フィ
ルタ251内のケイ素酸化物材と反応し、PFCガスを
非PFCガスに変換する。上述の実施形態の場合と同様
に、この変換工程を強化するために、ガス管路253か
らPR2 240に追加ガスを加えることができる。
【0172】図22に示すPR2 240の実施形態は、
図には示さないが、容器254のような外部ケーシング
内に収容することが望ましい。外部ケーシングは第2密
閉を維持するので、管250に漏れやその他の欠陥が生
じた場合に、管250内を通過するPFCやその他のガ
スがPR2 240から漏出するのを防止する。
【0173】c)螺旋コイル・ホロー陰極リアクタ 図23は、PR2 240の第3実施形態の断面図であ
る。図23の螺旋共振器コイル266は円筒形金属管2
68内に配置し、PR2 240の実施形態である螺旋コ
イル・ホロー陰極リアクタを形成する。コイル266は
HF又はRF電源装置269に結合し、管268は接地
する。PR2 240のこの実施形態のその他の応ぞう
は、図23に示されていない。こうした構造は、例えば
ガス管路253、バルブ255、フランジ258、25
9、容器254等を含むが、これらは図17に示すPR
2 240の場合と同様である。
【0174】HF又はRF電力をコイル266に印加す
ると、コイルに印加されたRF電力からコイル内に誘導
結合プラズマが形成され、またコイルと管268の間に
容量結合プラズマが形成される。コイル266及び管2
68は、プラズマから生じる非常に反応性の高いフッ素
核種にさらされるので、これらの部品はそうした核種と
反応しない、ニッケルなどの適切な導電性材料で形成す
る必要がある。ケイ素フィルタ(図示せず)をコイル2
66内及び/又はコイル266の周囲に配置し、PFC
プラズマと反応するケイ素及び酸素を供給することがで
きる。さらに、ケイ素及び/又は酸素包含ガスを、ガス
管路253からプラズマに供給することもできる。
【0175】d)多段ホロー陰極リアクタ 図24は、PR2 240の第4の、より好適な実施形態
の断面図である。図24では、円筒形の陽極272、陰
極274、及び絶縁バリア275によって、円筒形のガ
ス流路が形成されている。陰極274はHF又はRF電
源装置269に接続し、陽極272は接地する。絶縁バ
リア275は陽極272を陰極274から絶縁する。こ
の交互電極/陰極構成により、高密度のプラズマ(10
12イオン/cm3 規模)を発生できる多段ホロー陰極リ
アクタが形成される。リアクタの各段階(陽極/陰極
対)は、領域276として示す流路内の陰極に近接する
領域で、高密度プラズマを発生する。
【0176】ホロー陰極構成及び高プラズマ密度を維持
すると共に、この実施形態のPR2240内の分子の滞
留時間を延ばすために、流路270内の圧力を、フォア
ラインのPR2 240の直後に配置した別個のスロット
ルバルブ(図示せず)により、制御することができる。
制御圧力は、100〜500mtorr(フォアラインの基
本圧力)から処理チャンバ内の圧力(PECVDプロセ
スの場合は4〜20torr、SACVD又はAPCVDプ
ロセスの場合は700torr又はそれ以上)までの範囲と
することができ、実際の圧力はPFC変換が最大限に行
われるように設定する。
【0177】この多段ホロー陰極リアクタ設計の別の実
施形態では、HF又はRF電力ではなく、DC電力を陰
極274に供給することができる。しかし、DC電源か
らの方向性DC電流は電極を食刻する場合があるので、
HF又はRF電力を供給することが望ましい。HF又は
RF電源を使用すると、このスパッタ・エッチング効果
は著しく改善されるか、全く存在しなくなる。大抵の好
適な実施形態では、機器購入費及び運転経費を軽減する
ために、HF電力を使用する。
【0178】図23のホロー陰極リアクタ設計の場合と
同様に、PFC変換プロセスを適宜強化するために、ケ
イ素フィルタ(図示せず)又はガス管路253から供給
されるケイ素及び/又は酸素包含ガスを使用することが
できる。また、図17に示すPR2 240の場合と同様
のガス管路253、バルブ255、フランジ258、2
59、容器254等の構造も、図20には示されていな
い。
【0179】2.ケイ素微粒子トラップの実施形態 PFCクリーニング・シーケンスの前に処理チャンバ1
5で行われる堆積、エッチング、又はその他の処理工程
でケイ素包含残留物が生じる場合、本発明の装置の特定
の実施形態によりそうした残留物を捕捉及び補集し、ケ
イ素源として使用することができる。したがって、これ
らの実施形態では、特別設計のケイ素フィルタを必ずし
も必要としないが、使用してもかまわない。
【0180】ケイ素残留物を発生する堆積工程の例とし
て、TEOSやシランケイ素酸化物堆積工程、シラン窒
化ケイ素堆積工程などがあるが、これだけに限定されな
い。そうした工程で排出され、PFC変換反応のために
捕捉することのできるケイ素残留物としては、Si
2、SiN、SiON、SiC、非晶質ケイ素、及び
同様の化合物が挙げられる。いうまでもなく、補集され
る実際の残留物は、使用される堆積、エッチング、又は
その他の工程に導入されるガスによって異なる。
【0181】これらの堆積又はその他の処理作業から排
出される残留物は一般に、補集され、したがって最終的
に処理チャンバの壁からきれいに掃除されるのと同じ残
留物である。したがって、これらの実施形態におけるP
FCガスから非PFCガスへの変換は、クリーニング作
業中に処理チャンバ内で行われる反応と同一の反応によ
って達成される。
【0182】a)単管螺旋共振器の実施形態 図25は、PR2 240の第5実施形態の断面図であ
る。図25に示すPR2240の実施形態は、PFCプ
ラズマから生じる成分が反応するケイ素源が、挿入フィ
ルタ内の固体ケイ素化合物ではなく、相対する電極28
0、282を含む静電集塵機によって捕捉されたケイ素
包含残留物であるという点を除いては、図21に示す実
施形態と同様である。ケイ素包含残留物は、DC電源装
置284から電極280と282の間に印加される電圧
によって、堆積又はその他の処理段階中に捕捉又は補集
される。印加電圧は、電極282を電極280に比べて
正に荷電させる(又はその逆)。残留微粒子がPR2
40を通過すると、正に荷電した微粒子が負に荷電した
電極280の方向に引きつけられて補集され、負に荷電
した粒子は正に荷電した電極282の方向に引きつけら
れて補集される。使用する堆積プロセス及びプロセスの
長さによって、電極280、282に数ミリ又はそれ以
上のケイ素包含残留物が堆積することがある。
【0183】堆積シーケンスが完了し、クリーニング・
シーケンスが開始した後、図21に関連して説明したの
と同様の方法で、PR2 240に排出されたPFCガス
からプラズマが形成される。プラズマから生じる成分
は、電極280、282で補集されたケイ素残留物と反
応し、非PFC生成物及び副生物を生じる。電極280
と282の間の電圧(電位差)は、電極に沿って補集さ
れた微粒子がPFCプラズマと反応するまでそこに維持
されるように、クリーニング・シーケンス中、維持する
ことができる。しかし、特定の処理工程に使用するクリ
ーン・ガス又はその他のガスが電極を食刻する場合に
は、クリーニング・シーケンス中に電圧を切ることが望
ましい。電極280、282は、管250の内部に配置
されているので、フッ素など非常に反応性の高い様々な
核種と接触する。したがって、電極280、282は、
そうした核種と反応しないニッケルなどの適切な導電性
材料で形成することが重要である。
【0184】本発明のこの実施形態及びその他の実施形
態に、様々な静電トラップ装置を使用することができ
る。例えば、正のDC電圧ではなく、負のDC又はAC
電圧を電極282に印加するとことができる。さらに別
の実施形態では、電極280及び282を両方とも、電
極282に大使電極280から正又は負の電圧を形成す
る電圧源に接続する。本発明は、特定の静電集塵機に限
定されない。
【0185】b)単管マイクロ波の実施形態 図26は、PR2 240の第6実施形態の断面図であ
る。図26では、逆の極性を持つ電極(電極286、2
88)を円筒形の管250内に交互に配置し、上述の静
電集塵機を形成する。堆積シーケンス中に、ケイ素包含
又は酸素包含残留物又は同様の物質を、電極286、2
88の表面上に補集する。
【0186】図22に示すPR2 240の実施形態の場
合と同様に、図26の実施形態は、マイクロ波発生装置
260及び導波管262からマイクロ波電力を印加する
ことにより、装置内を通過するPFCガスから高密度プ
ラズマを発生する。電子サイクロトロン共振(ECR)
装置の場合と同様に、管250の外部の周囲に磁石26
4を配置し、管250内の気体分子をさらに活性化さ
せ、プラズマの形成を増強する。プラズマから生じる成
分は、電極286、288で補集されたケイ素及び/又
は酸素残留物と反応し、PFCガスを非PFCガスに変
換する。変換工程を増強するために、ガス管路253
(図示せず)からPR2 240に追加ガスを加えること
ができる。
【0187】また、図26に示したPR2 240の実施
形態には、管の漏れ又はその他の欠陥が発生したとき
に、管250内を通過するPFC又はその他のガスがP
2 240から漏出できないように第2の密閉を形成す
る、管容器254又は同様のケーシング機構も示されて
いない。
【0188】c)内部及び外部円筒管の実施形態 図27は、PR2 240の第7実施形態の断面図であ
る。図27に示すPR2240の実施形態は、第1内部
セラミック管290及び第2外部セラミック管292を
有する。管290の端部は管292の円筒形の空間内に
あるので、PR2240内のガスの流れは、矢印293
で示すようになる。
【0189】螺旋共振器コイル294は管292の外側
の周囲に巻き付けられ、図21の実施形態に関連して述
べたように、RF電源装置269に接続される。コイル
294は、管92の内側か、あるいは管90の外側の周
囲又は内側に巻き付けることもできる。
【0190】上記の容器254と同様のシェル297
で、内管290及び外管292を閉囲する。外管292
は、内管290又はシェル297のいずれかへの接続に
よって支持することができる。いずれの場合も、外管2
92の支持構造により、放出ガス流がPR2 240内を
通過できるようにすることが重要である。この目的のた
めに、支持構造は、複数の貫通穴を有する管290と2
92の間の平面状のセラミック材とするか、管290と
292の間に伸長するわずか4つの細長い接続部又はフ
ィンガのうち3つだけにより構成するか、あるいは多く
のその他の同様の方法で設計することができる。貫通穴
を有する構造は、以下で説明する補集領域295内で粉
粒体を補集及び捕捉するのに役立てることができる。し
かし、この構造は、PR2 240を介して排出されるガ
スの流速を低下させないために、穴が充分な大きさとな
るように設計する必要がある。
【0191】この実施形態のPR2 240の設計によ
り、堆積段階中に排出されるケイ素残留物やそのたの粉
粒体の捕捉や補集が強化される。この設計は、排ガス流
内の残留物や微粒子を補集及び保持する機械的トラップ
として作用する管292の補集領域295を含む。残留
物及び微粒子はトラップ内に保持され、したがって、ク
リーニング・シーケンス中に形成されるPFCプラズマ
の成分と反応するために利用することができる。
【0192】本実施形態のPR2 240のトラップ部の
動作は部分的に重力に依存し、微粒子をPR2 装置から
真空管路内に掃引しようとする放出ガスの流路にも拘ら
ず、粉粒体をトラップ内に保持するように作用する。し
たがって、部分的に、PR2240の有効性は、微粒子
が反応してガス状生成物になるまで微粒子が管292か
ら流出するのを防止することに依存する。この目的のた
めに、補集領域295がPR2 の入口から下向きにな
り、かつ、外管292が重力の作用と共にこのトラップ
を形成するのに充分な長さとなるように、PR2 240
を配置することが重要である。
【0193】PR2 240内の面AAにおけるガス流路
の断面積を増加すると、粉粒体の捕捉にさらに役立つ。
任意の堆積工程における放出ガス流の流速は、一般に一
定である。したがって、1つ又はそれ以上の流路の断面
積を増加すると、ガス流の微粒子の速度が低下し、これ
に相応して微粒子に対する中性抵抗力が低下する。微粒
子に対する重力が中性抵抗力を超えると、その微粒子は
重力によってPR2 240の重力トラップ内に捕捉され
る。
【0194】機械的トラップの効果をさらに高めるため
に、図25に関連して述べたように、DC電源284に
接続した電極296、298を含む静電集塵機272を
配置することができる。
【0195】d)機械的トラップ及び静電トラップ機構
を含む複雑な実施形態 図28(a)は、本発明のPFC削減装置の他の好適な
実施形態に使用するガス流路モジュール310の側面斜
視断面図である。図28(a)では、1対の相対する電
極320、322がガス流路(流体導管)を画成し、処
理チャンバ15から排出されたガスはその中を通過す
る。モジュール310は、処理チャンバ15から排出さ
れた粉粒体が全部モジュール内で捕捉され補集されるの
を確実にするために、静電トラップ機構及び機械的トラ
ップ機構の両方を含む。
【0196】静電トラップは、図25に関連して上述し
た通り、電極の1つにDC電圧を印加することによって
形成する。この方法により、正に荷電した粒子は1つの
電極の補集され、負に荷電した粒子はもう1つの電極に
補集される。
【0197】機械的トラップは、部分的に重力に頼って
微粒子を補集領域324に補集することによって、ケイ
素微粒子及び残留物をさらに捕捉する。各補集領域32
4はガス流路のU字形の部分を含み、微粒子をPR2
置から真空管路内に掃引しようとする放出ガスの流路に
も拘らず、このU字形の部分をその底部に微粒子が補集
され維持されるように配置する。いうまでもなく、モジ
ュール310は、補集領域324がモジュールの反対側
に配置されていもよいように、上下をさかさまにするこ
とができる。
【0198】クリーニング・シーケンス中、電極の1つ
にRF電力を印加し、モジュール内を通過するPFCガ
スの容量結合プラズマを発生させる。電極320、32
2は、実質的に同一表面積を持つように設計することが
望ましい。そうした設計により、電極によって画成され
る領域/流路全体に均等なプラズマを形成することがで
きる。上記実施形態の場合と同様に、プラズマから生じ
る成分は、補集されたケイ素微粒子や残留物と反応し、
PFCガスを非PFCガスに変換する。
【0199】静電集塵機及び機械的トラップを結合する
ことにより、反応チャンバ15から排出されるケイ素残
留物を補集するために特に有効な機能が達成される。実
際、そうした結合により、100%に近い補集効率が得
られ、真空管路331内の堆積を除去又は防止するとい
う追加的利点も達成される。先に図6及びDPA40に
関連して述べたように、放出ガス流内に存在する比較的
大きい微粒子は、重力によって補集チャンバ324内に
維持されやすいので、機械的トラップ部はこうした比較
的大きい微粒子を捕捉するのに、特に効果的である。一
方、静電トラップは、放出ガス流内に含まれるが機械的
トラップだけでは捕捉できないような小さい微粒子を補
集及び捕捉するのに特に効果的である。また、前に述べ
た通り、電極間の温度勾配による伝熱力を利用して、微
粒子を捕捉することもできる。
【0200】図28(a)に示すモジュールは、PR2
340の他の様々な実施形態の一部として使用すること
ができる。そうした実施形態の一例を図28(b)に示
す。これは、図28(a)のガス流路モジュール設計の
一部を使用し、1つのそうしたモジュールの上にもう1
つのモジュールを積み重ねた、本発明のPFC削減装置
の実施形態の側面斜視断面図である。いうまでもなく、
図28(a)に示すモジュール又は同様のモジュールを
使用した他の設計も可能である。例えば、3つ、4つ、
又はそれ以上のモジュールを次々と配置し、微粒子補修
用の電極の表面積を増大しながら、比較的長いガス流路
を形成することができる。モジュール310は、PFC
プラズマからの成分と反応できる追加ケイ素源を包含す
るフィルタ要素を装備することもできる。モジュール3
10を使用した他の設計のバリエーションの可能性は無
尽蔵である。
【0201】図28(b)で、反応チャンバ15からの
放出ガスは入口330からPR2 340に流入し、出口
332から流出する。分流器334は、電極320、3
22によって定義される複雑な流路を、ガスが矢印32
3に従って流れることを確実にする。PR2 340を縦
に配向すると、入口330が軸AAに沿って上を向き、
流路内を排出される大きい微粒子が、重力により補集領
域324内に補集される。PR2 340を縦方向に配向
すると、入口340が軸BBに沿って上を向き、流路内
の排出される大きい微粒子が、補集領域325内に補集
される。
【0202】DC発電機338は、堆積及びクリーニン
グ・シーケンスの両方の作業中に、正のDC電圧を電極
322に供給し、電極320は接地される。したがっ
て、負の荷電粒子は電極322の表面に補集され、正の
荷電粒子は電極320の表面に補集される。
【0203】他の実施形態の場合と同様に、RF発生器
336はクリーニング・シーケンス中に電極にRF電力
を供給し、流路内の放出PFCガスから電極320と3
22の間にプラズマを形成する。プラズマは、補集領域
324又は325及び電極320及び322に沿って補
集されたケイ素と反応し、PFCガスを非PFCガス状
生成物及び副生物に変換する。DC/RFフィルタ34
0は、RF電源がDC発電機338と干渉するのを防止
する。DC及びRF電力は、電極322ではなく、電極
320に印加するしてもよいが、安全性及び電磁放射の
問題を考慮して、電極320は接地することが望まし
い。
【0204】3.PFC削減DPAに関連する実験的使
用及び試験結果 本発明の有効性を実証するために、8インチのウェハ様
に装備したPrecision5000型反応チャンバにプロトタイ
プのPR2 340を取り付けて、実験を実施した。Prec
ision 5000型反応チャンバは、本発明の譲受人であるア
プライド・マテリアルズ・インコーポレイテッドが製造
したものである。
【0205】実験に使用したプロトタイプのPR2 は、
フィルタ351がセラミック管350内に含まれず、か
つ、追加ガス供給管路353が存在しないことを除く
と、図21のPR2 340と同様であった。PR2 の全
長は約25インチであり、管350の直径は約1.5イ
ンチであった。PR2 は、Precision 5000型反応チャン
バの下流のスロットルバルブの直後に取り付けた。
【0206】実験では、CF4 及びN2Oクリーニング
・シーケンスから放出されたガスを3段階で分析した。
放出ガスを各段階で、MSKコーポレーションによって
製造されたMSK300シリーズ残留ガス分析装置(R
GA)を用いて監視した。測定は、真空ポンプ直前、反
応チャンバから約20フィート下流で行なった。したが
って、RGAでは安定した種だけが検出されると考えら
れた。質量分光分析計は非常に複雑なので、クリーニン
グ・シーケンス前に堆積段階が実行しなかった。
【0207】実験の条件は以下の通りであった。反応チ
ャンバ内の圧力は2torrに設定し、維持した。この結
果、PR2 内はそれに相応して0.5torrの圧力になっ
た。反応チャンバ内に各々500sccmの速度でCF4
びN2Oを導入した。反応チャンバ内に形成するプラズ
マは、13.56MHzのRF電源(RF1)によって
1000Wで駆動し、PR2 に形成するプラズマは、1
3.56MHzのRF電源(RF2)によって900W
で駆動した。
【0208】実験の第1段階として、反応チャンバ内に
クリーン・ガスを導入し、プラズマを形成せずに、反応
チャンバ及びPR2 内を流動させた。第2段階として、
Precision 5000反応チャンバ内にプラズマを形成させた
が、PR2 では形成させなかった。第3段階では、反応
チャンバ及びPR2 の両方でプラズマを形成させた。第
1段階は、プロセスから放出されるスペクトルを決定
し、CF4 の放出の相対的分析の基礎を設定するのに役
立った。
【0209】図29(a)は、反応チャンバ及びPR2
の両方でクリーン・ガスからプラズマを形成させたとき
に得た質量スペクトルを示す。RGA装置がガスをイオ
ン化することによって、これらを検出することに留意す
ることが重要である。したがって、CF3 +、CF2 +、及
びCF+イオンの検出は、放出CF4ガスを表わしてい
る。図29(a)で、C+(12)、N+(14)、O+
(16)、F+(19)、CF+(31)、O2 +(3
2)、F2 +(38)、N2+(44)、CF2 +(50)
及びCF3 +(69)に対応するピーク(括弧内に示す)
が検出された。各ピークは、初期ガス反応物質すなわち
CF4及びN2Oの分解生成物である。CO+(28)、
CO2 +(44)、COF+(47)、COF2 +(6
6)、及びCOF3 +(85)に対応するピークは、反応
チャンバ及びPR2 内で発生した反応の副生物に対応す
る。CO2 +及びN2+(線44)は重なっているので、
解釈を誤るおそれがあった。しかし、CF4及びN2Oだ
けのスペクトルを記録し、かつプラズマが発生しない状
態とRF1及びRF2が起動しているときの応答を記録
することにより、線44のピークは90%CO2 +及び1
0%N2+を表わすことを突き止めることができる。
【0210】定性的には、CF3 +(69)、CF2 +(5
0)、CF+(31)のピークの応答が低下するとき
に、CF4の削減が観察される。分解のさらなる証拠
は、N2Oに対応するピークの応答が低下するときに観
察される。CO+(28)、CO2 +(44)、COF
+(47)、COF2 +(66)及びCOF3 +(85)の
反応のガス状副生物の応答は、CF4の削減に比例して
増加する。
【0211】図29(b)は、3段階の実験の各段階で
RGAによって測定された特定のガスの発生ピークを示
す。特に、図12(b)は、ピーク44(N2+)、6
9(CF3 +)、及び28(CO+)の応答を示す。図2
9(b)に示す最初の80秒は、反応チャンバ内又はP
2 内にプラズマが形成されていないときのこれらのガ
スのピークの応答を示す。次の80秒間に、反応チャン
バ内のみにプラズマが形成され、最後に始点から160
秒から240秒までの期間に、反応チャンバ及びPR2
の両方にプラズマが形成される。
【0212】図29(b)から明らかなように、反応チ
ャンバ内でプラズマが発生すると、放出されるCF4
びN2Oの量は減少し、放出されるCO(CF4の変換プ
ロセスの主要副生物)の量は増加する。PR2 40が起
動すると(したがってPR240内にプラズマが発生す
ると)、CF4の放出はさらに減少し、全体で約30%
のCF4の削減が達成される。
【0213】結果は示さないが、別の実験では、PR2
内の圧力を約2torrに増加することによって、全体で約
50%の削減が達成された。このように、これらの予備
実験は、本発明の装置がPFCの削減に成功することを
示している。本願で述べたPR2 の1つ以上の追加機能
を組み込むことによって、さらに高い削減を達成するこ
とができる。また、CF4は一般に変換するのが最も困
難なPFCガスの1つと認識されているので、今後の実
験の結果から、他の多くのPFCガスの変換について
も、さらによい結果が得られるはずである。
【0214】本発明の幾つかの実施形態について詳しく
説明したが、本発明に従って真空管路から粉粒体を除去
するための数多くの他の同等又は代替的な装置及び方法
が、当業者には明白であろう。また、本発明に従って処
理チャンバからのPFCの放出を削減するための数多く
の他の同等又は代替的な装置及び方法も、当業者には明
白であろう。さらに、明瞭さと理解のために図を示し実
施形態を挙げて、本発明を詳しく説明したが、特定の変
化や修正を加えることができることは明白である。例え
ば、1つの実施形態で、本発明の機械的微粒子トラップ
について、内部流路を外部流路で取り囲まれむと説明し
たが、そうしたトラップは、第1流路の周囲を第2流路
内で取り囲まず、第2流路が第1流路から離れる方向又
は第1流路から上に向かって伸長するように作成するこ
とができる。別の例として、開示した実施形態のいずれ
かにおけるガス流路は、図28(a)及び図28(b)
に示しそれに関して説明した流路と同様の方法、又はそ
の他の方法で、複雑な形状に設計することができる。ケ
イ素微粒子捕捉の実施形態は、電極で補集されるケイ素
残留物の量が不十分である場合には、PFC分解を増強
するために、石英又はその他のケイ素包含化合物を充満
した別個のフィルタ要素を含めることができる。また、
ケイ素フィルタを使用せず、微粒子捕捉システムも使用
しない実施形態も可能である。これらの実施形態では、
PFC変換反応のためにSiH4又はO2などのガスを、
管路253などのガス供給管路を通してPR2 340に
導入する。さらに、PR2 40の様々な実施形態に、図
17(a)、(b)、及び図19(a)、(b)に示す
DPA40の実施形態で使用したようなマグネトロンを
使用することもできる。これらの同等例や変化例は、理
解のために示した明らかな変更や修正などと共に、本発
明の範囲内に含めるものとする。
【図面の簡単な説明】
【図1】本発明の装置を取り付けることができる簡素化
した化学気相堆積装置の1つの実施形態を示す図であ
る。
【図2】図1の化学気相堆積装置に本発明を接続する1
つの方法を示す図である。
【図3】図1の化学気相堆積装置に本発明を接続する第
2の方法を示す図である。
【図4】(a)は微粒子の削減(真空管路のクリーニン
グ)のために最適化された本発明の装置の好適な実施形
態の扉が無い状態の斜視図である。(b)は、図4
(a)に示す真空管路クリーニング装置の扉が無い状態
の正面図である。(c)は、図4(a)に示す真空管路
クリーニング装置の中心面における正面斜視断面図であ
る。(d)は、図4(a)に示す真空管路クリーニング
装置の中心面における側面斜視断面図である。(e)
は、図4(a)に示す真空管路クリーニング装置の電源
貫通接続部(power feed through connection )の断面
図である。(f)は、図4(a)に示す真空管路クリー
ニング装置の扉を含む状態の斜視図である。
【図5】図4(a)に示す電極及びこれに接続される回
路の電気図である。
【図6】典型的窒化ケイ素堆積段階によって発生する微
粒子に対する、本発明の真空管路クリーニング装置の一
実施形態における静電集塵機の効果を示すグラフであ
る。
【図7】本発明の真空管路クリーニング装置の一実施形
態内の微粒子に対する中性抵抗力の効果を、静電力、重
力、及び伝熱力(thermophoretic force)の効果と比較
して示すグラフである。
【図8】15秒の窒化ケイ素堆積工程後の真空フォアラ
イン内部のケイ素片上の残留物堆積量を示す顕微鏡写真
である。
【図9】図8に示した残留物の1粒子の基本粒径(elem
entary grain size )を示す顕微鏡写真である。
【図10】本発明の試験前に実施した実験中に真空フォ
アライン内部のケイ素片に堆積した粉粒体の大きさを示
す顕微鏡写真である。
【図11】本発明の真空管路クリーニング装置の第2実
施形態の側面断面図である。
【図12】本発明の真空管路クリーニング装置の第3実
施形態の側面断面図である。
【図13】(a)は、本発明の真空管路クリーニング装
置の第4実施形態の側面断面図である。(b)は、図1
3(a)の装置に排出される微粒子に対する、図13
(a)の装置に使用した静電トラップの効果を示す線図
である。(c)は、図13(a)の装置に排出される微
粒子に対する、図13(a)の装置に使用した静電トラ
ップの効果を示す線図である。
【図14】(a)は、本発明の真空管路クリーニング装
置のさらに別の実施形態の側面断面図である。(b)
は、図14(a)に示す真空管路クリーニング装置の実
施形態における一方の電極に対する他方の電極の表面積
比率を示す線図である。
【図15】図14(a)に示す真空管路クリーニング装
置の実施形態の電気図である。
【図16】(a)は、本発明の真空管路クリーニング装
置の別の実施形態の側面断面図である。(b)は、図1
6(a)に示す真空管路クリーニング装置の斜視図であ
る。
【図17】(a)は、マイクロ波の力を利用してプラズ
マを発生させる本発明の真空管路クリーニング装置の一
実施形態の側面断面図である。(b)は、図17(a)
の真空管路クリーニング装置の正面図である。
【図18】(a)は、図17(a)の装置のマイクロ波
電源によって生じる電圧波形を示すグラフである。
(b)は、図17(a)の装置のマイクロ波電源によっ
て生じる電圧波形を示すグラフである。(c)は、図1
7(a)の装置のマイクロ波電源によって生じる電圧波
形を示すグラフである。
【図19】(a)は、マイクロ波の力を利用してプラズ
マを発生させる本発明の真空管路クリーニング装置の第
2実施形態の側面断面図である。(b)は、図19
(a)の真空管路クリーニング装置の正面図である。
【図20】本発明の効果を評価する試験の実施に使用し
た、本発明の真空管路クリーニング装置の一実施形態の
原型の側面断面図である。
【図21】PFC削減のために最適化した本発明の装置
の一実施形態の側面断面図である。
【図22】本発明のPFC削減装置の第2実施形態の側
面断面図である。
【図23】本発明のPFC削減装置の第3実施形態の側
面断面図である。
【図24】本発明のPFC削減装置の第4の好適な実施
形態の側面断面図である。
【図25】本発明のPFC削減装置の第5実施形態の側
面断面図である。
【図26】本発明のPFC削減装置の第6実施形態の側
面断面図である。
【図27】本発明のPFC削減装置の第7実施形態の側
面断面図である。
【図28】(a)は、本発明のPFC削減装置の他の実
施形態で使用するガス流路モジュールの側面斜視断面図
である。(b)は、図28(a)のガス流路モジュール
設計を採用した本発明のPFC削減装置の実施形態の側
面斜視断面図である。
【図29】(a)は、CF4及びN2Oのクリーン・ガス
からのプラズマ形成後に得た質量スペクトル・データを
示すグラフである。(b)は、本発明の一実施形態を試
験するために設計された実験中に測定された特定のガス
の発生ピークを示すグラフである。
【符号の説明】
10…平行平板型化学気相堆積システム(反応チャン
バ)、11…ガス分配マニホルド、12…サセプタ、1
5…真空チャンバ、25…RF電源装置、31…真空管
路(フォアライン)、32…真空ポンプ・システム、3
4…プロセッサ、38…メモリ、40…DPA
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ムクル ケルカー アメリカ合衆国, カリフォルニア州, サン ノゼ, ペイン アヴェニュー 3200, ナンバー29 (72)発明者 ハリ ポンネカンティ アメリカ合衆国, カリフォルニア州, サンタ クララ, グラナダ アヴェニュ ー 3480, アパートメント ナンバー 143 (72)発明者 ケヴィン フェアベアン アメリカ合衆国, カリフォルニア州, サラトガ, スカリー アヴェニュー 12138 (72)発明者 デイヴィッド チュン アメリカ合衆国, カリフォルニア州, フォスター シティー, ビリングスゲー ト レーン 235 (72)発明者 ツトム タナカ アメリカ合衆国, カリフォルニア州, サンタ クララ, モンロー ストリート 3301, ナンバー5

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 流体導管を画成する相対する表面を有す
    る第1及び第2部材であって、前記流体導管は入口、出
    口、及び前記入口と前記出口の間の集塵チャンバを有
    し、前記流体導管内を流動する粉粒体を前記集塵チャン
    バで補集しかつ前記粉粒体が集塵チャンバから流出する
    のを防止するように、前記集塵チャンバを構成及び配列
    して成る前記第1及び第2部材と、 前記流体導管内のエッチング・ガスからプラズマを形成
    又は維持するように適応させたマイクロ波プラズマ発生
    システムと、を備える基板処理チャンバの排気管路内の
    堆積を最小化する装置。
  2. 【請求項2】 前記第1部材が第1電極を備え、前記第
    2部材が第2電極を備えており、 荷電された粉粒体を相対する電極表面に補集するために
    前記電極間に電圧を印加するために前記電極に動作可能
    に結合された手段を有する微粒子捕捉システムをさらに
    備えている、請求項1記載の装置。
  3. 【請求項3】 前記基板処理チャンバのクリーニング作
    業中に、前記エッチング・ガスを基板処理チャンバから
    前記排気管路を介して排出するようになっている、請求
    項2記載の装置。
  4. 【請求項4】 前記エッチング・ガスの少なくとも一部
    を、前記装置の上流であって前記基板処理チャンバの下
    流に位置する前記排気管路に導入するようになってい
    る、請求項2記載の装置。
  5. 【請求項5】 前記エッチング・ガスの少なくとも一部
    を、前記流体導管に直接導入するようになっている、請
    求項2記載の装置。
  6. 【請求項6】 前記第1及び第2電極によって画成さ
    れ、前記集塵チャンバをそれぞれ前記入口及び出口と連
    絡し、粉粒体が集塵チャンバから流出するのを防止する
    ために少なくとも部分的に垂直の流路を有する前記第1
    及び第2流路を前記流体導管に設けてなる、請求項2記
    載の装置。
  7. 【請求項7】 相対する電極表面の間に形成された流体
    導管が、前記入口と前記出口との間の流体導管内に連続
    的に形成された複数の集塵チャンバを画成している、請
    求項6記載の装置。
  8. 【請求項8】 前記相対する電極表面が蛇行流体導管を
    画成している、請求項7記載の装置。
  9. 【請求項9】 前記少なくとも部分的に垂直の第1及び
    第2流路が前記流体導管の長さに沿って伸長し、前記流
    体導管が前記装置の相対する側面間にわたる幅を有して
    いる、請求項7記載の装置。
  10. 【請求項10】 前記マイクロ波プラズマ発生システム
    が第1及び第2マグネトロンを備える、請求項9記載の
    装置。
JP29904097A 1996-10-30 1997-10-30 基板処理チャンバの排気管路内の堆積を最小化する装置及び方法 Expired - Fee Related JP4245198B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/741,241 US6045618A (en) 1995-09-25 1996-10-30 Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US08/741241 1996-10-30

Publications (2)

Publication Number Publication Date
JPH10150032A true JPH10150032A (ja) 1998-06-02
JP4245198B2 JP4245198B2 (ja) 2009-03-25

Family

ID=24979919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29904097A Expired - Fee Related JP4245198B2 (ja) 1996-10-30 1997-10-30 基板処理チャンバの排気管路内の堆積を最小化する装置及び方法

Country Status (6)

Country Link
US (1) US6045618A (ja)
EP (1) EP0839929B1 (ja)
JP (1) JP4245198B2 (ja)
KR (1) KR100503127B1 (ja)
DE (1) DE69712154T2 (ja)
TW (1) TW345679B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343785A (ja) * 2001-05-18 2002-11-29 Randomaaku Technol:Kk 薄膜形成残渣処理装置
JP2007027590A (ja) * 2005-07-21 2007-02-01 Hitachi Kokusai Electric Inc 基板処理システム
JP2008543107A (ja) * 2005-06-06 2008-11-27 エドワーズ・バキューム・インコーポレーテッド 堆積工程のための高効率トラップ
JP2016091812A (ja) * 2014-11-05 2016-05-23 東京エレクトロン株式会社 プラズマ処理装置
WO2020110262A1 (ja) * 2018-11-29 2020-06-04 カンケンテクノ株式会社 プラズマ生成ユニットおよびこれを用いた排ガス除害装置

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6277347B1 (en) * 1997-02-24 2001-08-21 Applied Materials, Inc. Use of ozone in process effluent abatement
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6448795B1 (en) * 1999-02-12 2002-09-10 Alexei Ermakov Three coil apparatus for inductive measurements of conductance
GB2350804A (en) * 1999-06-12 2000-12-13 Johnson Matthey Plc Removing particulate matter from gas by thermophoresis and combustion
US6867859B1 (en) 1999-08-03 2005-03-15 Lightwind Corporation Inductively coupled plasma spectrometer for process diagnostics and control
US6361706B1 (en) * 1999-08-13 2002-03-26 Philips Electronics North America Corp. Method for reducing the amount of perfluorocompound gas contained in exhaust emissions from plasma processing
JP2001132638A (ja) * 1999-11-10 2001-05-18 Ebara Corp トラップ装置
JP2001252527A (ja) * 2000-03-13 2001-09-18 Seiko Epson Corp Pfcの処理方法および処理装置
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6538734B2 (en) 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
US6791692B2 (en) * 2000-11-29 2004-09-14 Lightwind Corporation Method and device utilizing plasma source for real-time gas sampling
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7090751B2 (en) * 2001-08-31 2006-08-15 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
DE10159152A1 (de) 2001-12-01 2003-06-12 Mtu Aero Engines Gmbh Verfahren zur Gasreinigung
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP4196371B2 (ja) * 2002-08-20 2008-12-17 キヤノンアネルバ株式会社 ハロゲンガスの製造方法、ハロゲンガスの製造装置及びハロゲンガスの回収・循環システム
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
TW594337B (en) * 2003-02-14 2004-06-21 Quanta Display Inc Method of forming a liquid crystal display panel
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7072028B2 (en) * 2003-07-25 2006-07-04 Lightwind Corporation Method and apparatus for chemical monitoring
US20050148199A1 (en) * 2003-12-31 2005-07-07 Frank Jansen Apparatus for atomic layer deposition
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US8139672B2 (en) * 2005-09-23 2012-03-20 Qualcomm Incorporated Method and apparatus for pilot communication in a multi-antenna wireless communication system
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
EP1816668A2 (en) * 2006-02-01 2007-08-08 FEI Company Particle-optical apparatus with a predetermined final vacuum pressure
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
GB0612814D0 (en) 2006-06-28 2006-08-09 Boc Group Plc Method of treating a gas stream
US20080047578A1 (en) * 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US20080072929A1 (en) * 2006-09-22 2008-03-27 White John M Dilution gas recirculation
US20080072822A1 (en) * 2006-09-22 2008-03-27 White John M System and method including a particle trap/filter for recirculating a dilution gas
KR20090106457A (ko) * 2006-10-07 2009-10-09 티비더블유 인더스트리즈, 인코포레이티드 진공 라인 청소 분리 시스템
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
CN101468281B (zh) * 2008-01-23 2010-12-15 邵光震 低温等离子体放电净化高温废气的装置
WO2009102762A2 (en) 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
DE102008014654A1 (de) 2008-03-17 2009-09-24 Robert Bosch Gmbh Abgasbehandlungsvorrichtung für eine CVD-Vorrichtung, CVD-Vorrichtung sowie Abgasbehandlungsverfahren
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8707899B2 (en) * 2009-02-26 2014-04-29 Hitachi High-Technologies Corporation Plasma processing apparatus
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
ITBS20100108A1 (it) * 2010-06-17 2011-12-18 Italghisa S P A Dispositivo per il filtraggio di un fluido gassoso
US20120096925A1 (en) * 2010-10-22 2012-04-26 Magee Scientific Corporation Method and analyzer for determining the content of carbon-containing particles filtered from an air stream
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
SE536165C2 (sv) * 2012-01-05 2013-06-11 Bencar Ab System för styrning av miljö i en reaktionsbox
US11716793B2 (en) * 2012-01-23 2023-08-01 Robert W. Connors Compact microwave oven
KR20140136594A (ko) * 2013-05-20 2014-12-01 삼성전자주식회사 배기 장치 및 이를 구비하는 박막 증착 설비
JP2017510453A (ja) * 2014-03-06 2017-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ・フォアライン・サーマル・リアクタ・システム
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
KR20180111909A (ko) * 2016-02-01 2018-10-11 레트로-세미 테크놀로지스, 엘엘씨 프로세스 반응 챔버의 배기 경로 세정 장치
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
EP3309815B1 (de) * 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung
KR102646623B1 (ko) * 2017-01-23 2024-03-11 에드워드 코리아 주식회사 플라즈마 발생 장치 및 가스 처리 장치
EP3570964B1 (en) 2017-01-23 2023-10-25 Edwards Korea Ltd. Gas treating apparatus with a nitrogen oxide reduction apparatus
JP7169786B2 (ja) * 2018-06-25 2022-11-11 東京エレクトロン株式会社 メンテナンス装置
CN109530083B (zh) * 2018-11-28 2023-12-12 昆明理工大学 一种细粒连续干式磁选装置及使用方法
WO2021113496A1 (en) * 2019-12-03 2021-06-10 Thrivaltech, Llc Induction feed through system
KR20210115683A (ko) 2020-03-16 2021-09-27 윤종호 호스클립용 체결공구
CN112195445B (zh) * 2020-10-23 2023-01-06 成都国泰真空设备有限公司 一种等离子体蒸发镀膜机
KR20230025590A (ko) * 2021-08-13 2023-02-22 삼성디스플레이 주식회사 배출 방법, 배출 시스템 및 이를 포함하는 기판 처리 장치

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) * 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) * 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
WO1980001363A1 (en) * 1978-12-29 1980-07-10 Ncr Co Lpcvd systems having in situ plasma cleaning
JPS58101722A (ja) * 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) * 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) * 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) * 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) * 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPH0722151B2 (ja) * 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US5137701A (en) * 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) * 1986-07-22 1988-02-06 Nec Corp Cvd装置
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) * 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) * 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JP2671009B2 (ja) * 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) * 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) * 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH045414A (ja) * 1990-04-20 1992-01-09 Agency Of Ind Science & Technol 排ガス浄化装置
EP0454346A1 (en) * 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Exhaust particulate filter
JPH04136175A (ja) * 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) * 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) * 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) * 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) * 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5422081A (en) * 1992-11-25 1995-06-06 Tokyo Electron Kabushiki Kaisha Trap device for vapor phase reaction apparatus
DE4319118A1 (de) * 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) * 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343785A (ja) * 2001-05-18 2002-11-29 Randomaaku Technol:Kk 薄膜形成残渣処理装置
JP4707262B2 (ja) * 2001-05-18 2011-06-22 株式会社ランドマークテクノロジー 薄膜形成残渣処理装置
JP2008543107A (ja) * 2005-06-06 2008-11-27 エドワーズ・バキューム・インコーポレーテッド 堆積工程のための高効率トラップ
JP2007027590A (ja) * 2005-07-21 2007-02-01 Hitachi Kokusai Electric Inc 基板処理システム
JP4580833B2 (ja) * 2005-07-21 2010-11-17 株式会社日立国際電気 基板処理システム及びトラップ装置
JP2016091812A (ja) * 2014-11-05 2016-05-23 東京エレクトロン株式会社 プラズマ処理装置
US10325758B2 (en) 2014-11-05 2019-06-18 Tokyo Electron Limited Plasma processing apparatus
WO2020110262A1 (ja) * 2018-11-29 2020-06-04 カンケンテクノ株式会社 プラズマ生成ユニットおよびこれを用いた排ガス除害装置

Also Published As

Publication number Publication date
KR100503127B1 (ko) 2005-09-26
JP4245198B2 (ja) 2009-03-25
US6045618A (en) 2000-04-04
DE69712154D1 (de) 2002-05-29
EP0839929B1 (en) 2002-04-24
EP0839929A1 (en) 1998-05-06
KR19980033190A (ko) 1998-07-25
TW345679B (en) 1998-11-21
DE69712154T2 (de) 2002-12-05

Similar Documents

Publication Publication Date Title
JP4245198B2 (ja) 基板処理チャンバの排気管路内の堆積を最小化する装置及び方法
JP4146919B2 (ja) 基板処理設備のための現場真空ライン清浄用平行平板装置
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
JP3897382B2 (ja) Cvdシステムの真空ラインのクリーニング方法及び装置
US8343317B2 (en) In situ cleaning of CVD System exhaust
US20070107750A1 (en) Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
CN101278072A (zh) 使用nf3除去表面沉积物的方法
US20070028943A1 (en) Method of using sulfur fluoride for removing surface deposits
US6564810B1 (en) Cleaning of semiconductor processing chambers
Raoux et al. A Plasma Reactor for Solid Waste Treatment on Pecvd Production Systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041007

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071211

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20071211

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20071211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090106

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120116

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120116

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130116

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees