JP3897382B2 - Cvdシステムの真空ラインのクリーニング方法及び装置 - Google Patents

Cvdシステムの真空ラインのクリーニング方法及び装置 Download PDF

Info

Publication number
JP3897382B2
JP3897382B2 JP27400896A JP27400896A JP3897382B2 JP 3897382 B2 JP3897382 B2 JP 3897382B2 JP 27400896 A JP27400896 A JP 27400896A JP 27400896 A JP27400896 A JP 27400896A JP 3897382 B2 JP3897382 B2 JP 3897382B2
Authority
JP
Japan
Prior art keywords
chamber
vessel
particulate matter
coil
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP27400896A
Other languages
English (en)
Other versions
JPH09181063A (ja
Inventor
パン ベン
シュン デイヴィッド
エヌ. テイラー, ジュニア ウィリアム
ラウ セバスチャン
フォダー マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09181063A publication Critical patent/JPH09181063A/ja
Application granted granted Critical
Publication of JP3897382B2 publication Critical patent/JP3897382B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • H01L21/205
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacture, Treatment Of Glass Fibers (AREA)
  • Drying Of Semiconductors (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、概説的には、半導体製造装置の分野に関し、特に、プロセスチャンバに接続した真空排気ラインの内側から汚染物や残留物を除去する方法と装置に関する。
【0002】
【従来の技術】
化学気相堆積(CVD:chemical vapor deposition )の処理のおいては、プロセスチャンバの内部に堆積ガスが放たれ、対象とする基板の表面に薄膜層を形成する。このCVDプロセスにおいては、プロセスチャンバの壁等の領域にも、不要な堆積が生じる。このような堆積ガスの分子がチャンバ内に滞留する時間は比較的短いものの、堆積プロセス中に消費されるのはチャンバ内に放たれた分子のほんの一部であり、これがウエハやチャンバ壁に堆積を生じさせる。
【0003】
消費されなかったガスの分子は、一部が反応した化合物や反応副生成物と共に、「フォアライン」とよく呼ばれる真空ラインを通して、ポンプにより排気される。この排気ガスに含まれる化合物の多くは、依然として反応性の高い状態にあり、及び/又は、フォアライン内に不要な堆積物を生じさせるような残留物や粒状物を含んでいる。時間とともに、この堆積物が粉状の残留物質及び/又は粒状物質として蓄積することにより、深刻な問題を与えることになる。第1に、この蓄積物が安全性を脅かすものであり、それは、この物質がしばしば発火性の物質であることであり、標準的な定期クリーニングの操作において真空シールを解消してフォアラインを雰囲気環境にさらすときに発火するおそれがある。第2に、フォアラインに堆積物が充分に蓄積すれば、フォアライン及び/又はその関連の真空ポンプが、適切にクリーニングされない場合に詰ってしまう。定期的にクリーニングを行っても、蓄積した物質は真空ポンプの清浄な動作を妨げ、ポンプの有用寿命を著しく短くする。また、この固体の物質がフォアラインからプロセスチャンバへと逆流し、処理のステップにおいて汚染を発生させ、ウエハの収率に悪影響を及ぼす。
【0004】
このような問題を防止するために、フォアラインの内側表面を規則的にクリーニングして堆積した物質を除去する。この手順は、チャンバの壁やこれと同様のプロセスチャンバ内の領域から不要な堆積物質を除去するために用いられる標準的なチャンバクリーニングの操作の間に行われる。通常のチャンバクリーニングの技術には、弗素等のエッチングガスを用いてチャンバ壁やその他の領域から堆積物質を除去する工程が含まれる。チャンバ内にエッチングガスが導入され、プラズマが形成されて、エッチングガスがチャンバ壁の堆積物質と反応してこれを除去する。このようなクリーニングの手順は、各ウエハの堆積のステップ毎又はN枚のウエハの堆積毎に行われるのが普通である。
【0005】
チャンバ壁から堆積物質を除去する工程は、チャンバ内の堆積物質の近傍の領域にプラズマを発生させればよい点で、比較的容易なものである。フォアラインから堆積物質を除去する工程は、より困難であり、何故なら、フォアラインはチャンバの下流にあるからである。ある一定の時間の間、プロセスチャンバ内のほとんどの地点の方が、フォアラインの地点よりも、エッチャントの弗素原子と多く接触する。従って、ある一定の時間の間、クリーニングのプロセスによってチャンバは適切にクリーニングされる一方、フォアライン内に残留物や同様の堆積物は残ってしまう。
【0006】
フォアラインを適切にクリーニングするためには、クリーニングの操作時間を長くすることが必要である。しかし、ウエハのスループットに悪影響を与えるため、クリーニング操作の時間を長くすることは望ましくない。また、このような蓄積した残留物は、クリーニングのステップによって反応物質が排気されて、これがフォアライン内の残留物と反応できるような条件にある場合にのみ、クリーニングされる。システムや用途によっては、排気された反応物質の残留時間では、フォアラインの末端に到達するに充分ではない場合があり、あるいは、フォアラインの真ん中にさえ到達できないこともある。このようなシステムや用途では、残留物の蓄積は大きな問題となっている。従って、半導体処理システムのフォアラインを効果的且つ完全にクリーニングするための装置及びこれを行う方法の必要性がある。
【0007】
フォアラインのクリーニングに用いられてきたアプローチには、プラズマ励起CVDの技術を用いたスクラブ(scrubbing )のシステムを利用し、排気ガス内の反応性成分を抽出し電極表面上に膜として堆積させるものがある。このスクラブのシステムは、反応物を固体の膜として最大に取り出せるように、且つ、スパイラル電極の大きな表面積を利用するように設計されている。このスパイラル電極は、着脱式のキャニスタ内に納められており、このキャニスタは、ブロワポンプと機械式ポンプの間のフォアラインの端部近くにその位置が与えられている。充分な量の固体廃棄物が電極上に蓄積した後、キャニスタを取り出して廃棄し、交換する。
【0008】
【発明が解決しようとする課題】
この従来技術の方法における問題は、システムが、収集する堆積固体物のための面積を与えるために、大きな表面積の電極を利用するところにある。電極の表面積を大きくするためには、システムは必然的に大型で嵩ばるものになってしまう。更に、従来技術のスクラブの操作では、この着脱式のキャニスタが使い捨てであり交換と正確な配置とが必要であるため、更に余計、コストがかかることになる。また、スクラブのシステムは真空フォアラインの始まりの部分よりも下流に配置されるため、この部分に蓄積する粉粒体を確実に除去できない。
【0009】
【課題を解決するための手段】
本発明は、排気ラインで粒子物質その他の物質の蓄積を実質的に防止する装置を提供することにより、上記の従来技術の問題点を解決するものである。堆積操作中に真空ラインに集まることになる粒子残留物その他の物質を、収集チャンバ内で捕捉(トラップ)し、反応チャンバの下流に形成したプラズマの中で除去する。このプラズマは、収集チャンバを通ってポンプにより送り込まれる排気残留物及び排気ガスの中に形成される。プラズマの構成成分が反応を生じてガス状の生成物を形成し、これは直ちにポンプにより排気ラインを通ってその外に排気される。また、本発明は、このような堆積物質の形成を防止し、且つ、堆積物質の除去を確保するための方法も提供するものである。
【0010】
本発明の装置の具体例の1つでは、ベッセルチャンバにより画されるガス通路をコイルが包囲する。このコイルは、RF電源に接続されており、これを用いて、通路内の粒状物や残留物の分子をプラズマ状態において励起する。プラズマの構成成分が反応してガス状生成物を形成し、これが真空ラインを通ってポンプにより排気される。
【0011】
本発明の装置の別の具体例では、この通路には、ベッセルの流入口と排気口の間に収集チャンバ を有している。この収集チャンバは、通路を流れる粒状物質を収集し、且つ、収集チャンバからの粒状物質の漏出を防止するような構成や配置が与えられている。収集チャンバ内で捕捉された粒子は、上述のRFによりプラズマ状態において励起される。
【0012】
また別の具体例では、本発明の装置は更に、ガス通路内に配置される静電収集器を有している。この静電収集器は、通路を流れている帯電粒状物質を、通路内で収集して捕捉する。
【0013】
【発明の実施の形態】
(I.模範的な半導体処理チャンバ)
本発明の装置は、多様な半導体処理システムと共に用いることができる。適する装置の1つである、化学気相堆積(CVD)の装置が図1に示される。これは、簡単な平行平板の化学気相堆積リアクタ10の縦断面図である。リアクタ10は、堆積のためのガスを、真空チャンバ15内のサセプタ12に置かれるウエハ(図示せず)に散布するためのガス散布マニホールド11を有している。サセプタ12は、熱応答性が非常に高い。また、サセプタ12は支持フィンガ13上に載置され、サセプタ12(及びその上面で支持されるウエハ)が、下方の搬入出のポジションと、上方のマニホールド11と近接した処理のポジションの間で、制御により移動できるようになっている。
【0014】
サセプタ12とウエハが処理のポジション14にあるときは、これらは、間隔をおいて配置される複数の穴ないしポート23を有するバッフル板によって包囲される。この穴23から、環状の真空マニホールド24の中へと排気される。処理中に、マニホールド11に流入したガスは直ちに、矢印21に示されるように、ウエハ表面全体に均一に散布される。そして、ガスは真空ポンプシステム32により、ポート23を介して円形の真空マニホールド24内へと排気され、更に、真空フォアライン31の中へと入っていく。マニホールド11への到達の前に、ガスライン群18を通じて堆積ガスとキャリアガスとが混合チャンバ内に供給され、そこでこれらのガスが混合された後マニホールド11へと送られていく。
【0015】
RF電源25からマニホールド11に印加されるRFエネルギーにより、ウエハに近隣して、プラズマが形成され制御される。ここでガス散布マニホールドはRF電極であり、サセプタ12は接地されている。RF電源は、チャンバ15内に導入される反応性の化学種の分解を促進するために、単一の周波数のRF電力又は混合周波数のRF電力をマニホールド11に供給することができる。
【0016】
円形の外部ランプモジュール26により、クオーツウィンドウ28を介しサセプタ12の環状外縁部分の上に、光を、コリメートされた環状のパターンで与える。この熱分布により、サセプタの自然の熱損失のパターンを補償し、且つ、堆積のためにサセプタ及びウエハを迅速且つ均一に加熱する。
【0017】
モータ(図示せず)が、サセプタ12を、処理のポジション14と下側のウエハ搬入のポジションとの間で昇降させる。モータと、ガスライン18に接続するガス供給バルブ(図示せず)と、RF電源25とが、制御ライン36を介したプロセッサ34によって制御される。制御ライン36は、一部のみ図示される。プロセッサ34は、メモリ38に格納されたコンピュータプログラムによる制御を受けて動作する。ここでのコンピュータプログラムは、特定のプロセスに対するタイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプタのポジションその他のパラメータの命令を行う。
【0018】
典型的には、チャンバライニング、ガス流入マニホールドフェースプレート、支持フィンガ13その他のリアクタのハードウェアの一部又は全部が、陽極酸化アルミニウム(アノーダイズドアルミニウム)等の材料でできている。このようなPECVDの装置の例が、標題 "Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multistep Planarized Process" (二酸化珪素の熱CVD及びインシチュウマルチステップ平坦化プロセスのための熱CVD/PECVDリアクタ及びその使用法)である米国特許第5,000,113号に記載されている。
【0019】
上述のリアクタの説明は主に例示のためのものであり、本発明には、電子サイクロトロン共鳴(ECR:electron cyclotron reasonance )プラズマCVD装置や、誘導結合RF高密度プラズマCVD装置等、その他のCVD装置を用いてもよい。また、本発明には、熱CVD装置や、プラズマエッチング装置や、物理気相堆積(PVD)の装置を用いてもよい。本発明の装置並びに真空ライン内の堆積物の蓄積を防止する方法は、特定の半導体処理装置や、特定の堆積又はエッチングのプロセスないし方法によって限定されるものではない。
【0020】
(II.模範的な半導体処理の操作)
CVDリアクタ10で行われる化学気相堆積等の半導体処理のプロセスでは、様々なガス状廃棄物や汚染物が、真空チャンバ15から真空ライン31を通って排気される。行われる操作によっては、このような排気される物質には、部分的に反応した生成物(中間生成物)や副生成物等の粒状物が含まれ、これらがフォアラインを通って排気されれば、フォアライン内部に残留物や同様の粉体物を残すこととなる。例えば、シラン(モノシラン:SiH4 )と窒素(N2 )とアンモニア(NH3 )とを前駆体として用いる窒化珪素(silicon nitride)膜の堆積の操作中に、フォアライン内には、SiXyz 、Sixy、SiOx 及び珪素原子のブラウンパウダーの形態での残留物が観測された。この蓄積残留物は、SiH4 +N2 +NH3 の反応の中間的な副生成物から生じたものと考えられる。また、ジシラン(Si26)その他の有機物の気体又は液体の前駆体を用いて窒化珪素の層を堆積する場合にも、同様の残留物が形成される。その他の膜の中では、オキシナイトライド膜の堆積や二酸化珪素膜の堆積において残留物の蓄積が生じ、また、プラズマエッチングやその他のプロセスのステップでも、残留物の蓄積が生じる。
【0021】
本発明は、このような残留物と粒状物の蓄積に対し、収集チャンバ内で粒状物を捕捉し、真空フォアラインを通って排気された反応ガス及びライン内の残留物と粒状物を励起してプラズマ状態とするステップとにより、これらを防止する。このプラズマは、フォアライン内に蓄積しがちな残留物や粒状物と反応しあるいはこれらをエッチングして、ガス状の生成物や副生成物を形成し、これらは、真空ラインを通って強制排気され、その際ライン内に堆積物や凝縮物が形成されない。
【0022】
(III.本発明の模範的な具体例)
図1のCVD装置に本発明の装置を取り付けた縦断面図である図2に示されているように、本発明の装置は、排気ガスのソースであるプロセスチャンバの下流に配置される。この装置は、真空フォアラインの一部と接続していてもよく、あるいは、真空フォアラインの一部と交換されていてもよい。
【0023】
図2では、下流プラズマクリーニング装置40(以下、DPA(downstream plasma cleaning apparatus)と称する)が、真空ライン31の真空ポンプシステム32と真空マニホールド24の間の部分に取り付けられているその位置により、真空チャンバ15から排気されるガスは必然的に、DPA40を通過する。DPAは、真空ライン31上ならばどこに位置していてもよいが、好ましくは、DPA40を排気マニホールド24にできるだけ近い位置に配置し、チャンバ15から排気されるガスが、真空ライン31のいずれかを通過する前にDPA40を通過するようにする。
【0024】
操作にあたり、堆積ガス(堆積のためのガス)が真空チャンバ15から真空ライン31を通って排気されれば、真空ラインの内部表面には、このガスからの粒状物や残留物が堆積する。粒状物や残留物の除去は、DPA40を作動させて行ってもよい。このような除去の方法では、堆積中及びクリーニング中にDPA40をオンにしてもよく、あるいは、クリーニング中のみ作動させてもよい。
【0025】
DPA40は、作動しているときは、DPAの内部表面上に堆積する残留物の分子やDPAを通過する排気ガスの分子を励起してプラズマ状態にする電圧電力場を発生させる。プラズマにより、DPA40内部の物質を分解してガス状の生成物や副生成物とすることが促進され、これらはフォアラインを通って強制排気され、粒状の堆積物や残留物の蓄積が防止される。例えば、DPA40内での残留物の蓄積が、窒化珪素の堆積において上述のようなSiXyz 、Sixy、SiOx 及び珪素原子のブラウンパウダーの形態であった場合は、DPA40により形成されたプラズマがこの残留物を、SiF4、CO、CO2、NO、NO2、O2などのガス状の成分へと分解すると考えられる。
【0026】
DPA40内での通常の堆積による残留物の収集に加えて、様々な具体例において、DPA40は、チャンバ15から排気される粒状物をDPA40内で捕捉するように特に設計されているため、DPAの外側にこれら物質が堆積できないようになっている。以下に詳細に説明するように、機械的及び/又は静電的な捕捉のメカニズムにより、捕捉がなされる。粒状物は一旦捕捉されれば、プラズマ内の反応性化学種と反応するまでDPA40内に残留することになり、この反応によりガス状副生成物が生成し、その後真空フォアライン31を通って強制排気される。
【0027】
DPA40内に形成されプラズマを形成する電圧電力場は、容量結合電極や、誘導結合コイルや、ECR技術などの既知の多様な方法により発生させることができる。しかし、サイズがコンパクトであることと、比較的高い電圧電力の場を発生させる能力があることとにより、ヘリカル共鳴コイル(helical resonator coil)等の誘導コイルを用いて電圧電力場を形成することが好ましい。このようなコイルは、この技術分野で周知であり、数多くの周知の教科書に記載されている基準に従って設計できるものである。このような教科書の記載例には、例えば、Michael A. Lieberman and Allan J. Lichtenberg 著の "Principles of Plasma Discharges and Materials Processing",pp.404-410,John Wiley & Sons(1994) が挙げられる。
【0028】
ヘリカル共鳴コイルは、銅、ニッケル、金その他これに類する導電材料等の、導電性の高い金属により作ることができる。コイルを適切に共鳴させるためには、コイルの長さを、印加するRFの波長の1/4とほぼ同じかあるいはこれよりもわずかに短くすることが重要である。この長さのコイルにより、より強く、より強度の高い電圧電力場が形成され、これが更に分解を促進する。
【0029】
RF電源の一方の端にはヘリカル共鳴コイルが接続され、反対側の端には接地電位(グラウンドポテンシャル)が接続される。DPA40の中を通過する材料及び/又はDPA40の中に堆積する材料の反応の完結を確保するため、DPAは、プラズマを形成するに充分なレベルでRF電源により駆動される必要がある。一般的には、50〜1000ワット又はそれ以上の電力レベルを用いることができ、好ましくは、100〜400ワットの電力レベルが用いられる。選択される実際の電力レベルは、強いプラズマを形成するために用いる高い電力の要請と、エネルギー損失を抑えて小型で安価な電源の使用を可能にするための低い電力の要請とをバランスさせて、決定されるべきである。プラズマの均一性及びその他の従来技術のプラズマ励起CVDリアクタに重要な特性は、DPAプラズマの形成における第2の問題点である。
【0030】
DPA40を駆動する電源は、約50kHz〜約200kHz又はそれ以上の周波数範囲で動作するが、通常は約50kHz〜60kHzで動作する。RF電力の供給は、単一周波数のRFソース又は混合周波数のRFソースから供給することができる。電源の電力出力は、DPA40が用いられる用途及びDPA40内で処理されるガスの容量に依存する。RF電力は、RF電源25によって与えてもよいが、DPA40のみを駆動するための別のRF電源によって供給してもよい。更に、複数の処理チャンバがクリーンルームにある場合は、これらチャンバに接続する複数のDPAの全てを、適切な数のRF電力スプリッタに接続された別々の専用のRF電源によって駆動してもよい。
【0031】
DPA40の長さとサイズを変えてもよい。用途によってはDPA40の長さは4〜6インチ程度あるいはそれよりも短くてもよく、別の用途では、DPA40は、真空ライン31の全長と同じ長さ(4〜5フィートあるいはそれ以上)で、即ち、DPA40がラインの代りとなる。長いDPAは、同じデザインの短いDPAに比べて、粒状物をより多く収集できるので、粒状物をより多く除去することができるだろう。DPAの設計においては、空間を考慮することを残留物の収集の効率とバランスさせる必要がある。しかし、先進の捕捉メカニズムを有しているDPAは、長さが短くとも、プロセスチャンバから排気される粒状物の99.9%を収集し捕捉することが可能であるため、長さはあまり重要ではなくなる。コイルの長さはRF波長の1/4より若干長い程度たるべきであるため、コイルの長さと使用するRF周波数との間に直接の関係がある。RF電力の信号の周波数を低くする場合は、コイルを長くする必要がある。
【0032】
前述の如く、処理の手順を行っている間に特定の間隔でDPA40をオン(入)及びオフ(切)にしてもよい一方で、DPAは受動的な(電力供給源を有しない)装置として構成されてもよい。受動的な装置の場合は、DPA40に充分なRF電力信号を連続的に供給することにより、DPAのオンオフを行うために特別な制御信号やプロセッサ時間を必要としない。
【0033】
前述の如く、能動的な(電力供給源を有する)装置として構成されている場合は、チャンバクリーニングの操作が行われている時間にDPA40に電力が供給される。また、随意、チャンバ15内に膜の堆積が行われている期間に、RF電力を供給してもよい。能動的な装置として構成されている場合のDPA40のタイミングの制御は、一般に、プロセッサ34が制御ライン36を介して制御信号を送ることにより行われる。
【0034】
図3示されているように、真空ライン31に2つ以上のDPAを接続することも可能である。例えば、このような構成を用いて、真空ポンプ32を残留物の蓄積から更に保護することができる。図3に示されている構成では、第2のDPA42が、DPA40の下流でポンプ32の直前に配置される。DPA40から粒状物が逃れ出たとしても、この粒状物はDPA42内で捕捉されてガスに変換される。DPA40及び42の両方を、1つのRF電源44の電力をスプリッタ46で分割して駆動してもよい。また随意、DPA40及び42をそれぞれ別々のRF電源で駆動してもよく、あるいは、これら両方を、プロセスチャンバ10に接続した主RF電源で駆動してもよい。
【0035】
本発明の装置は、多種多様な具体例で構成されてもよい。以下に、例示の目的で、3つの具体例を示す。ただし、以下の3つの具体例は、本発明を限定するものと解されない。
【0036】
(1.シングルチューブの具体例)
図4は、DPA40の1つの具体例の断面図である。図4では、DPA40はチューブ50を有し、プロセスチャンバ15からの排気ガスがチューブ50の中を流れ、これでDPA40内を通過することになる。チューブ50は、セラミック、ガラス又はクオーツ等の絶縁材料でできている。好ましい具体例では、チューブ50は、クリーニングのステップで用いられる弗素等のエッチャントガスと反応しないセラミック材料製である。また、チューブ50は、真空ライン31の外径とほぼ同じ内径を有している。別の具体例では、チューブ50は円筒状である必要はなく、代りに、角を有している内面、平坦な内面、楕円形の内面、その他類似の内面を有していてもよい。これらの具体例その他において、チューブ50の内径は、真空ライン31の内径よりも大きくてもよく、あるいは、小さくてもよい。
【0037】
チューブ50の外側の周りにコイル52が巻かれ、コイル52は、点56でRF電源に接続し、点57で接地電位に接続する。RF電源からコイル52へ電圧を印加することにより、チューブ50の中を通過する排気物質及びチューブ内に堆積する排気物質はプラズマ状態の中で励起される。このプラズマ状態の中で、排気物質からの成分が反応を生じてガス状の生成物を形成し、これを、上述のポンプシステム32によりDPA40及び真空ライン31の外へと強制的に排気することができる。コイル52は、前述の標準的なヘリカル共鳴コイルであり、チューブ50の外側に巻かれるのではなくチューブ50の内側に巻かれてもよい。
【0038】
チューブ50の外側を、外側コンテナ54が包囲している。コンテナ54には少なくとも2つの目的がある。第1の目的は、CVD処理装置10やその他の装置を、コイル52から発生する電圧信号及びノイズ信号からシールドすることである。第2の目的は、セラミックチューブ50が破壊又はクラックを生じた場合、あるいは、これとは別の理由でチューブ50の真空シールが破壊した場合、コンテナ54は、排気ガスが脱出することを防止する第2のシールを与えるものとなる。コンテナ54は、アルミニウムやスチール等の多様な金属又はその他の化合物でできていてもよく、シールド効果のために接地されていることが好ましい。真空シールを維持しつつ、上フランジ57がDPA40を真空マニホールド24に接続し、下フランジ58がDPA40を真空ライン31に接続する。
【0039】
負荷として50オームのインピーダンスで作動するように、標準的なRF電源がデザインされる。従って、RF電源のコイル52への接点(点56)は、コイル52が50オームのインピーダンスを有するように選択されるべきである。電源が別のインピーダンスを要する場合は、これに応じて点56を選択するべきである。
【0040】
コイル52は、RF電源により50ワット以上の電力レベルで駆動される。このような条件下ではプラズマの発生は最大となり、均一性は問題とはならない。コイル52により発生する実際の電圧電力は、RF電源により用いられる電力、コイルの長さと巻き間隔や、コイルの抵抗その他等、数多くの因子に依存する。電圧電力がコイルの長手に沿って均等に広がるため、コイル全体の電圧電力レベルの決定は、コイルが大地に接続する点(55)とRF電源に接続する点(56)との間のレベルを決定することにより、可能となる。例えば、着目するコイルの長さが、点55と56の間の部分の4倍の長さである場合、コイルの総電圧は、点55と56の間の電圧レベルの4倍となるだろう。
【0041】
チューブ50内に強いプラズマを形成するように、且つ、コイル52により発生する電圧電力を、コイル52からコンテナ54へアークを生じさせるレベルを越えないようにするように、コイルと、電力レベルと、印加RF周波数とを選択するべきである。アーク発生が問題となるようなDPAに対しては、絶縁材料をコンテナ54とコイル52の間に置くことが可能である。しかしながら、デザインの簡略化のため、コンテナ54とコイル52の間に、空気で満たされた空間を有することが好ましい。
【0042】
(2.第1の機械的、静電的な捕捉の具体例)
図5は、DPA40の第2の具体例の断面図である。図5に示されるDPA40の具体例は、第1の内側セラミックチューブ60と第2の外側セラミックチューブ62とを有している。チューブ60の端部はチューブ62の円筒空間の中にあり、DPA40を通るガス流れが、矢印64で示される。
【0043】
チューブ62の外側の周りには、ヘリカル共鳴コイル66が巻かれ、コイル66は、図4の具体例に関して説明したように、RF電源と接続されている。また、コイル66は、チューブ62の内部にも巻かれ、あるいは、チューブ60の外側又は内側に巻かれる。
【0044】
上述のコンテナ54と同様に、シェル(殻)68が内側チューブ60及び外側チューブ62の両方を包囲している。外側チューブ62は、内側チューブ60又はシェル68のいずれかと接続することによって支持されている。いずれの場合においても、外側チューブ62の支持構造体が、ガス流れを流出させてDPA40内を通過させるようにすることが重要である。支持構造体は、チューブ60と62の間にある貫通穴を複数有するセラミック材料の平板であってもよく、あるいは、チューブ60と62の間に伸びる4つの細い接続部ないしフィンガ又はこの4つの中の3つから成っていてもよく、あるいは、その他の数多くの均等な方法により設計されてもよい。貫通穴を有する構造体は、以下に説明する収集領域70の中で粒状物を収集し捕捉することを補助することができる。しかしながら、DPA40の中を強制的に流れるガスの流量を低下させないように、穴の大きさを充分に大きくとるように、構造体の設計をすべきである。
【0045】
DPA40のこの具体例の設計によれば、粒状物の捕捉を促進し、よって粒状物の分解を促進する。この設計には、排気ガス流れの中の粒子を収集し保持する機械的捕捉部として作用する、チューブ62の収集領域70が含まれており、これにより、DPAの残留物が真空ライン31内へと通過することができなくなる。粒子はこの捕捉部内に保持され、ここに形成されたプラズマ下で分解するまでプラズマの作用を受ける。
【0046】
このDPA40の具体例の捕捉部の動作は重力に依存する部分があり、DPA装置内の粒子を真空ライン31へと押し流そうとするガス流出流れにもかかわらず、重力の作用により粒状物が捕捉部内に保持される。従って、部分的に、DPA40の有効性は、外側チューブ62が、粒子を反応させてガス状生成物とするまで粒子を外に出さない能力に依存する。即ち、収集領域70がDPAへの流入口から下向きであり、且つ、外側チューブ62の長さが、重力と協働でこの捕捉を実現するに充分であるように、DPA40の位置を与えることが重要である。
【0047】
DPA40内の平面76に沿ったガス通路の断面積を増加することにより、粒状物の捕捉が更に補助される。ある所定の堆積プロセスにおけるガス流出流れの流量は、一般に一定である。従って、1つ以上の通路の断面積を増加させれば、ガス流れの中の粒子の速度を減少させ、これに対応して、粒子上にかかる中和的抵抗力(neutral drag force)が減少する。粒子上の重力が中和の抵抗力よりも大きくなれば、粒子が重力によりDPA40内の重力捕捉部内捕捉される。
【0048】
機械的捕捉の効率を更に高めるため、収集領域70のそばに静電収集器72を配置させ、帯電した排気粒状物を誘引することが可能である。静電収集器72は、100〜3000ボルトのDC電源又はAC電源に接続された小型の電極であってもよい。無論、静電収集器72に印加される電荷の極性とその量は、用途に応じて決まるものであり、また、それぞれの用途における排気粒状物の極性のタイプと典型的な電荷レベルに依存する。
【0049】
多種多様な静電捕捉装置を本発明に用いることが可能である。この静電収集器の第2の好ましい具体例とその詳細は、図6(a)及び(b)を参照して以下に説明される。
【0050】
(3.第2の機械的、静電的な捕捉の具体例)
図6(a)は、DPA40の第3の具体例の断面図である。図6(a)の具体例は、図5の具体例と類似の機械的捕捉部の設計を用いており、また、修正した静電的捕捉部を用いている。また、流出ガスは、上フランジ81とは反対側ではなく上フランジ81の近傍に配置された側フランジ80の中を通って排気される。フランジ80は、外側チューブ86によってではなく、外側ケーシング84によってシールを形成するように配置される。ケーシング84は、金属やこれに類似の材料製であり、チューブ86はセラミック等の絶縁材料製である。
【0051】
この具体例では、外側コイル87を介してDPAにRF電力が供給される。このコイル87は、RF電源への接続地点88と(接地の)地点89の間のインピーダンスが50オームとなるように設計されている。このように、コイル87は50オームのインピーダンスを有するように設計されているため、このコイルは標準的なRF電源によって駆動できる。内側チューブ85の内部には、内側コイル90が巻かれている。内側コイル90は、外側コイルに供給されたRF信号を誘導により受容し、プラズマ反応をドライブするに必要な電圧電力場を形成する。
【0052】
内側チューブ85の中心には中心ワイヤ92が通っており、中心ワイヤ92と内側コイルの間に電圧電力ポテンシャルが形成され、DPAを通過する粒状物が静電的に捕捉される。様々な方法によって、この電圧電力ポテンシャルを形成することができる。どの方法においても、中心ワイヤ92とコイル90が電極として作用する。具体例の1つでは、中心ワイヤ92は接地されており、コイル90には正のDC電圧又はAC電圧が印加される。図6(b)に示されているように、排気粒子94が負の電荷を有している場合は、ワイヤ92とコイル90により形成される電圧電力場(Felec)によって、粒子が引き付けられ、正の電荷をもつコイルの部分95で収集される。コイル90が接地され中心ワイヤ92に負の電圧が印加された場合にも、同様の結果を得ることができる。しかしこの場合は、ワイヤ92が負に帯電した粒子を反発しコイル90の方へと追いやってしまう。
【0053】
別の具体例では、中心ワイヤ92に正DC電圧又はAC電圧が印加され、コイル90は接地されている。この方法では、図6(c)に示されているように、正の電荷をもつワイヤ92上の位置96で、負に帯電した粒子が収集される。コイル90に負の電圧が印加され中心ワイヤ92が接地されている場合にも、同様の結果を達成することができる。このケースでは、コイル90が負に帯電した粒子を反発して、ワイヤ92の方へと追いやってしまう。
【0054】
また別の具体例では、ワイヤ92とコイル90のどちらも接地されておらず、その代わりに、これら両方が電圧ソースに接続され、ワイヤ92から相対的にコイル90まで、正又は負の電圧を与える。無論、正に帯電した粒状物が存在する場合は、この粒状物は、負に帯電した粒状物が収集される電極とは反対の電極に収集されるだろう。
【0055】
また、粒状物が正に帯電した粒子と負に帯電した粒子を共に備えている場合は、静電力により粒子を収集してもよい。このような場合は、一方の電極にAC電圧を印加し、他方を接地することが好ましい。例えば、AC電圧が中心ワイヤ92に接続し、コイル90が接地されている場合は、正にある半サイクルの間に、正の粒状物はワイヤと反発してコイル90の方へと追いやられる。しかし、負にある半サイクルの間に、負に帯電した粒子がワイヤと反発し、コイル90の上に収集される。
【0056】
上述の何れの場合においても、電場は、2つの電極の間の電圧で100〜5000ボルトであってもよい。2つの電極の間の電圧は、500ボルト(DC)から5000ボルト(AC)の間であることが好ましい。中心ワイヤ92から粒子が誘引されてコイル90上で収集されるか、あるいはその逆となるかは、粒子の極性と、コイル90及びワイヤ92に印加される電荷とによる。
【0057】
この設計はコイル90と中心ワイヤ92の間に発生する電圧ポテンシャルに依拠しているため、粒子の収集を最大するためにコイル90を内側チューブ85の内側に配置して、チューブの絶縁材料によりワイヤ92から分離しないようにしている。コイル90及び中心ワイヤ92は、チューブ85の内側に配置されているため、弗素等の多種多様な反応性の高い化学種と接触するようになる。従って、コイル90とワイヤ92をニッケル等の、これら化学種と反応しないような適当な導電材料でつくることが重要である。この具体例では、コイル90が、粒子を誘引又は反発する電圧ポテンシャルとRF電力信号の両方をもたらすことを指摘することが重要である。
【0058】
静電収集器と機械的捕捉部の組合わせにより、真空ライン31内への堆積物の蓄積の防止に特に有効なメカニズムを提供する。機械的捕捉部は、ガス排気流れの中に存在する比較的大きな粒子を捕捉するために特に有効であり、その理由は、この様な粒子は重力により外側チューブ62の中に保持される場合がほとんどだからである。他方、静電による捕捉は、ガス排気流れの中の機械的捕捉部だけでは収集されないような小さな粒子の収集及び捕捉に特に有効である。
【0059】
上述の窒化珪素の堆積では、直径1μm〜1mm又はそれ以上のサイズの粒子が観測された。このような粒子が排気ライン中にある場合は、粒子には2つの重要な力が作用する:1つは重力(Fg )であり、もう1つはガスの運動によって生じる中和的抵抗力(Fnd)である。直径100μm以上の粒子のような大型の粒状物に対して、主となる誘引力は重力であるため、機械的捕捉が特に重要である。しかし、ガスの抵抗力の方が重力よりも高くなる。従って、静電捕捉器の2つの電極の間で形成される電場は、粒状物の軌跡に対して垂直方向の、付加的な力(Felec)を加えることになる。この力は、直径10μm未満の粒子のような非常に小さな粒子に対しては、重力及び抵抗力よりも2〜3桁大きな力となるため、収集の効率が非常に高くなる。
【0060】
【実施例】
(IV.実験的な使用法と試験の結果)
本発明の有効性を例証するため、8インチウエハのためのプレシジョン5000チャンバにプロトタイプのDPA40を付加して、実験を行った。このプレシジョン5000はアプライドマテリアルズ社製である。
【0061】
実験では、プロトタイプのDPAは、DPAをフォアラインに接続するための下側フランジのデザインを除いて、図3に示されているDPA40と同様である。プロトタイプのDPAと下フランジの断面図が、図7に示されている。図7に示されているように、下フランジ100は、DPAを通るガスの流れを、約90゜の角度で向きを変えてフォアラインに流れ込むようにする。また、このフランジには、フォアラインの接続部と反対側にクオーツウィンドウが固定され、フランジの底部104上に蓄積する堆積材料を観測できるようになっていた。このプロトタイプDPAの下フランジの設計により、領域104内の粒状物の捕捉が、図5及び6に示されているDPA40の具体例における機械的バケット捕捉の設計と同様の方法であるがこれほど有効ではない粒状物の捕捉の利点を付加して有していた。
【0062】
このプロトタイプの装置には、クオーツチューブ106が具備され、このクオーツチューブの外側には3/8インチの銅の管でできたコイル108が巻かれていた。コイル108の全長は約25フィート(約7.62メートル)であり、13.56MHzの電源が、以下の記載で説明する種々の電力レベルで駆動された。クオーツチューブ106とコイル108とは、アルミニウムコンテナ110の中でシールされていた。この組立体の全長は約14インチ(約350mm)であり、組立体の幅は約4.5インチ(約114mm)であった。
【0063】
DPAの有効性を試験する実験を行う前に、窒化珪素の堆積のステップの後に弗素クリーニングのステップを行うことにより、プロセスチャンバ内に堆積する残留物の組成を調べるための実験が行われた。この残留物の組成は、窒化珪素堆積のプロセス/弗素クリーニングのプロセスのシーケンスについて、異なる2つのシーケンスを行って決定した。これらのプロセスシーケンスのそれぞれでは、窒化珪素堆積のステップは同一であったが、弗素クリーニングのステップでは、第1のシーケンスではCF4 の化学を用いたクリーニングのステップを行い、第2のシーケンスでは、NF3 の化学を用いたクリーニングを行った。
【0064】
シラン(SiH4 )ガスと、窒素(N2 )ガスと、アンモニア(NH3 )ガスとのプラズマにウエハをさらすことにより、窒化珪素の膜をウエハ上に堆積した。SiH4 は、流量275sccmでチャンバ内に導入された。N2 は流量3700sccmで、NH3 は流量100sccmで、それぞれ、チャンバ内に導入された。圧力4.5トール、温度400℃で、13.56MHzのRF電源を720ワットでドライブして、プラズマを形成した。この窒化珪素の堆積のプロセスは約75秒間続けられ、この時間は、ウエハ上に10,000 オングストロームの膜を堆積するに充分な長さであった。
【0065】
第1の測定として、窒化珪素の堆積のステップが完了してウエハがチャンバから取り出された後、CF4 とN2O を用いて120秒間、チャンバをクリーニングした。CF4 対N2O の比は、3:1であり、CF4 は流量1500sccmで導入され、N2O は流量500sccmで導入された。クリーニングのステップの間、チャンバの温度は400℃に維持され、圧力は5トールに維持された。13.56MHzの電源で1000ワットの電力を与えて、プラズマを形成した。
【0066】
第2の測定として、前駆体ガスとしてNF3 とN2O とN2 を用いてプラズマを形成し、チャンバをクリーニングした。NF3 対N2O 対N2 の比はおよそ5:2:10であり、NF3 は流量500sccmで、N2O は流量500sccmで、N2 は流量1000sccmで、それぞれ、導入された。クリーニングのステップの間、チャンバの温度は400℃に維持され、圧力は5トールに維持され、これが95秒間継続した。13.56MHzの電源で1000ワットの電力を与えて、プラズマを形成した。
【0067】
下記の表1に明らかなように、窒化珪素堆積/CF4 チャンバクリーニングのプロセスのシーケンスで蓄積した残留物は茶色がかった粉体であり、窒化珪素堆積/NF3 チャンバクリーニングのプロセスのシーケンスで蓄積した残留物は、黄色−白色の粉体であった。
【0068】
【表1】
Figure 0003897382
【0069】
チャンバ内に蓄積した残留物の組成が決定された後、残留物の粉体の粒子サイズを調べる実験が行われた。この実験では、シリコン片をフォアライン内に置き、堆積のプロセスによりそこに堆積する物質を収集した。15秒間の堆積のプロセスにおいても、茶色の粉体の形態である残留物の蓄積が、真空ライン31内に通常生じることが観測された。この残留物を示す顕微鏡写真は、図8に示されている。茶色の粉体(ブラウンパウダー)は、SiXyz 、Sixy、SiOx 及び珪素原子の残留物からできていた。図9に示されるように、典型的な粒子のサイズの顕微鏡写真では、粉体の1次粒子のサイズは約直径1〜50μmであった。更に実験を行った結果、粉体の粒子サイズは堆積の時間と共に増加し、堆積のステップ90秒では直径1mmの大きさに成長した。
【0070】
3種類の実験により、DPAの有効性をテストした。これら実験のそれぞれにおいて、真空排気マニホールドとフォアラインの間にプロトタイプDPAを接続するプレシジョン5000チャンバの中で、100枚のウエハを、窒化珪素の堆積のプロセスとCF4 の弗素クリーニングプロセスとのシーケンスにより処理した。それぞれの実験ではシーケンスの堆積プロセスを行っている間はプロトタイプのDPAをオフにし、クリーニングのプロセスを行っている間はオンにして13.56MHzのRF電源により電力を供給した。堆積のプロセス中のオフの間、粒子は図7に領域112として示されるチューブ106内部に沿って集まってくる。そしてこれらの粒子は、シーケンスの中のクリーニングのプロセス中にDPAを作動させれば、チューブ106から取り除かれる。3つの実験のそれぞれの条件を、表2にまとめた。
【0071】
【表2】
Figure 0003897382
【0072】
第1の実験では、シーケンスの中の弗素クリーニングのプロセスが135秒であり、DPAが200ワットで駆動された。プロセスチャンバ内にCF4 を流量1500sccmで導入し、同じくチャンバ内に流量500sccmで導入したN2O と混合した(混合比は3:1)。堆積/クリーニングのシーケンスを100回行った後、DPAを調べたところ、残留物や堆積がなかったことがわかった。DPAの底部の角度をつけたフランジには、少量の残留物の蓄積が集まっていた。この残留物の蓄積の原子の濃度を測定し、表3にまとめた。残留物内の珪素の半分以上は珪素酸化物の形態で含まれており、窒素は、およそ半分が窒化珪素膜の形態、あとの半分はアンモニアの形態で含まれていた。
【0073】
第2の実験では、シーケンスの中の弗素クリーニングのプロセスを120秒と短くし、DPAの駆動電力を500ワットに上げた。プロセスチャンバ内にCF4 を流量2000sccmで導入し、同じくチャンバ内に流量500sccmで導入したN2O と混合した(混合比は4:1)。堆積/クリーニングのシーケンスを100回行った後、DPAを調べたところ、残留物や堆積がなかったことがわかった。DPAの底部の角度をつけたフランジには、少量の残留物の蓄積が集まっていた。しかし、目視による検査の結果、残留物の蓄積の量は、第1の実験の蓄積の量の約80未満であった。
【0074】
この残留物の蓄積の原子の濃度を測定し、表3にまとめた。この表から明らかなように、この実験による残留物は、第1の実験の残留物に比べて著しく高い弗素の含有率を示した。この弗素の濃度の高い残留物によって、プラズマに更に多くの弗素種を与えるため、DPAを更に作動させる間に残留物のクリーニングを容易にする。また、この実験の残留物内の珪素の大多数は珪素酸化物の形態で存在しており、窒素の大多数はアンモニアの形態で存在していたことを注記すべきである。
【0075】
第3の実験は、DPA及び、第1の実験と第2の実験で残留物が集まる傾向にあった角度をつけたフランジから、残留物を完全に除去できることを示した。この第3の実験では、シーケンスの中の弗素クリーニングのプロセスが120秒であり、DPAの駆動電力を500ワットに上げた。プロセスチャンバ内へ導入するCF4 の流量を2500sccmに上げ、同じくチャンバ内に流量500sccmで導入したN2O と混合した(混合比は5:1)。堆積/クリーニングのシーケンスを100回行った後、DPAと角度をつけたフランジを調べたところ、残留物や堆積がなかったことがわかった。
【0076】
残留物の存在と組成に関してこれら3つの実験の結果を、表3にまとめた。
【0077】
【表3】
Figure 0003897382
【0078】
本発明の幾つかの具体例について充分に説明してきたが、本発明に従った真空ラインから粒状物を除去する装置及び方法について、数多くの多様な均等又は代替的な装置と方法が、いわゆる当業者に明らかであろう。更に、例示のために本発明の一部の詳細を説明し、明確化及び理解の目的で具体例を説明してきたが、これらに変形や変更を加えてもよいことは自明である。例えば、本発明の機械的捕捉部を、外側通路により包囲される内側通路について説明してきたが、捕捉部は、第2の通路の中に外周が包含される第1の通路であるが、上記の代りに第1の通路から伸び又はこれの上向きに伸びるもので形成されてもよい。このような均等物や代替物は、本発明の範囲に含まれるものと理解されよう。
【0079】
【発明の効果】
以上詳細に説明したように、本発明によれば、
本発明は、排気ラインで粒子物質その他の物質の蓄積を実質的に防止する方法及び装置を提供することにより堆積物質の形成を防止し、且つ、堆積物質の除去を確保するための方法及び装置も提供する。
【図面の簡単な説明】
【図1】本発明の装置を付加することができる、従来技術の簡単な化学気相堆積装置の断面図である。
【図2】図1の化学気相堆積装置への接続の一例を示す構成図である。
【図3】図1の化学気相堆積装置への接続の第2の例を示す構成図である。
【図4】本発明の真空ラインクリーニング装置の1つの具体例を示す側面断面図である。
【図5】本発明の真空ラインクリーニング装置の第2の具体例を示す側面断面図である。
【図6】(a)は、本発明の真空ラインクリーニング装置の第3の具体例を示すそう面断面図であり、(b)及び(c)は、クリーニング装置にポンプにより導入される粒子に関して、(a)の装置を用いて静電的に捕捉される効果を例示する状態図である。
【図7】本発明の効果について評価する試験を行うために用いられる、本発明の装置のプロトタイプの側面断面図である。
【図8】窒化珪素の堆積プロセスを15秒行った後の真空フォアライン内部のシリコン片に蓄積した残留物を示すSEM写真である。
【図9】本発明の試験に先立ち行われた実験において真空フォアライン内部のシリコン片に蓄積した残留物の大きさを示すSEM写真である。
【符号の説明】
10…化学気相堆積リアクタ、11…ガス散布マニホールド、12…サセプタ、13…支持フィンガ、14…処理のポジション、15…真空チャンバ、21…矢印、23…ポート、24…真空マニホールド、25…RF電源、26…ランプモジュール、31…真空フォアライン、32…真空ポンプシステム、34…プロセッサ、36…制御ライン、38…メモリ、40…下流プラズマクリーニング装置(DPA)、42…第2のDPA、44…RF電源、46…スプリッタ、50…チューブ、52…コイル、54…外側コンテナ、56,57…点、60…内側セラミックチューブ、64…矢印、66…コイル、68…シェル、70…収集領域、72…静電収集器、76…平面、80…側フランジ、81…上フランジ、84…外側ケーシング、85…内側チューブ、86…外側チューブ、87…外側コイル、90…内側コイル、92…中心ワイヤ、94…排気粒子、95…コイル部分、100…下フランジ、104…フランジの底部、106…クオーツチューブ、18…コイル、110…アルミニウムコンテナ、112…領域。

Claims (15)

  1. 排気ライン内の堆積物を減少するための装置であって、
    流入ポートと流出ポートとを備えるベッセルチャンバと、
    前記ベッセルチャンバに接続される電極であって、所定の電圧が前記電極に印加されたときに前記ベッセルチャンバ内の粒状物をプラズマにより励起して、プラズマの構成成分を反応させガス状の生成物を形成し、該ガス状生成物は前記ベッセルチャンバから強制排気される、前記電極と、を備え、
    前記ベッセルチャンバが、前記ベッセルチャンバの中を通るガスを第1の方向で流す通路を成す第1の通路と、前記第1の方向とは異なる第2の方向の通路を成す第2の通路とを備え、前記第1の通路と前記第2の通路とは、ガスが、前記流入ポートを通って前記ベッセルチャンバの中に流入し、前記第1の通路を通り、前記第2の通路を通って、前記流出ポートを通って前記ベッセルから外へ出ていくように、配置される装置。
  2. 前記電極が、前記ベッセルチャンバの少なくとも一部を包囲するコイルを備える請求項1に記載の装置。
  3. 前記第1の通路と前記第2の通路とが、前記ベッセルチャンバの中を通過するガスの中に存在する粒状物を捕捉する粒子収集領域を形成するように設計されており、
    前記第1の方向が、前記第2の方向と実質的に正反対の方向である請求項に記載の装置。
  4. 前記ベッセルチャンバにつながり、前記ベッセルチャンバの中を通過するガスの中に存在する静電荷を有する粒状物を収集する、静電収集器を更に有する請求項1〜3のいずれか一項に記載の装置。
  5. 排気ライン内の堆積物を減少するための装置であって、
    流入ポートと流出ポートとを有する流体導管と、前記流入ポートと前記流出ポートとの間の収集チャンバとを備えるベッセルであって、前記収集チャンバは、前記流体導管の中を流れる粒状物を収集し、且つ、前記収集チャンバから該粒状物を逃さないように、構成され配置される、前記ベッセルと、
    前記収集チャンバの少なくとも一部を包囲するコイルと、
    有効に前記コイルに結合し、前記コイルにRF電力を供給して、前記収集チャンバ内の粒状物とプラズマを形成し、プラズマの構成成分を反応させガス状の生成物を形成し、該ガス状生成物は前記ベッセルチャンバから強制排気される、コイルにRF電力を供給するための手段と
    を備える装置。
  6. 前記収集チャンバが、前記流体導管の入口と連通する第1の壁たる下壁と、前記第1の壁と連続で且つ前記第1の壁から上向きに伸びる第2の壁たる外周壁とにより画される請求項に記載の装置。
  7. 前記ベッセルが、前記導管と連通する内部空胴を形成するシャフトであって、前記収集チャンバの前記第1の壁と連通し且つ前記第1の壁から縦方向に離れて上方に配置される下側開口を、前記チャンバ内へ粒状物を排出するために有する、前記シャフトを備え、前記第2の壁たる外周壁は前記シャフトを包囲し、これらの間に、前記流路の出口と連通する環状の縦方向の流路を形成する、請求項に記載の装置。
  8. 半導体プロセスチャンバに接続した排気ラインの中の堆積物を減少するための方法であって、
    前記プロセスチャンバから排気されたガスを、排気ガスに存在する粒状物を重力と協働して捕捉し保持するように設計されたガス流路を成すベッセルチャンバの中に通過させるステップと、
    前記ガス流路内にプラズマを発生させて、前記ベッセルチャンバ内に堆積した粒状物又は捕捉された粒状物を除去するステップと
    を有する方法。
  9. 前記ガス流路内の該排気ガスに存在する粒状物を、静電収集器を用いて捕捉するステップを更に有する請求項に記載の方法。
  10. 化学気相堆積(CVD)により基板上に窒化珪素を堆積するためのプロセスチャンバにおいて、前記プロセスチャンバにつながった排気ライン内の窒化珪素堆積物からの残留物の蓄積を低減するための方法であって、
    前記プロセスチャンバから排気されたガスを、入口と出口を有する流体導管を成すベッセルの中を強制的に通過させるステップと、
    前記ベッセルの前記入口と前記出口の間に配置された収集チャンバの中で該排気ガスに存在する粒状物を収集するステップと、
    前記収集チャンバを包囲するコイルにRF電力を印加してプラズマを発生し、収集されている該粒状物を反応させてガス状の生成物とするステップと
    該ガス状生成物を前記ベッセルから強制的に排気するステップと
    を有する方法。
  11. 該粒状物が、珪素含有生成物が部分的に反応した物質と、該窒化珪素堆積物の副生成物とを有する請求項8〜10のいずれか一項に記載の方法。
  12. 前記プロセスチャンバ内に反応性ガスが導入され前記ベッセルの中を強制的に流して、膜堆積のステップにおいて堆積した物質をエッチングする、チャンバクリーニングの操作の間に、前記プラズマを形成する請求項8〜11のいずれか一項に記載の方法。
  13. 前記プラズマの形成が、前記膜堆積の間はオフ(切)であり、前記チャンバクリーニングの操作はオン(入)である請求項12に記載の方法。
  14. 粒状物を収集する前記ステップでは、重力を用いて前記収集チャンバの中に粒子を収集する請求項8〜13のいずれか一項に記載の方法。
  15. 粒状物を収集する前記ステップでは、重力と静電力を用いて前記収集チャンバの中に粒子を収集する請求項8〜14のいずれか一項に記載の方法。
JP27400896A 1995-09-25 1996-09-25 Cvdシステムの真空ラインのクリーニング方法及び装置 Expired - Fee Related JP3897382B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/533174 1995-09-25
US08/533,174 US6194628B1 (en) 1995-09-25 1995-09-25 Method and apparatus for cleaning a vacuum line in a CVD system

Publications (2)

Publication Number Publication Date
JPH09181063A JPH09181063A (ja) 1997-07-11
JP3897382B2 true JP3897382B2 (ja) 2007-03-22

Family

ID=24124802

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27400896A Expired - Fee Related JP3897382B2 (ja) 1995-09-25 1996-09-25 Cvdシステムの真空ラインのクリーニング方法及び装置

Country Status (6)

Country Link
US (3) US6194628B1 (ja)
EP (2) EP1132496B1 (ja)
JP (1) JP3897382B2 (ja)
KR (1) KR100303231B1 (ja)
AT (1) ATE210742T1 (ja)
DE (2) DE69631349T2 (ja)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2002517740A (ja) * 1998-06-12 2002-06-18 オン−ライン テクノロジーズ インコーポレーテッド 処理室清浄またはウエハエッチング・エンドポイントの特定方法およびその装置
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
JP4288010B2 (ja) * 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
US6354241B1 (en) 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001284267A (ja) * 2000-04-03 2001-10-12 Canon Inc 排気処理方法、プラズマ処理方法及びプラズマ処理装置
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
JP2002217118A (ja) * 2001-01-22 2002-08-02 Japan Pionics Co Ltd 窒化ガリウム膜半導体の製造装置、排ガス浄化装置、及び製造設備
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6733827B2 (en) * 2001-04-11 2004-05-11 The Procter & Gamble Co. Processes for manufacturing particles coated with activated lignosulfonate
AU2002343330A1 (en) * 2001-08-31 2003-03-10 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4374814B2 (ja) * 2001-09-20 2009-12-02 株式会社日立製作所 過弗化物処理の処理方法
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
EP1384505A1 (en) * 2002-07-03 2004-01-28 Athanasios tou Panagioti, Nikolaou Elaboration method of an organic or/and inorganic substance for modifying its physico-chemical properties
WO2004004887A1 (en) * 2002-07-03 2004-01-15 Athanasios Nikolaou Method for the treatment of the organic and/or the inorganic matter for the modifying its physicochemical properties
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
KR100505670B1 (ko) * 2003-02-05 2005-08-03 삼성전자주식회사 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
WO2005077523A1 (en) * 2004-02-11 2005-08-25 Jean-Pierre Lepage System for treating contaminated gas
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
KR100615603B1 (ko) * 2004-10-18 2006-08-25 삼성전자주식회사 반도체 제조용 확산 설비의 확산로 세정 방법 및 세정용보조구
US7317606B2 (en) * 2004-12-10 2008-01-08 Applied Materials, Israel, Ltd. Particle trap for electrostatic chuck
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060162742A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US9627184B2 (en) * 2005-01-26 2017-04-18 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
TWI336901B (en) * 2006-03-10 2011-02-01 Au Optronics Corp Low-pressure process apparatus
JP6030278B2 (ja) * 2006-03-16 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子デバイス製造システムの操作を改善する方法及び装置
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101213689B1 (ko) * 2006-06-12 2012-12-18 주식회사 테라텍 반도체 및 lcd 제조장치의 공정 반응 챔버의 배기부 및진공펌프의 세정장치
US20080047578A1 (en) * 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080124670A1 (en) * 2006-11-29 2008-05-29 Frank Jansen Inductively heated trap
US20080157007A1 (en) * 2006-12-27 2008-07-03 Varian Semiconductor Equipment Associates, Inc. Active particle trapping for process control
KR100851236B1 (ko) * 2007-03-06 2008-08-20 피에스케이 주식회사 배기장치 및 이를 포함하는 기판처리장치, 그리고 배기방법
DE102007016026A1 (de) * 2007-03-30 2008-10-02 Sig Technology Ag Vakuumbeschichtungsanlage mit Abscheidevorrichtung im Restgasstrang
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
EP2248153B1 (en) * 2008-02-11 2016-09-21 Entegris, Inc. Ion source cleaning in semiconductor processing systems
US20090261839A1 (en) * 2008-03-14 2009-10-22 Turner Terry R Effluent impedance based endpoint detection
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5948040B2 (ja) 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
TWI647004B (zh) 2014-04-30 2019-01-11 南韓商韓國機械研究院 減少有害物質之電漿反應器
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US20190035607A1 (en) * 2016-01-26 2019-01-31 Jusung Engineering Co., Ltd. Substrate processing apparatus
CN108780733A (zh) 2016-02-01 2018-11-09 雷特罗萨米科技有限责任公司 用于过程反应室的排气通道的清洁装置
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
CN111069192A (zh) * 2018-10-22 2020-04-28 北京北方华创微电子装备有限公司 原位清洗装置和半导体处理设备
US11517831B2 (en) * 2019-06-25 2022-12-06 George Andrew Rabroker Abatement system for pyrophoric chemicals and method of use
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) * 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JPH01171227A (ja) * 1987-12-25 1989-07-06 Matsushita Electric Ind Co Ltd Cvd方法
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH03253571A (ja) * 1990-03-02 1991-11-12 Hitachi Ltd 排気装置および化学気相成長装置
EP0454346A1 (en) 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Exhaust particulate filter
JPH04136175A (ja) 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JP3111663B2 (ja) * 1992-07-28 2000-11-27 ソニー株式会社 プラズマ装置
DE4319118A1 (de) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
KR100219036B1 (ko) 1996-09-30 1999-09-01 이계철 저전압형 모스펫 콘트롤링 곱셈기
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Also Published As

Publication number Publication date
JPH09181063A (ja) 1997-07-11
EP0767254B1 (en) 2001-12-12
US6680420B2 (en) 2004-01-20
US20010016674A1 (en) 2001-08-23
US6194628B1 (en) 2001-02-27
EP1132496A1 (en) 2001-09-12
KR970018008A (ko) 1997-04-30
DE69631349T2 (de) 2004-11-25
EP1132496B1 (en) 2004-01-14
DE69617858T2 (de) 2002-08-29
ATE210742T1 (de) 2001-12-15
EP0767254A1 (en) 1997-04-09
DE69617858D1 (de) 2002-01-24
KR100303231B1 (ko) 2001-11-30
DE69631349D1 (de) 2004-02-19
US6689930B1 (en) 2004-02-10

Similar Documents

Publication Publication Date Title
JP3897382B2 (ja) Cvdシステムの真空ラインのクリーニング方法及び装置
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
US6517913B1 (en) Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
US8343317B2 (en) In situ cleaning of CVD System exhaust
KR100696030B1 (ko) 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법
JPH07335563A (ja) プラズマcvd装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061219

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110105

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120105

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120105

Year of fee payment: 5

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130105

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees