JPH04239819A - 同期式カウンタ - Google Patents

同期式カウンタ

Info

Publication number
JPH04239819A
JPH04239819A JP726291A JP726291A JPH04239819A JP H04239819 A JPH04239819 A JP H04239819A JP 726291 A JP726291 A JP 726291A JP 726291 A JP726291 A JP 726291A JP H04239819 A JPH04239819 A JP H04239819A
Authority
JP
Japan
Prior art keywords
synchronous counter
output
gate
counter circuit
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP726291A
Other languages
English (en)
Inventor
Hiroyuki Yamada
浩幸 山田
Masahisa Nemoto
正久 根本
Shohei Seki
昇平 関
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP726291A priority Critical patent/JPH04239819A/ja
Publication of JPH04239819A publication Critical patent/JPH04239819A/ja
Withdrawn legal-status Critical Current

Links

Landscapes

  • Synchronisation In Digital Transmission Systems (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、ディジタル回路におけ
る同期式カウンタ、特に複数ビットのカウントを簡単な
回路構成で高速に行う同期式カウンタに関するものであ
る。
【0002】
【従来の技術】従来、この種の同期式カウンタとしては
、斉藤忠夫著「ディジタル回路」6版(昭62−10−
30)コロナ社、p.102−103に記載されるよう
なものがあった。以下、その構成を図2を用いて説明す
る。
【0003】図2は、従来の同期式カウンタの一構成例
を示す回路図である。
【0004】この同期式カウンタは、4個のJK型フリ
ップフロップ(以下、JK−FFという)1−1〜1−
4を有し、4ビット16進カウンタ回路を構成している
。最下位ビット(以下、LSBという)のJK−FF1
−1の入力側J,k には、論理“1”が接続されてい
る。JK−FF1−1の出力側Q は、LSBの出力端
子Q1、JK−FF1−2の入力側J ,k 、及びキ
ャリー(桁上げ)用のアンドゲート(以下、ANDゲー
トという)2−1,2−2にそれぞれ接続されている。 JK−FF1−2の出力側Q は、出力端子Q2、及び
ANDゲート2−1,2−2に接続され、そのANDゲ
ート2−1がJK−FF1−3の入力側J ,K に接
続されている。JK−FF1−3の出力側Q は、出力
端子Q3及びANDゲート2−2に接続され、そのAN
Dゲート2−2がJK−FF1−4の入力側J ,K 
に接続され、さらにJK−FF1−4の出力側Q が最
上位ビット(以下、MBSという)の出力端子Q4に接
続されている。各JK−FF1−1〜1−4のクロック
入力端子には、反転クロックパルスCLKaが共通接続
されている。
【0005】各JK−FF1−1〜1−4は、2つの入
力側J ,K を共に“0”とすれば、現在の状態が保
持され、共に“1”にすると、出力が反転する。
【0006】JK−FF1−1は、反転クロックパルス
CLKaの負のエッジトリガ(立上がり)により、該反
転クロックパルスCLKaを1/2分周し、出力端子Q
1、JK−FF1−2の入力側J ,K 、及びAND
ゲート2−1,2−2へ出力する。JK−FF1−2は
、出力端子Q1が“1”のとき、反転クロックパルスC
LKaの負のエッジトリガに同期して出力状態が反転し
、該出力を出力端子Q2及びANDゲート2−1,2−
2へ出力する。JK−FF1−3,1−4は、出力端子
Q2,Q3が“1”のとき、反転クロックパルスCLK
aの負のエッジトリガに同期して出力状態が反転し、該
出力をそれぞれ出力端子Q3,Q4へ出力する。このよ
うにして、4ビットバイナリ・カウンタ動作が実行され
る。
【0007】
【発明が解決しようとする課題】しかしながら、上記構
成の同期式カウンタでは、次のような課題があった。
【0008】(a)  上位側のビットに対応するJK
−FF1−4は、下位側のJK−FF1−1〜1−3の
出力によってカウント値を出力するようになっている。 従って、図2と同様の接続法によってm+n個のJK−
FFを用いてm+nビットの同期式カウンタを構成でき
る。ところが、JK−FFの数を増してビット数を大き
くすると、該ビット数に応じてANDゲート2−1,2
−2のファンイン数(論理ゲートにおいて1つのゲート
に接続しうる最大の入力の数)が増大し、回路が複雑化
する。
【0009】(b)  JK−FFの数を増してビット
数を大きくすると、LSBのJK−FF1−1の出力側
Q に接続されるJK−FFの数が多くなり、JK−F
F1−1のファンアウト数(1つのゲートの出力端子に
接続しうる最大の並列負荷の数)が増大する。このため
、同期式カウンタの動作速度が遅くなる。また、ビット
数が大きくなることによってMSBのJK−FFの入力
側J ,k に接続されるANDゲート2−1,2−2
のファンイン数も増加し、同期式カウンタの動作速度を
遅くするという問題もあった。
【0010】本発明は前記従来技術が持っていた課題と
して、ビット数の増大によって回路が複雑化する点、及
び動作速度が低下するという点について解決した同期式
カウンタを提供するものである。
【0011】
【課題を解決するための手段】前記課題を解決するため
に、第1の発明は、入力パルスに同期して動作する複数
ビットの第1の同期式カウンタ回路と、前記第1の同期
式カウンタ回路が最上位ビットへ桁上げを行う1パルス
前にキャリー信号を生成するゲート回路と、前記入力パ
ルスに同期して前記キャリー信号を1パルス分、遅延す
る第1のフリップフロップと、前記第1のフリップフロ
ップの出力に同期して動作する複数ビットの第2の同期
式カウンタ回路と、前記入力パルスに同期して前記第1
及び第2の同期式カウンタ回路の各出力をそれぞれ入力
して所定のタイミングでカウンタ出力信号を出力する複
数の第2のフリップフロップとを、備えている。
【0012】第2の発明では、前記第1の発明のゲート
回路をアンドゲートまたはノアゲートで構成すると共に
、第1及び第2のフリップフロップを遅延型フリップフ
ロップで構成している。
【0013】
【作用】第1の発明によれば、以上のように同期式カウ
ンタを構成したので、第1の同期式カウンタ回路は、入
力パルスの入力によって下位側のビットのカウンタ動作
を行う。第2の同期式カウンタ回路は、ゲート回路及び
第1のフリップフロップを介し、第1の同期式カウンタ
回路の出力から得られるキャリー信号を入力して上位側
のビットのカウンタ動作を行う。第1及び第2の同期式
カウンタ回路によってビット数が二分される。これによ
り、LSBのファンアウト数及びMSBのファンイン数
の増加を防ぐことができ、第1及び第2の同期式カウン
タ回路の動作が的確に行える。
【0014】ゲート回路では、第1の同期式カウンタ回
路の最上位ビットへの桁上り時の1パルス前にキャリー
信号を生成する。即ち、ゲート回路は、第1の同期式カ
ウンタ回路のLSBを構成するフリップフロップの反転
出力と他のビットを構成するフリップフロップの出力と
の論理をとり、その開閉動作によってキャリー信号を生
成する。このキャリー信号を第1のフリップフロップで
1パルス分、遅延させて第2の同期式カウンタ回路へ出
力するようにしている。さらに、第1及び第2の同期式
カウンタ回路の出力は、第2のフリップフロップによっ
てタイミング調整されるので、入力パルスに同期したカ
ウンタ出力信号が得られる。
【0015】第2の発明では、ゲート回路をアンドゲー
トまたはノアゲートで構成すると共に、第1及び第2の
フリップフロップを遅延型フリップフロップで構成する
ことにより、さらに的確なタイミング動作が可能となる
。従って、前記課題を解決できるのである。
【0016】
【実施例】図1は、本発明の実施例を示す同期式カウン
タの構成図である。
【0017】この同期式カウンタは、m+nビットのカ
ウンタ動作を行い、mビットのカウンタ動作を行う第1
の同期式カウンタ回路10a、及びnビットのカウンタ
動作を行う第2の同期式カウンタ回路10bを有してい
る。
【0018】同期式カウンタ回路10a,10bを、例
えば図2に示す従来の同期式カウンタと同一の回路構成
としてm、nをそれぞれ4とすれば、同期式カウンタ回
路10aは、クロックパルスCLKに同期して動作する
4個のJK−FF1−1〜1−4及びANDゲート2−
1〜2−2で構成され、一方同期式カウンタ回路10b
は、クロックパルスCLKに同期して動作する4個のJ
K−FF1−5〜1−8及びANDゲート2−3〜2−
4で構成される。以下、図1中の同期式カウンタ回路1
0a,10bについては図2を援用して説明する。
【0019】同期式カウンタ回路10aは、クロックパ
ルスCLKに接続するクロック入力用の入力端子11a
を有し、該クロック入力端子11aが、JK−FF1−
1〜1−4のクロック入力側にそれぞれ接続されている
。LSBのJK−FF1−1は、入力側j ,K に論
理“1”が接続され、出力側Qに次段のJK−FF1−
2の入力側j ,K 、ANDゲート2−1,2−2の
入力側及び出力端子Qm1が接続されている。また、J
K−FF1−1の反転出力側QQに出力端子QmONが
接続されている。JK−FF1−2の出力側Q にAN
Dゲート2−1,2−2の入力側及び出力端子Qm2が
接続されている。同様に、JK−FF1−3の出力側Q
 にANDゲート2−2の入力側及び出力端子Qm3が
接続され、JK−FF1−4の出力側Qに出力端子Qm
4が接続されている。
【0020】同期式カウンタ回路10aの出力端子Qm
ONは、ゲート回路であるANDゲート20の第1の入
力側に接続されている。また、同期式カウンタ回路10
aの出力端子Qm1は、第2のフリップフロップ(以下
、FFという)である遅延型FF(以下、D−FFとい
う)30−1の入力側D に接続されている。出力端子
Qm2は、ANDゲート20の第2の入力側及び第2の
FFであるD−FF30−2の入力側D に接続されて
いる。同様に、出力端子Qm3,Qm4は、ANDゲー
ト20の第3,第4の入力側及び第2のFFであるD−
FF30−3,30−4の入力側D にそれぞれ接続さ
れている。
【0021】ANDゲート20は、その出力側に第1の
FFであるD−FF40の入力側が接続され、同期式カ
ウンタ回路10aの各出力端子QmON,Qm2〜Qm
4の論理をとってその論理が“1”となる時、キャリー
信号CをD−FF40へ出力する機能を有している。
【0022】ここで、出力端子QmONに現れる信号は
、他の出力端子Qm2〜Qm4に対して反転した状態で
現れる。このため、同期式カウンタ回路10aの出力端
子Qm1〜Qm4がすべて“1”の状態になる以前、つ
まり1クロックだけ早いタイミングでANDゲート20
の入力側が全て“1”となり、ANDゲート20の出力
側でキャリー信号Cが出力される。
【0023】一方、同期式カウンタ回路10bは、クロ
ック入力用の入力端子11bを有し、該クロック入力端
子11bが、JK−FF1−5〜1−8のクロック入力
側にそれぞれ接続されている。このクロック入力端子1
1bは、ANDゲート20の出力側に接続されている。 このように同期式カウンタ回路10bは、キャリー信号
Cに同期して動作する。
【0024】JK−FF1−5の出力側Q にJK−F
F1−6の入力側j ,K 、ANDゲート2−3、2
−4の入力側及び出力端子Qn5が接続されている。J
K−FF1−6の出力側Q にANDゲート2−3,2
−4の入力側及び出力端子Qn6が接続されている。同
様に、JK−FF1−7の出力側Q にANDゲート2
−4の入力側及び出力端子Qn7が接続され、JK−F
F1−8の出力側Q に出力端子Qn8が接続されてい
る。
【0025】同期式カウンタ回路10bの各出力端子Q
n5〜Qn8は、第2のFFであるD−FF30−5〜
D−FF30−8のそれぞれの入力側D に接続されて
いる。D−FF40、及びD−FF30−1〜30−4
,30−5〜30−8のクロック入力側には、クロック
パルスCLKが共通接続されている。
【0026】D−FF30−1〜30−4の各出力側Q
 、及びD−FF30−5〜30−8の各出力側Q は
、カウント出力信号出力用の出力端子50−1〜50−
4及びカウント出力信号出力用の出力端子50−5〜5
0−8にそれぞれ接続されている。
【0027】次に、同期式カウンタの動作を説明する。
【0028】クロックパルスCLKの負のエッジトリガ
(立上がり)により、同期式カウンタ回路10aがカウ
ントアップしてゆく。出力端子Qm1〜Qm4には、ク
ロックパルスCLKに従って変化するカウント値が出力
され、それぞれビット毎の出力がD−FF30−1〜D
−FF30−4へ与えられる。これと同時に、出力端子
QmON,Qm2〜m4上の出力信号は、ANDゲート
20の第1〜第4の入力側へ与えられる。
【0029】さらに、D−FF30−1〜D−FF30
−4は、クロックパルスCLKの負のエッジトリガによ
って動作し、それぞれ入力側D 上の信号を取込み、次
のクロックパルスCLKの負のエッジトリガによって1
クロック分、遅延した信号をそれぞれの出力側Q へ出
力する。このように、同期式カウンタ回路10aの出力
端子Qm1〜Qm4で出力される信号は、D−FF30
−1〜30−4によってリクロックをかけられて1クロ
ック分、遅れてカウンタ出力端子50−1〜50−4へ
出力される。
【0030】さらにカウントが進み、同期式カウンタ回
路10aの出力端子QmON,Qm2〜Qm4上の信号
がすべて“1”になれば、4ビット目への桁上げが実際
に生じる1クロック前にANDゲート20の出力が“1
”になる。すると、次のクロックパルスCLKの立上が
りエッジ前にD−FF40の入力側D に“1”が取り
込まれる。このD−FF40にクロックパルスCLKが
入力されると、D−FF40の出力側Q に“1”のキ
ャリー信号Cが出力され、該キャリー信号Cが第2の同
期式カウンタ回路10bのクロック入力端子11bに入
力される。
【0031】同期式カウンタ回路10bは、キャリー信
号Cの負のエッジトリガにより、4ビット目への桁上げ
の回数をカウントしてゆき、そのカウント値を出力端子
Qn5〜Qn8へ出力する。各D−FF30−5〜30
−8の入力側Dには、同期式カウンタ回路10bの出力
端子Qn5〜Qn8の信号がそれぞれ与えられる。
【0032】この同期式カウンタ回路10bの動作は、
クロックパルスCLKには同期していない。そこで、D
−FF30−5〜30−8は、クロックパルスCLKの
負のエッジトリガにより動作し、出力端子Qn5〜Qn
8の信号に対してリクロックをかけ、クロックパルスC
LKに同期させてカウンタ出力端子50−5〜50−8
へ出力する。
【0033】D−FF30−5〜30−8によって出力
される信号は、同期式カウンタ回路10aの出力信号よ
りも、1クロック分遅れるが、D−FF30−1〜30
−4がリクロックをかけて同期式カウンタ回路10aの
出力信号を1クロック分遅らせている。このようにして
、同期式カウンタ回路10a、及び同期式カウンタ回路
10bのタイミングを一致させている。
【0034】本実施例では、次のような利点を有してい
る。
【0035】(A)  第1の同期式カウンタ回路10
a及び第2の同期式カウンタ回路10bを従来同様の回
路構成として同期式カウンタで扱うビット数を二分して
いる。また、第1の同期式カウンタ回路10aの桁上り
では、ANDゲート20によって生成されるキャリー信
号Cを第2の同期式カウンタ回路10bに入力するよう
にしている。さらに、第1の同期式カウンタ回路10a
及び第2の同期式カウンタ回路10bのそれぞれの出力
端子Qm1〜Qm4,Qn5〜Qn8にクロックパルス
CLKに同期して動作するD−FF30−1〜30−4
,30−5〜30−8が接続されている。このため、同
期式カウンタ回路10a及び同期式カウンタ回路10b
の出力が同期したものとなり、的確なカウント値が得ら
れる。
【0036】(B)  同期式カウンタ回路内10aに
おいてLSBの信号を出力するJK−FF1−1のファ
ンアウト数は、m−1である。また、同期式カウンタ回
路10b内においてMSBを出力するJK−FF1−8
の入力側J ,K に接続されるANDゲート20のフ
ァンイン数は、n−1である。従って、従来の同期式カ
ウンタと比較してファンアウト数及びファンイン数が少
なく、それだけビット数を複数にした場合の動作速度を
高めることができる。
【0037】なお、本発明は、図示の実施例に限定され
ず種々の変形が可能である。その変形例としては、例え
ば次のようなものがある。
【0038】(1)  図1では、同期式カウンタ回路
10a,10bをJK−FF1−1〜1−8で構成した
が、D−FFやT−FF(トリガ型FF)等の他のFF
で構成してもよい。
【0039】(2)  上記実施例では、ゲート回路と
してANDゲートを用いたが、ANDゲートに変えてN
ORゲートを用いることも可能である。この場合、同期
式カウンタ回路10a,10bを、逆相の信号を出力す
る回路構成にすれば、上記実施例と同様の動作が行える
【0040】(3)  図1では、第2のFFとしてD
−FF30−1〜30−8を用いたが、他のFFによっ
てタイミング調整するようにしてもよい。
【0041】(4)  上記実施例では、m,nをそれ
ぞれ4として8ビットのカウンタ動作を行うようにした
が、さらに複数ビットのカウンタ動作が可能である。こ
の場合、同期式カウンタ回路10a,10bは、その動
作速度が低下しないビット数に設定すればよい。
【0042】(5)  入力パルスは、クロックパルス
のような周期的な波形に限らない。他のパルスに対して
もカウントが的確に行える。
【0043】
【発明の効果】以上詳細に説明したように、第1の発明
によれば、同期式カウンタのビット数を第1及び第2の
同期式カウンタ回路で二分し、それぞれの同期式カウン
タ回路のビット毎の出力を複数の第2のFFで入力パル
スに同期したタイミングで出力するようにしている。こ
のため、ビット数の増加にあっても動作が的確となり、
従来の同期式カウンタの回路構成で入力パルスに同期し
たカウンタ出力信号を得ることができる。また、下位側
の第1の同期式カウンタ回路はLSBの出力のファンア
ウト数が少なく、上位側の第2の同期式カウンタはMS
Bの入力のファンイン数が少ないので、ビット数を多く
した場合に生じるこれら負荷が抑制されて動作速度の向
上が期待できる。
【0044】第2の発明によれば、ゲート回路としてA
NDゲートまたはNORゲートを用い、第1及び第2の
FFとしてD−FFを用いることにより、高速動作が期
待できる。
【図面の簡単な説明】
【図1】本発明の実施例を示す同期式カウンタの構成図
である。
【図2】従来の同期式カウンタの構成図である。
【符号の説明】
10a              第1の同期式カウ
ンタ回路20                ゲート
回路40                第1のFF
10b              第2の同期式カウ
ンタ回路30−1〜30−8  第2のFF

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】  入力パルスに同期して動作する複数ビ
    ットの第1の同期式カウンタ回路と、前記第1の同期式
    カウンタ回路が最上位ビットへ桁上げを行う1パルス前
    にキャリー信号を生成するゲート回路と、前記入力パル
    スに同期して前記キャリー信号を1パルス分、遅延する
    第1のフリップフロップと、前記第1のフリップフロッ
    プの出力に同期して動作する複数ビットの第2の同期式
    カウンタ回路と、前記入力パルスに同期して前記第1及
    び第2の同期式カウンタ回路の各出力をそれぞれ入力し
    て所定のタイミングでカウンタ出力信号を出力する複数
    の第2のフリップフロップとを、備えたことを特徴とす
    る同期式カウンタ。
  2. 【請求項2】  請求項1記載の同期式カウンタにおい
    て、前記ゲート回路をアンドゲートまたはノアゲートで
    構成すると共に、前記第1及び第2のフリップフロップ
    を遅延型フリップフロップで構成した同期式カウンタ。
JP726291A 1991-01-24 1991-01-24 同期式カウンタ Withdrawn JPH04239819A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP726291A JPH04239819A (ja) 1991-01-24 1991-01-24 同期式カウンタ

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP726291A JPH04239819A (ja) 1991-01-24 1991-01-24 同期式カウンタ

Publications (1)

Publication Number Publication Date
JPH04239819A true JPH04239819A (ja) 1992-08-27

Family

ID=11661116

Family Applications (1)

Application Number Title Priority Date Filing Date
JP726291A Withdrawn JPH04239819A (ja) 1991-01-24 1991-01-24 同期式カウンタ

Country Status (1)

Country Link
JP (1) JPH04239819A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4439929C2 (de) * 1993-11-08 2000-07-06 Samsung Electronics Co Ltd Zähler mit einer Folge von Zählerstufen
US7289591B2 (en) 2004-12-06 2007-10-30 Hynix Semiconductor Inc. Counter circuit for controlling off-chip driver
JP2014107793A (ja) * 2012-11-29 2014-06-09 Fujitsu Ltd カウンタ装置およびカウント方法
JP2018160817A (ja) * 2017-03-23 2018-10-11 セイコーエプソン株式会社 カウンター回路、測定装置および物理量センサー

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4439929C2 (de) * 1993-11-08 2000-07-06 Samsung Electronics Co Ltd Zähler mit einer Folge von Zählerstufen
US7289591B2 (en) 2004-12-06 2007-10-30 Hynix Semiconductor Inc. Counter circuit for controlling off-chip driver
JP2014107793A (ja) * 2012-11-29 2014-06-09 Fujitsu Ltd カウンタ装置およびカウント方法
JP2018160817A (ja) * 2017-03-23 2018-10-11 セイコーエプソン株式会社 カウンター回路、測定装置および物理量センサー

Similar Documents

Publication Publication Date Title
US5087828A (en) Timing circuit for single line serial data
KR940007543B1 (ko) 고속 프로그램가능 분주기
JPH09107284A (ja) 高速同期カウンタ回路
JPH04239819A (ja) 同期式カウンタ
US6091794A (en) Fast synchronous counter
KR100366793B1 (ko) 쉬프트 레지스터를 이용한 펄스열 생성장치
JP3238439B2 (ja) 同期式カウンタ
JPH0683066B2 (ja) カウンタ回路
JP2643470B2 (ja) 同期カウンタ
JPH05136691A (ja) 同期式カウンタ
JPS63227119A (ja) デイジタル可変分周回路
JPH0388422A (ja) 同期式カウンタ
JPH06177723A (ja) パルス幅変調回路
US6668298B1 (en) Shifting an input signal from a high-speed domain to a lower-speed domain
JP2757714B2 (ja) フレームパルス生成回路
KR910009093B1 (ko) 부호화 마크 반전 코딩회로
IL118203A (en) Precision time of day counting system
JP2563238B2 (ja) カウンタ回路
JP4515159B2 (ja) タイミング可変装置
JP3514020B2 (ja) レート発生器
JP2682889B2 (ja) 可変分周器
JPH0964725A (ja) 奇数分周回路
JPH06177722A (ja) 広範囲遅延生成回路
JPH05183427A (ja) カウンタ回路
JPH05256913A (ja) 半導体集積回路装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 19980514