JPH03230522A - タングステンの選択的付着方法 - Google Patents

タングステンの選択的付着方法

Info

Publication number
JPH03230522A
JPH03230522A JP2333489A JP33348990A JPH03230522A JP H03230522 A JPH03230522 A JP H03230522A JP 2333489 A JP2333489 A JP 2333489A JP 33348990 A JP33348990 A JP 33348990A JP H03230522 A JPH03230522 A JP H03230522A
Authority
JP
Japan
Prior art keywords
wafer
chamber
cleaning
tungsten
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2333489A
Other languages
English (en)
Other versions
JPH07105365B2 (ja
Inventor
Mei Chang
チャン メイ
David Nin-Kou Wang
ディヴィッド ニン クー ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH03230522A publication Critical patent/JPH03230522A/ja
Publication of JPH07105365B2 publication Critical patent/JPH07105365B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/051Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明は半導体ウェハの処理に関する。殊に、本発明は
その上部にパターン化されたマスクを有する半導体ウェ
ハ上にタングステンの選択的コーテングを施すプロセス
における改良に関する。
〔従来の技術〕
半導体ウェハを処理してその上部にパターン化されたマ
スクを有するアルミニウム、シリコン、タングステン、
又は窒化チタンの層上に選択的にタングステンを付着さ
せる場合、その表面が水分や、例えば酸化アルミニウム
、酸化シリコン、酸化タングステン、酸化チタンの如き
任意の酸化物を含む任意の汚染物質がないことが重要で
ある。
かかる汚染′JpA質が存在する場合には、選択的なタ
ングステンの付着が望まれるマスクされない領域上に核
が形成されることをマスクしたり禁止する、また、ウェ
ハのマスクされた部分上に望まれない核形成部位が生ず
る虞れがある。
従って、半導体ウェハの表面はクリーンにして汚染物質
と水分を全て除去しかかる選択的付着を行うようにする
のが普通である。かかるクリーニングはマスク表面と共
に付着さるべき表面を含む。
かかるクリーニングは例えばHFエッチ(酸化物除去の
場合)又は11□So、、H20□;H20清浄液(有
機物除去の場合)を用いて湿式クリーニングにより実行
してマスク表面と共にマスクされない表面の双方から酸
化物を含む汚染物質を除去することによって行うのが従
来の方法であった。
かかるウェハ表面のマクス領域と非マスク領域の湿式ク
リーニングは実際に非マスク表面と共にマスク表面から
酸化物を含む汚染物質を除去することができるが、水分
は必ずしも除去されない。
然しなから、更に重要なことは、化学蒸着(CVD)又
はプラズマCVDの如き付着技術によってウェハの非マ
スク領域上にタングステンを選択的に付着するために好
適な蒸着装置へ清掃されたウェハを湿式クリーニング装
置へ移転させる間に、清浄化された表面がその後周囲条
件にさらされることである。かかる露出はその後、後続
のタングステン付着プロセスと干渉して、その結果がウ
ェハからウェハへと再現されることになる虞れがある。
従って、タングステンを半導体ウェハ上に選択的に付着
させるプロセスで、マスク表面と非マスク表面とが清浄
化され、酸化物や水分の如き汚染物質を除去することが
でき、その後、選択的タングステン付着がウェハの清浄
面が中間で更に汚染にさらされることなく実行できるも
のを提供することが有益であろう。
〔発明の要約〕
それ故、本発明の目的は、タングステンをマスクされた
半導体ウェハ上に選択的に付着するための改良工程で、
ウェハの表面を気密な清浄室内で清掃した後、タングス
テン付着前に清掃ウェハを再び汚染するような条件に清
掃ウェハをさらすことなく、その上部にタングステンを
選択的に付着するために清掃化されたウェハを真空付着
室へ移転させる段階を備えるものを提供することである
本発明のもう一つの目的は、タングステンをマスクされ
た半導体ウェハ上に選択的に付着させる改良工程で、ウ
ェハ表面を清掃室内で真空の下で清掃した後、その清掃
されたウェハを清掃室からCVD室へそれら空間の通路
を経て転送するステップを備えるものを提供することで
ある。上記通路においては、雰囲気は水分又は酸化ガス
を排除する様制御されたウェハ上の清潔な面上にタング
ステンが選択的に付着でき、清浄なウェハがタングステ
ン付着前に清浄されたウェハを再び汚染するような条件
にさらされることのないようになっている。
本発明の更にもう一つの目的は、タングステンをマスク
された半導体ウェハ上に選択的に付着させる改良工程で
、ウェハ表面をハロゲン含有ガスを用いて清浄室内で真
空の下に清浄し、酸化物や水分を含む汚染物質を除去し
た後、清浄ウェハを清掃室からCVD室へ対応する室間
の通路を経てCVD室へ転送し、前記通路を真空に維持
して水分を酸化ガスを排除し、清掃ウェハをタングステ
ン付着前に再び汚染するような条件にさらすことなくウ
ェハの清掃面上にタングステンを選択的に付着させるこ
とができるようにしたものを提供することである。
本発明の更にもう一つの目的は、タングステンをマスク
された半導体ウェハ上に選択的に付着させるための改良
工程で、ウェハの表面を清掃室内の真空下でハロゲン含
をガスとプラズマを使用して清掃し、酸化物と水分を含
む汚染物質を除去した後、清掃ウェハを清掃室からCV
D室へ対応する室間の気密通路を介して転送するものを
提供することである。この通路には一つもしくはそれ以
上の不活性又は還元ガスが存在し、水分又は酸化ガスが
排除されて、ウェハ上のクリーンな表面上にタングステ
ンが選択的に付着し、クリーンなウェハがタングステン
付着前に再び汚染するような条件にさらされることがな
いようになっている。
これらの、またその他の目的は以下の解説と図面より明
らかとなろう。
〔実施例〕
さて、第2図について述べると、本発明の目的に好適な
装置が示されている。同装置は、清掃室10と化学蒸着
(CVD)室40より成り、両者は気密通路70により
相互に接続されている。上記通路70によって清掃され
たウェハは汚染されることなく清掃室10からCVD室
40へ移動できるようになっている。
清掃室10は、その内部に、台座16により支持されそ
の上部にRIEクリーンプロセス中に半導体ウェハ10
0が載置されるウェハサポート又はベース部材又はカソ
ード14を備えている。清掃室10もまたガス分配部材
又は「シャワーヘッド」部材20を備え、同部材を介し
てエツチング又はクリーニングガスがガス源(図示せず
)からパイプ22を経て清掃室内へ送られるようになっ
ている。ベース部材14とその上のウェハ100は、約
20〜約100℃の範囲内の、好適には約20〜約80
℃の範囲内の温度に、カソード14内でのヒータ15に
より清掃段階中、維持される。
清掃ガスは分配部材20を介して清掃室10内へ送られ
る。かかる清掃ガスは清掃さるべき特定面に対して選択
されることになろう。例えば、ウェハ上のアルミ又は酸
化アルミ面を清掃するには、BCl3の如きハロゲン含
有ガスを使用することができる。一方、シリコン表面(
シリコン酸化物を除去する場合)を清掃するにはNF3
又はSF6を使用することができる。タングステンの場
合(酸化タングステンを除去するためには)、水素を使
用することが望ましい。何れの場合にも、以下に述べる
ように、ガスは更にキャリアガスによって稀釈すること
ができる。然しなから、少なくとも水素の場合には、清
掃ガスはキャリアガスによって稀釈せずに使用すること
もできる。清掃ガスは清掃ガスのキャリアガスに対する
比がほぼ1:20〜20:1の容積部でアルゴン又はヘ
リウム、又は同ガスの混合体の如きキャリアガスと混合
される。
清掃ガスは約5〜約100の1分当りの標準立方センナ
メートル(sccm)の範囲内の流量で清掃室内に流入
される一方、キャリアガスはポンプ容量に応じて約5〜
約1000secmの範囲内の流量で清掃室内へ流入さ
れる。
清掃室10は更にガス排気ポート24を備え、同ポート
を介してガスが清掃室10からパイプ26を介して真空
ポンプ90へ排気され、室10内の圧力は約1ミリトー
ルの低さからプラズマを使用しない時大気圧(760)
−ル)の高さの範囲内に維持される。上記ガスと関連し
てプラズマが使用される時、圧力は約1〜約500ミリ
トールの範囲内にあることが望ましい。
若干の場合には清掃ガスは単独で用いてウェハを清掃す
ることができるが、少なくともある場合には清掃ガスと
共にプラズマを使用することが有利であろう。それ故、
rf源30はベース16に接続され、ベース16と清掃
室10の接地壁12の間でプラズマが点火できるように
なっている。清掃段階でプラズマを使用する場合には、
プラズマのパワーレベルは約1〜約1000ワットの範
囲、好適には約10〜約200ワットの範囲、更に好適
には約10〜約100ワットの範囲内に維持すべきであ
る。
アルミ表面を清掃するには上記のアルゴンとBChガス
の混合体を使用して反応イオンエッチング(RIE)を
活用することが望ましい。
ウェハ100は通路7o内の第1のスリットバルブ80
を介して装置内へ進入させ、その通路7゜からRIE室
1oと通路7oの間に配置された第2のスリットバルブ
82を介してRIE室1o内に配置される。もう一つの
スリットバルブ84によって、通路7oとCVD室4o
の間の連通が確保すれる。真空WWの他の面と同様、が
がるスリットバルブの詳細はトシマ米国特許第4.78
5,962号に見ることができる。
排気ポート76用の出口は通路7oをパイプ78を介し
て真空ポンプ9oと接続して上記通路内の圧力を10−
2から10−’ミリトール、実際には約10−2から約
10−3ミリトールの範囲内に維持することができる。
ガス内の何れの不純物の分圧もほぼlo−2ミリトール
を上廻らない限り、非酸化ガスを通路70内へ導入する
ことによって使用することができる。
このために、通路70は給気ポート74を設けることに
よって同ボートを介して一つもしくはそれ以上の非酸化
ガス、即ち、ヘリウム、アルゴン、窒素、又は水素又は
それらの混合物の如き不活性もしくは還元がすをガス源
(図示せず)からパイプ76を介して通路70内へ流入
させることができる。
かかる非酸化ガスが通路70に流入する量は、その目的
が単にウェハ100のCVD室4室内0内進入や通過に
先立ってクリーンなウェハとマスク面が水分や他の酸化
雰囲気にさらされることを防止するためであるから重要
ではない。普通、少なくとも約20secm、殊に約1
00secmの流量で十分であろう。
ウェハ100をベース部材14上に置いて所望温度にし
た後、清掃ガスは室10内へ流入し、プラズマを使用す
る場合にはプラズマを点火する。
清掃段階は約5〜300秒の範囲で、殊に約20〜30
0秒の範囲内で実行すべきである。それよりも長い時間
を使用することもできるが、その必要はなく、経済的に
実行可能ではない。
清掃段階が完了した後、例えばアルゴンの様なキャリア
ガス、水素の如き還元ガス等のキャリアガスを、場合に
よって約5〜約1000secmの範囲で(低圧、即ち
500ミリトール又はそれ以下を清掃段階で使用する場
合にはほぼ2020−1O0seの範囲)更に5〜30
秒(又はそれ以上)の間(清掃ガスなしに)室10を貫
流させて清掃段階から室10内に残る残留物を何れもフ
ラッジして外部へ出す。もし清掃段階でプラズマが使用
された場合、この段階でも存続し、清掃室10内に残る
残留物を何れも除去する上で役立つことになる。
清掃段階とオプションとしてのフラッジ段階が完了した
後、ウェハ100は室10から取除かれてスリットバル
ブ83を介して通路70内へ復帰する。その後、ウェハ
100はスリットバルブ84を介してCVD室4室内0
内接進入し、本発明によればウェハ100は清掃室10
内の清掃段階とCVD室4室内0内ングステン付着段階
との間の水分や酸化剤又はその他の任意の汚染物質にさ
らされることはない。
最良の結果を得るには、クリーンなウェハを室内へ移動
させる前にCVD室を清掃して、先の付着からその内部
に残るタングステン残留物を何れも除去するようにすべ
きである。CVD室、殊に、サセプタはNF、プラズマ
を使用した後にH2プラズマを使用することによって清
掃することができる。
かかる清掃プロセスの詳細はchangの米国特許出廓
第398.689号に見ることができる。
CVD室40は任意のCVD装置を備えることができる
。例えば、CVD室4oは第2図に矩形室として描かけ
れているが、Millerの米国特許第4.794,0
19号に詳述される石英管CVD素の如き円筒管とする
こともできる。
ウェハ100は台座46により室40内に支持されるベ
ース又はサセプタ44上に配置することができる。例え
ば、WF6の如きタングステン含有ガスと、H2又はS
 i tt 4の如き還元ガスの混合物がパイプ52と
シャワーヘッド50を介して約20〜約200 sec
mの範囲の速度で室4o内へ流入する。
還元ガスが水素の場合には、WF+、  :l1zO比
は容量部で約1:50〜約1 : 1000の範囲でな
ければならない。還元ガスがS i II 4である場
合には、畦、のS i Il mに対する割当は容量部
で約10=1〜約1:1.5の範囲になければならない
。タングステン含有ガスと還元ガスの混合物は、約10
00〜約3000secmの範囲内の割合で流れるヘリ
ウムやアルゴンの如きキャリアガスを伴うことができる
CVDV6O13た真空室9oに接続される排気ボート
54を01ηえることによって付着中のCVD室の圧力
を約1ミリトール〜約760トールの範囲に、殊に約1
ミリトールがら約200ミリトールの範囲内に維持する
続く選択的タングステンCVDプロセスの間、ウェハと
、ウェハが載るベース又はサセプタ、14は、1(2を
還元ガスとして使用する場合、約350〜約500℃の
範囲内の温度に、また、S i It =を還元ガスと
して使用する場合には約200〜約400’Cの範囲内
の温度に、ベース44内に取付けられたヒータ45によ
って維持することができる。
選択的タングステン付着は、その後、CVD室4室内0
内ウェハ100の露出面上にタングステンの所望厚を付
着させるに十分の時間実行される。
以下の例は本発明の改良されたタングステン付着プロセ
スを更に例解する上で役立つことになろつ。
実施例1 露出されたシリコン表面上に2酸化シリコンマスクと異
なる厚さの自然酸化物を有する数種のパターン化された
シリコンウェハを各々l+li(次、八pplied 
Materials シリーズ5000装置のプラズマ
エンチング室内へ挿入し、それぞれのウェハをその内部
のサポートベース上に載せることによって処理した。各
ウカハとサポートベースは30℃の温度にまで加熱され
た。アルゴンとNF、の比が5=1の混合物を5Qsc
cmの流量で室内へ流入させた。室は10ミリトールの
圧力に維持された。15ワットのプラズマが、室内で点
火され、1分間維持され、その後に、プラズマが消され
NF3の流れは遮断される。その後、アルゴンガスは、
更に10秒間室内を流れ、清掃プロセスから室内に残る
残留物を何れも除去するこよができる。
清掃されたウェハは、その後プラズマエッチ゛清掃室か
ら気密通路を介して先に清掃されたCVD室内へ移動さ
れる。前記通路には10105eの流量でアルゴンが流
れる。
その後、1ミクロンのCVDタングステン層を清掃され
たパターン化ウェハ上の露出シリコン部分上に選択的に
付着させた。付着中のウェハとサセプタの温度はほぼ3
00 ’Cに維持された。付着中、WF、ガスを約10
105eで室内に流入させ、5IH6を約7 secm
でCVD室内へ流入させ、約101005eのアルゴン
を室内へ流入させた。約80ミリトールの圧力を付着中
、室内に維持した。付着は約2分間実行され、ウェハの
非マスク部分上に1ミクロンのタングステン層を選択的
に形成した。
それぞれのマスクされたウェハ上のタングステンの選択
的付着が完了した後、各ウェハはCVD室から除去され
た。ウェハは裁断され走査型電子顕微鏡(SEM)の下
で検査して、付着されたコーテングの厚さ、表面粗さ、
および付着の選択度を測定した。種々のウェハ上のコー
テングの厚さは、特定のウェハの表面を横切る方向及び
ウェハ間の厚さの分散は10%未満で実質上均一である
ことが分った。
付着したタングステンの表面は、それぞれのウェハ上で
なめらかに見え、非シリコン表面上のタングステン付着
の証左は殆んど気持づかれなかった。
実施例2 露出されたアルミ表面を有する数種のパターン化された
シリコンウェハをまず実施例Iのウェハと同様に清掃し
た。但し、BCl3は清掃ガスとして使用され、プラズ
マのパワーレベルは50ワットにひきあげた。
実施例1におけるように、その後、清掃ウェハをプラズ
マエッチ清掃室から気密通路内へ移動させた。同通路内
にはアルゴンが10105eの割当で先に清掃されたC
VD室内へ貫流された。
その後1ミクロンのCVDタングステン層を実施例1と
同じタングステン付着条件を用いて清掃されたパターン
化ウェハ上の露出アルミ部分上に選択的に付着させた。
マスクされたウェハのそれぞれの上部の選択的なタング
ステンの付着が完了した後、それぞれのウェハをCVD
室から取除いた。ウェハは裁断された400倍光学顕微
鏡の下で区画化し検査された。種々のウェハ上のタング
ステンコーテングの厚さは再び実質上均一であることが
判った。清掃されたアルミ表面上に付着されるタングス
テン表面はそれぞれのウェハ上でなめらかな外観を呈し
、ウェハの酸化物マスク表面上のタングステン付着物は
全く見い出されなかった。
〔発明の効果〕
かくして、本発明は各ウェハを例えばプラズマエツチン
グ室又はRIE室の如き清掃室内で清掃して水分を含む
汚染物質をマスク表面と共にウェハの表面から取除き、
その後清掃されたウェハを、清掃室からCVD室へ2つ
の室間の気密通路を介して通ずことによって汚染物質へ
再びさらすことなく、CVD室へ転送した後、タングス
テン含有ガスと還元ガスを用いてCVD室内でウェハ上
にタングステンを選択的に付着させることによってタン
グステンをマスクされた半導体ウェハ上に再現可能に選
択的に付着させる改良プロセスを提供するものである。
【図面の簡単な説明】
第1図は本発明のプロセスを示すフローシート、第2図
はタングステンを選択的に付着させるための真空清掃室
とCVD室間の関係を示す線図で、清掃されたウェハを
周囲条件にさらさずに清掃ウェハを直接真空付着室へ転
送できる、2つの室間の転送通路を示したもの。 100−清掃室、40・−・化学蒸着(CVD)室、7
〇−通路、16−台座、82,8L−スリットバルブ、
9〇−真空ポンプ。

Claims (1)

  1. 【特許請求の範囲】 1、マスクされた半導体ウェハ上にタングステンを選択
    的に付着させる方法において、 a)ウェハ表面を気密な清掃室内で清掃し、b)その後
    、清掃されたウェハを真空付着室へ転送して、清掃ウェ
    ハを、上記付着に先立って再び汚染するような条件にさ
    らすことなくタングステンをその上部に選択的に付着さ
    せる、前記方法。 2、上記転送ステップが更に上記清掃されたウェハを上
    記清掃室から約10^−^2〜約10^−^5ミリトー
    ルの真空に維持される気密通路を経て上記CVD室へ転
    送させることから成る請求項1の方法。 3、上記転送ステップが更に上記清掃されたウェハを上
    記清掃室から上記CVD室へ室間の気密通路を経て転送
    する一方、アルゴン、ヘリウム、窒素、水素および同ガ
    スの混合物より成る類から選択された一つもしくはそれ
    以上の非酸化ガスを、少なくとも約20sccmの流量
    で上記通路内へ貫流させる請求項1の方法。 4、マスクされた半導体ウェハ上にタングステンを選択
    的に付着させる方法において、 a)ウェハ表面を約1ミリトール〜約760トールの範
    囲内の圧力に維持された清掃室内で、少なくとも一つの
    清掃ガスを上記室内へ流す一方、上記ウェハを約20〜
    約100℃の範囲内の温度に維持し、 b)清掃されたウェハを清掃室からCVD室へその各々
    の間の気密通路を介して転送し、水分もしくは酸化ガス
    を排除することによって、清掃されたウェハを、上記選
    択的付着前に再び汚染させるような条件にさらすことな
    く、ウェハ上のクリーンな表面上にその後タングステン
    を選択的に付着できるようにし、 c)タングステン含有ガスと還元ガスを上記CVD室内
    に貫流させることによってタングステンのCVD層をウ
    ェハのマスクされない表面上に選択的に付着させる、前
    記方法。 5、上記清掃ガスが水素とハロゲン含有ガスより構成さ
    れる類から選択される請求項4の方法。 6、上記清掃ステップが更に、その間、約1〜約100
    ワットの範囲内にプラズマを上記清掃室内に維持し、上
    記清掃ステップ中に上記清掃室内の圧力を約1ミリトー
    ル〜約500ミリトールの範囲内に維持することより成
    る請求項4の方法。 7、上記タングステンの選択的付着ステップが、更に、
    上記CVD室へWF_6と、H_2とSiH_4より構
    成される類から選択された還元ガスと、を流入させるこ
    とよりなる請求項4の方法。 8、上記清掃ガスの上記清掃室内への流れが遮断された
    後の少なくともほぼ5秒間、上記清掃ステップ後に非反
    応ガスを上記清掃室内に貫流させ、上記清掃ステップか
    ら残る残留物を何れも除去する請求項4の方法。 9、マスクされた半導体ウェハ上にタングステンを選択
    的に付着させる方法において、 a)i)上記ウェハを約1〜約500ミリトールの範囲
    内の圧力に維持された清掃室内へ挿 入し、 ii)上記ウェハを約20〜約80℃の範囲の温度に加
    熱し、 iii)H_2、BCl_3、SF_6、およびNF_
    3より成る類の中から選択された清掃ガスより成るガス 混合体を約20〜約30秒間、上記室内へ 流入させ、 iv)上記清掃ガスを含む上記ガス混合体が上記室内へ
    流入する間、上記室内のプラズマ を約20〜約100ワットのパワーレンジ 内で点火し、 v)その後、上記プラズマを上記室内に維持しながら、
    上記清掃ガスの上記室内への流 入が遮断された後少なくとも5秒間、非反 応ガスを上記室内を貫流させて上記室から の残留物を除去し、これによって上記ウェ ハの表面を真空下の清浄室内で清掃し、 b)清掃されたウェハを上記清掃室からCVD室へ空間
    の気密通路を介して転送し、この気密通路に一つ以上の
    非酸化ガスを流して上記通路から水分又は酸化ガスを除
    去することによって、清掃されたウェハを上記選択的付
    着に先立って清掃されたウェハを再び汚染するような条
    件にさらさずに、続いてタングステンがウェハ上のクリ
    ーンな表面上に付着できるようにし、 c)上記表面をWF_6の混合物と、H_2とSiH_
    4より成る類から選択された還元ガスとにさらすことに
    よってタングステンのCVD層を上記清掃されたウェハ
    のマスクされない面上に選択的に付着させる、前記方法
    。 10、マスクされた半導体ウェハ上にタングステンを選
    択的に付着させる装置において、 a)ウェハの表面を約1ミリトールないし約760トー
    ルの範囲内の圧力に維持された清掃室内で清掃する手段
    で少なくとも一つの清掃ガスを上記室内へ流入させる手
    段と、上記ウェハを約20〜約100℃の範囲内の温度
    に維持する手段と、 b)上記清掃されたウェハを清掃室からCVD室へ転送
    する手段で、上記室間に気密な通路を備えることによっ
    て水分又は酸化ガスを排除して、清掃ウェハを上記選択
    的付着前に再び汚染するような条件にさらさずに、続い
    てウェハ上のクリーンな表面にタングステンが選択的に
    付着できるようにしたものと、 c)上記CVD室内を貫流するタングステン含有ガスと
    還元ガスより成り、ウェハの非マクス表面上にタングス
    テン層を選択的に付着させる手段と、から成る前記装置
JP2333489A 1989-12-01 1990-11-29 タングステンの選択的付着方法 Expired - Fee Related JPH07105365B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US444485 1989-12-01
US07444485 US5043299B1 (en) 1989-12-01 1989-12-01 Process for selective deposition of tungsten on semiconductor wafer

Publications (2)

Publication Number Publication Date
JPH03230522A true JPH03230522A (ja) 1991-10-14
JPH07105365B2 JPH07105365B2 (ja) 1995-11-13

Family

ID=23765101

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2333489A Expired - Fee Related JPH07105365B2 (ja) 1989-12-01 1990-11-29 タングステンの選択的付着方法

Country Status (4)

Country Link
US (1) US5043299B1 (ja)
EP (1) EP0430303A3 (ja)
JP (1) JPH07105365B2 (ja)
KR (1) KR100256453B1 (ja)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940000906B1 (ko) * 1988-11-21 1994-02-04 가부시키가이샤 도시바 반도체장치의 제조방법
FR2670693B1 (fr) * 1990-12-20 1993-04-16 Dutartre Didier Procede pour nettoyer la surface d'un substrat par plasma.
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
FR2695410B1 (fr) * 1992-09-04 1994-11-18 France Telecom Procédé de prétraitement d'un substrat pour le dépôt sélectif de tungstène.
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5328555A (en) * 1992-11-24 1994-07-12 Applied Materials, Inc. Reducing particulate contamination during semiconductor device processing
EP0608628A3 (en) * 1992-12-25 1995-01-18 Kawasaki Steel Co Method for manufacturing a semiconductor device having a multi-layer interconnection structure.
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JPH07115130A (ja) * 1993-10-14 1995-05-02 Toshiba Corp 半導体装置の製造方法
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
CN100470740C (zh) * 1993-11-05 2009-03-18 株式会社半导体能源研究所 一种制造薄膜晶体管和电子器件的方法
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
CN1052566C (zh) 1993-11-05 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US6074901A (en) * 1993-12-03 2000-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for crystallizing an amorphous silicon film and apparatus for fabricating the same
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
US5496409A (en) * 1994-07-18 1996-03-05 United Microelectronics Corporation Particle contamination apparatus for semiconductor wafer processing
JPH0874028A (ja) * 1994-09-01 1996-03-19 Matsushita Electric Ind Co Ltd 薄膜形成装置および薄膜形成方法
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
KR100413890B1 (ko) * 1995-03-02 2004-03-19 동경 엘렉트론 주식회사 반도체장치의제조방법및제조장치
JPH0922896A (ja) * 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
KR100440418B1 (ko) 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
JPH09320961A (ja) * 1996-05-31 1997-12-12 Nec Corp 半導体製造装置及び薄膜トランジスタの製造方法
US5801096A (en) * 1996-06-03 1998-09-01 Taiwan Semiconductor Manufacturing Company Ltd. Self-aligned tungsen etch back process to minimize seams in tungsten plugs
GB9614849D0 (en) * 1996-07-15 1996-09-04 Boc Group Plc Processes for the scubbing of noxious substances
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
KR19980064441A (ko) * 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JP3141805B2 (ja) * 1997-01-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10223512A (ja) * 1997-02-10 1998-08-21 Nikon Corp 電子ビーム投影露光装置
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6605531B1 (en) 1997-11-26 2003-08-12 Applied Materials, Inc. Hole-filling technique using CVD aluminum and PVD aluminum integration
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JPH11204396A (ja) * 1998-01-08 1999-07-30 Canon Inc 半導体製造システムおよびデバイス製造方法
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6136690A (en) * 1998-02-13 2000-10-24 Micron Technology, Inc. In situ plasma pre-deposition wafer treatment in chemical vapor deposition technology for semiconductor integrated circuit applications
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US7858518B2 (en) * 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US6221165B1 (en) * 1998-07-10 2001-04-24 Ball Semiconductor, Inc. High temperature plasma-assisted diffusion
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
JP3379464B2 (ja) * 1999-02-26 2003-02-24 日本電気株式会社 半導体装置の製造方法及びスパッタリング装置
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6184132B1 (en) 1999-08-03 2001-02-06 International Business Machines Corporation Integrated cobalt silicide process for semiconductor devices
US6255179B1 (en) 1999-08-04 2001-07-03 International Business Machines Corporation Plasma etch pre-silicide clean
JP2001053023A (ja) 1999-08-11 2001-02-23 Tokyo Electron Ltd 半導体装置の製造方法及び製造装置
US6214120B1 (en) * 1999-08-27 2001-04-10 Innovac Corporation High throughput multi-vacuum chamber system for processing wafers and method of processing wafers using the same
US7014887B1 (en) 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6291135B1 (en) * 2000-01-31 2001-09-18 Advanced Micro Devices, Inc. Ionization technique to reduce defects on next generation lithography mask during exposure
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
IT1320556B1 (it) * 2000-07-26 2003-12-10 St Microelectronics Srl Processo di pulitura della superficie di un contenitore di un circuito integrato per la preparazione della stessa per un successivo processo
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
JP2004071696A (ja) * 2002-08-02 2004-03-04 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
US7605023B2 (en) * 2002-08-29 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for a semiconductor device and heat treatment method therefor
KR100429296B1 (ko) * 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
JP4627961B2 (ja) * 2002-09-20 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6764967B2 (en) * 2002-10-05 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming low thermal budget sacrificial oxides
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
JP4586544B2 (ja) * 2004-02-17 2010-11-24 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
US20050252529A1 (en) * 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
US20060254613A1 (en) * 2005-05-16 2006-11-16 Dingjun Wu Method and process for reactive gas cleaning of tool parts
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7845540B2 (en) * 2005-08-30 2010-12-07 Micron Technology, Inc. Systems and methods for depositing conductive material into openings in microfeature workpieces
KR100815188B1 (ko) * 2006-06-29 2008-03-19 주식회사 하이닉스반도체 반도체 소자의 제조방법 및 이를 이용한 낸드 플래시메모리 소자의 제조방법
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
KR20140061691A (ko) * 2012-11-14 2014-05-22 삼성전자주식회사 웨이퍼 홀더 클리닝 장치 및 이를 구비하는 성막 시스템
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP2016092347A (ja) * 2014-11-11 2016-05-23 株式会社ディスコ エッチング方法
WO2019212986A1 (en) * 2018-04-30 2019-11-07 Lam Research Corporation Improving substrate wettability for plating operations
US10937798B2 (en) 2018-11-02 2021-03-02 Micron Technology, Inc. Memory array and a method used in forming a memory array
US10748922B2 (en) 2018-11-28 2020-08-18 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
JP7166950B2 (ja) 2019-02-07 2022-11-08 キオクシア株式会社 半導体製造装置および半導体装置の製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6381915A (ja) * 1986-09-26 1988-04-12 Hitachi Ltd 処理装置
JPS63220515A (ja) * 1987-03-09 1988-09-13 Fuji Electric Co Ltd 半導体層の気相成長方法
JPS63241171A (ja) * 1986-12-29 1988-10-06 モトローラ・インコーポレーテッド 水分除去方法
JPS63267430A (ja) * 1987-04-27 1988-11-04 Toshiba Corp 反応室内の清浄方法
JPH01108723A (ja) * 1987-10-21 1989-04-26 Matsushita Electric Ind Co Ltd 金属の選択堆積方法及びその装置
JPH01152274A (ja) * 1987-12-09 1989-06-14 Iwatani Internatl Corp 膜形成操作系におけるフッ化塩素クリーニング後の汚染除去方法
JPH01176293A (ja) * 1987-09-10 1989-07-12 Touyoko Kagaku Kk バッファ層を形成するヘテロ・エピタキシャル成長方法及び該方法に使用する装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US4605479A (en) * 1985-06-24 1986-08-12 Rca Corporation In-situ cleaned ohmic contacts
IT1185964B (it) * 1985-10-01 1987-11-18 Sgs Microelettronica Spa Procedimento e relativa apparecchiatura per realizzare contatti metallo-semiconduttore di tipo ohmico
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6381915A (ja) * 1986-09-26 1988-04-12 Hitachi Ltd 処理装置
JPS63241171A (ja) * 1986-12-29 1988-10-06 モトローラ・インコーポレーテッド 水分除去方法
JPS63220515A (ja) * 1987-03-09 1988-09-13 Fuji Electric Co Ltd 半導体層の気相成長方法
JPS63267430A (ja) * 1987-04-27 1988-11-04 Toshiba Corp 反応室内の清浄方法
JPH01176293A (ja) * 1987-09-10 1989-07-12 Touyoko Kagaku Kk バッファ層を形成するヘテロ・エピタキシャル成長方法及び該方法に使用する装置
JPH01108723A (ja) * 1987-10-21 1989-04-26 Matsushita Electric Ind Co Ltd 金属の選択堆積方法及びその装置
JPH01152274A (ja) * 1987-12-09 1989-06-14 Iwatani Internatl Corp 膜形成操作系におけるフッ化塩素クリーニング後の汚染除去方法

Also Published As

Publication number Publication date
KR100256453B1 (ko) 2000-05-15
EP0430303A2 (en) 1991-06-05
EP0430303A3 (en) 1992-10-28
KR910013466A (ko) 1991-08-08
US5043299B1 (en) 1997-02-25
US5043299A (en) 1991-08-27
JPH07105365B2 (ja) 1995-11-13

Similar Documents

Publication Publication Date Title
JPH03230522A (ja) タングステンの選択的付着方法
US5207836A (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
EP0638923B1 (en) Low temperature etching in cold-wall CVD systems
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US20060093756A1 (en) High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR20040021533A (ko) 반도체 기판에 막을 형성하는 방법
JPH08236464A (ja) 堆積プロセスにおけるSiH4ソーク及びパージの利用
JPS61127121A (ja) 薄膜形成方法
JPH06181188A (ja) エッチング方法および装置
US20050155625A1 (en) Chamber cleaning method
EP0418592B1 (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
KR0175688B1 (ko) 산소가스 전처리를 갖는 플라즈마 애싱방법
JPH07176484A (ja) 窒化アルミニューム面を有するサセプタをサセプタの浄化後珪化タングステンで処理することによって半導体ウエハ上に珪化タングステンを一様に堆積する方法
US5868852A (en) Partial clean fluorine thermal cleaning process
JPH07100865B2 (ja) 減圧cvd処理装置のクリーニング法
JP2618817B2 (ja) 半導体製造装置でのノンプラズマクリーニング方法
JP2726414B2 (ja) ケイ素系薄膜の製造方法
US5914000A (en) Apparatus for manufacturing semiconductor device and method of removing silicon oxidation film
JP2553590B2 (ja) 金属の選択堆積方法及びその装置
JPH0529285A (ja) クリーニング方法及び半導体製造装置
JP2001053008A (ja) 半導体製造装置のクリーニング方法
JP3507614B2 (ja) 薄膜成膜装置
JP2001127056A (ja) プロセスチャンバー内のクリーニング方法及び基板処理装置
JPH0855838A (ja) 微細加工装置のクリーニング方法
JPH1112742A (ja) Cvd装置およびそのクリーニング方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees