JP7500718B2 - 基板の処理方法及び装置 - Google Patents

基板の処理方法及び装置 Download PDF

Info

Publication number
JP7500718B2
JP7500718B2 JP2022524935A JP2022524935A JP7500718B2 JP 7500718 B2 JP7500718 B2 JP 7500718B2 JP 2022524935 A JP2022524935 A JP 2022524935A JP 2022524935 A JP2022524935 A JP 2022524935A JP 7500718 B2 JP7500718 B2 JP 7500718B2
Authority
JP
Japan
Prior art keywords
power
low frequency
upper electrode
electrode
applying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022524935A
Other languages
English (en)
Other versions
JP2023501162A (ja
Inventor
カーティク ラーマスワーミ,
ヤン ヤン,
ケネス コリンズ,
スティーヴン レイン,
ゴンサロ モンロイ,
ユエ クオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023501162A publication Critical patent/JP2023501162A/ja
Application granted granted Critical
Publication of JP7500718B2 publication Critical patent/JP7500718B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

[0001]本開示の実施形態は、概して、基板の処理方法及び装置に関し、より具体的には、基板の電子ビーム反応性プラズマエッチング用に構成される方法及び装置に関するものである。
[0002]現在の基板(例えば、ウエハ)製造によれば、エッチング速度、エッチングプロファイル、及びエッチング選択性は、製造コストを下げ、基板上の回路素子密度を増加させるように制御され得る。しかし、基板上のメモリホールやスリット等のエッチング特徴は、サイズの小型化、又はアスペクト比(特徴の深さと幅の比)の増加が一層進んでいる。例えば、3次元(3D)NANDデバイスの製造では、基板(ウエハ)は最大96層まで含むことができ、最大128層まで拡張可能である。また、メモリホール及び/又はスリットのアスペクト比は例えば100から200、メモリホールの深さは約6μmから8μmの範囲であってよく、このため、メモリホールエッチングは3D NANDデバイスの製造において最も重要で難しい工程の1つとなっている。例えば、このような高アスペクト比(HAR)エッチングは、例えば、基板上の材料をマスクするために、高いエッチング速度と高いエッチング選択性を必要とするだけでなく、HARエッチングには、ボウイング及びねじれのないまっすぐなプロファイル、アンダーエッチングがなく最小のマイクロローディング、最小のアスペクト比依存エッチング(ARDE)、並びに基板全体の均一性(例えば、臨界寸法(CD)変動が3σ<1%)等も必要である。
[0003]同様に、ロジック用途を対象としたFinfetの製造のために、しばしば、20より大きい選択比を有する類似の材料を化学的にエッチングする要件、例えば、酸化ケイ素及び窒化ケイ素間のエッチング)がある。
[0004]したがって、本発明者らは、基板の電子ビーム反応性プラズマエッチング用に構成された改良された方法及び装置を提供した。
[0005]基板の電子ビーム反応性プラズマエッチング用の方法及び装置が本明細書において提供される。幾つかの実施形態では、方法は、低周波RF電力又はDC電力の少なくとも一方を、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成された上部電極に印加することと、プロセス領域にイオンを含むプラズマを生成することと、上部電極に電子を放出させて電子ビームを形成するために、上部電極にイオンを衝突させることと、電子ビームの電子を下部電極に向かって加速させるために、低周波RF電力又は高周波RF電力の少なくとも一方を含むバイアス電力を、プロセス領域に配置された下部電極に印加することとを含む。
[0006]1又は複数の実施形態によれば、基板の処理装置は、低周波RF電力又はDC電力の少なくとも一方を、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成された上部電極に印加し、プロセス領域にイオンを含むプラズマを生成し、上部電極に電子を放出させて電子ビームを形成するために、上部電極にイオンを衝突させ、電子ビームの電子を下部電極に向かって加速させるために、低周波RF電力又は高周波RF電力の少なくとも一方を含むバイアス電力を、プロセス領域に配置された下部電極に印加するように構成されたコントローラを含む。
[0007]1又は複数の実施形態によれば、非一過性コンピュータ可読記憶媒体は、プロセッサによって実行されると、基板の処理方法を実行するようにプロセッサを構成する命令が記憶されている。本方法は、低周波RF電力又はDC電力の少なくとも一方を、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成された上部電極に印加することと、プロセス領域にイオンを含むプラズマを生成することと、上部電極に電子を放出させて電子ビームを形成するために、上部電極にイオンを衝突させることと、電子ビームの電子を下部電極に向かって加速させるために、低周波RF電力又は高周波RF電力の少なくとも一方を含むバイアス電力を、プロセス領域に配置された下部電極に印加することとを含む。
[0008]本開示の他の及び更なる実施形態を、以下に記載する。
[0009]添付の図面に示す本開示の例示的な実施形態を参照することにより、上記に要約し、以下により詳細に説明する本開示の実施形態を理解することができる。しかし、添付の図面は本開示の典型的な実施形態を単に示すものであり、したがって、範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうる。
本開示の1又は複数の実施形態に係る装置の概略図である。 本開示の1又は複数の実施形態に係る基板の処理方法のフロー図である。
[0012]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。図面は縮尺どおりに描かれておらず、わかりやすくするために簡略化されている場合がある。一実施形態の要素及び特徴は、更に詳述することなく、他の実施形態に有益に組み込まれ得る。
[0013]基板の電子ビーム反応性プラズマエッチング用に構成された方法及び装置の実施形態が、本明細書において提供される。より具体的には、本開示によれば、本発明者らは、従来のエッチング装置、例えば反応性イオンエッチング(RIE)と比較した場合、本明細書に記載のエッチング装置により、a)従来のエッチング装置によって使用される同じレベルの入射イオンエネルギーに対して、エッチング速度の増加、例えばエッチング速度の30%の増加が得られ、b)エッチング速度の増加において、従来のエッチング装置が(例えば、詰まりが原因の)イオンエネルギーの減少を補償するために時に増加する必要があり、このために基板(例えば、ウエハ)に熱負荷を生じさせ得るバイアス電力を増加させずにソース電子ビームの増加が得られ、c)例えば非平坦なエッチング最前部の角においてより速いエッチングを引き起こす帯電効果に起因するマイクロトレンチを排除し、d)帯電効果に起因するARDE効果を最小限に抑えながらエッチング深さを増大させ、e)エッチング特徴の上部で帯電効果に起因するボウイング及び/又はねじれを排除できない場合に低減する等のプロファイル制御の向上が得られるということを見出した。
[0014]図1は、本開示の1又は複数の実施形態に係る装置の概略図である。本装置は、電子ビーム(Eビーム)を使用して1又は複数の基板(ウエハ)をエッチングするのに適している。したがって、少なくとも幾つかの実施形態では、本装置は、Eビーム誘起エッチング(EBIE)を実行するように構成されたプロセスチャンバ100(例えば、Eビームプロセスチャンバ)である。プロセスチャンバ100は、プロセス領域101を画定するチャンバ本体102を有する。実施形態では、チャンバ本体102は、実質的に円筒形状を有し、例えばアルミニウム又はステンレス鋼の金属材料等、その中で真空圧力環境を維持するのに適した材料から製造され得る。
[0015]天井106は、チャンバ本体102に結合され、プロセス領域101を形成する。天井106は、チャンバ本体102を製造するのに用いられる材料等の導電性材料から形成される。天井106は、電極108(例えば、上部電極)に結合され、これを支持する。幾つかの実施形態では、電極108は、電極108がプロセス領域101に隣接して又はプロセス領域101内に配置されるように、天井106に結合される。電極108は、高い二次電子放出係数、例えば、約5から約10の二次電子放出係数を有するプロセス適合材料から形成される。比較的高い二次放出係数を有する材料としては、シリコン、炭素、シリコン炭素材料、又は酸化ケイ素材料を挙げることができるが、これらに限定されない。あるいは、電極108は、酸化アルミニウム(Al)、酸化イットリウム(Y)、又は酸化ジルコニウム(ZrO)等の金属酸化物材料から形成され得る。電気絶縁材料から形成される誘電体リング109がチャンバ本体102に結合され、電極108を取り囲む。図示したように、誘電体リング109は、チャンバ本体102と天井106との間に配置され、電極108を支持する。
[0016]天井106は、電極108に面するチャッキング電極152を含む絶縁層150を含み得る。少なくとも幾つかの実施形態では、電極108を天井106に静電気的にクランプするために、DC電圧電源154が給電体155を介してチャッキング電極152に結合され、また、チャッキング電極152にDC電力(例えば、電圧電位)を印加するために、電極108に結合され得る。上記実施形態では、DCブロッキングコンデンサ156が、インピーダンス整合回路124の出力部と直列に接続され得る。コントローラ126は、DC電圧電源154を制御するように機能する。
[0017]電極108と天井106との間の機械的接触は、電極108と天井106との間の高い熱コンダクタンスを維持するのに十分である。更に、機械的接触の力は、DC電圧電源154によって提供される静電クランプ力によって調節され得る。
[0018]1又は複数の実施形態では、天井106は、導電性であり、電極108と電気的に接触している。インピーダンス整合回路124からの電力は、天井106を通って電極108に伝導される。1又は複数の実施形態では、チャンバ本体102は、接地電位に維持され得る。1又は複数の実施形態では、プロセスチャンバ100内の接地された内面(すなわち、チャンバ本体102)は、シリコン、炭素、シリコン炭素材料、又は酸化ケイ素材料、酸化アルミニウム(Al)、酸化イットリウム(Y)、又は酸化ジルコニウム(ZrO)等のプロセス適合材料でコーティングされ得る。
[0019]幾つかの実施形態では、天井106の内部に熱伝導性液体又は媒体を伝導するための内部通路(図示せず)が熱媒体循環供給装置に接続される。熱媒体循環供給装置は、ヒートシンク又は熱源として機能する。
[0020]プロセス領域101には、ペデスタル110が配置される。ペデスタル110は、その上に基板111(例えば、シリコンウエハ等の半導体ウエハ、又は太陽電池、ディスプレイ、又は他の用途等のガラスパネル又は他の基板)を支持し、電極108に平行に配向された基板支持面110aを有する。実施形態では、ペデスタル110は、リフトサーボ112によって軸方向に移動可能である。工程中、電極108等の上部電極は、基板支持面110aから1又は複数の距離(例えば、プロセス位置)に維持される。例えば、少なくとも幾つかの実施形態では、電極108は、基板を処理するためのプロセス位置から、約1インチから約20インチの距離に維持される。例えば、少なくとも幾つかの実施形態では、距離は、約6インチから約10インチであってよい。
[0021]プロセスチャンバ100の基板の処理工程を制御するために、コントローラ126が設けられ、プロセスチャンバ100の様々な構成要素に結合される。コントローラ126は、中央処理装置(CPU)127と、支援回路129と、メモリ又は非一過性コンピュータ可読記憶媒体131とを含む。コントローラ126は、1又は複数のエネルギー源に動作可能に結合され、直接的に、又はプロセスチャンバ100及び/又は支援システム構成要素に関連するコンピュータ(又はコントローラ)を介して制御する。コントローラ126は、様々なチャンバ及びサブプロセッサを制御するために産業環境で使用することができる任意の形態の汎用コンピュータプロセッサであってよい。コントローラ126のメモリ又は非一過性コンピュータ可読記憶媒体131は、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光学記憶媒体(例えば、コンパクトディスク又はデジタルビデオディスク)、フラッシュドライブ、又はローカルもしくはリモートの任意の他の形態のデジタルストレージ等の容易に入手できるメモリの1又は複数であってよい。支援回路129は、従来の方法でCPU127を支援するために、CPU127に結合される。支援回路129は、キャッシュ、電源、クロック回路、入力/出力回路及びサブシステム等を含む。基板の処理方法(例えば、基板のEBIE)等の本明細書に記載の本発明の方法は、本明細書に記載の方法で1又は複数のエネルギー源の動作を制御するために実行又は呼び出され得るソフトウェアルーチン133としてメモリ131に記憶され得る。ソフトウェアルーチン133はまた、CPU127によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶及び/又は実行され得る。
[0022]1又は複数の実施形態では、ペデスタル110は、基板支持面110aを形成する絶縁パック142と、絶縁パック142の内部に配置された下部電極144と、電極144に接続されたチャッキング電圧供給装置148とを含み得る。更に、少なくとも幾つかの実施形態では、絶縁パック142の下にあるベース層146は、循環供給装置から熱伝達媒体(例えば、液体)を循環させるための1又は複数の内部通路(図示せず)を含み得る。上記実施形態では、循環供給装置は、ヒートシンクとして又は熱源として機能し得る。
[0023]約20MHzから約200MHzの周波数を有する高周波RF電力ジェネレータ120及び約100kHzから約20MHzの周波数を有する低周波RF電力ジェネレータ122が、例えば、RF給電体123を介し、インピーダンス整合回路124を通して電極108に結合される。1又は複数の実施形態では、インピーダンス整合回路124からのRF給電体123は、電極108に直接接続されるのではなく、電極支持体又は天井106に接続され得る。上記実施形態では、RF給電体123からのRF電力は、電極支持体から電極108に容量結合され得る。インピーダンス整合回路124は、高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122の異なる周波数におけるインピーダンス整合を提供するように適合され、また、高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122を互いに分離するためのフィルタリングを提供するように適合される。高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122の出力電力レベルは、以下により詳細に説明するように、コントローラ126によって独立して制御され得る。
[0024]高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122では、電極108とペデスタル110との間の距離(例えば、約6インチから約10インチ)を選択することによって、プロセス領域101の半径方向のプラズマ均一性を制御することができる。例えば、幾つかの実施形態では、低いVHF周波数により、プロセス領域101のプラズマイオン密度にエッジ高の径方向分布が生じ、高いVHF周波数により、プラズマイオン密度に中心高の径方向分布が生じる。このような選択により、高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122の電力レベルは、実質的に均一な半径方向プラズマイオン密度を有するプラズマを生成することが可能である。
[0025]上部ガスインジェクタ130は、第1のバルブ132を通してプロセス領域101内にプロセスガスを供給し、下部ガスインジェクタ134は、第2のバルブ136を通してプロセス領域101内にプロセスガスを供給する。上部ガスインジェクタ130及び下部ガスインジェクタ134は、チャンバ本体102の側壁に配置され得る。プロセスガスは、第1のバルブ132及び第2のバルブ136に結合されたバルブ140のアレイを通して、ガス供給装置138等のプロセスガス供給装置のアレイから供給される。プロセス領域101内に送達されるプロセスガス種及びガス流量は、独立して制御可能である。例えば、上部ガスインジェクタ130を通るガス流は、下部ガスインジェクタ134を通るガス流と異なっていてよい。コントローラ126は、バルブ140のアレイを管理する。
[0026]一実施形態では、ヘリウム(He)、アルゴン(Ar)(又は他の不活性ガス)等の1又は複数の不活性ガス、及び/又は水素(H)、臭化水素(HBr)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)、三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、硫化カルボニル(COS)、トリフルオロメタン(CHF)、ヘキサフルオロブタジエン(C)、塩素(Cl)、窒素(N)、酸素(O)、及びこれらの組み合わせ等の1又は複数の反応性ガスが上部ガス注入器130及び下部ガス注入器134のいずれか又は両方を通してプロセス領域101内に供給され得る。幾つかの実施形態では、電極108に隣接してプロセス領域101に供給されるプロセスガスは、以下により詳細に説明するように、基板111に向かって二次電子を加速させ得る、及び/又はプロセス領域101に形成される反応性プラズマから電極108を緩衝することにより、電極108の耐用年数を増加させることが可能である。
[0027]本開示によれば、プラズマは、種々のバルク及び表面プロセスによって、例えば、容量結合170(例えば、容量結合プラズマ(CCP))及び/又は誘導結合172(例えば、誘導結合プラズマ(ICP))によって、プロセス領域101に生成される。イオンエネルギーを制御するバイアス電力とは別に、誘導結合電力又は高周波容量結合電力を使用して、プラズマ密度の独立した制御が達成され得る。したがって、プロセスチャンバ100が容量結合170と共に使用するように構成される(例えば、CCPリアクタとして構成される)場合、ソース電力は、基板111を支持するバイアス電極(例えば、電極144)、又は上部電極、例えば、電極108のいずれかに印加される高周波(バイアスと比べて)電力を指すことがある。代替的又は追加的に、プロセスチャンバ100が誘導結合172と共に使用するように構成される(例えば、ICPリアクタとして構成される)場合、ソース電力は、コイル173(図1に点線で示す)に印加される電力を指す。プロセスチャンバ100がICPリアクタとして構成される場合、プロセスチャンバ100のチャンバ本体102の側面には、誘電体窓175(これも点線で示す)が設けられる。誘電体窓175は、真空境界と電磁波励起プラズマのための窓を提供するように構成される。
[0028]本発明者らは、CCP又はICPによって生成されたイオンは、以下により詳細に説明するように、プラズマから生成されたイオンによる電極108のイオン衝突を促す電界の影響を受けることを見出した。更に、プロセスチャンバ100の動作モードに応じて、電極108のイオン衝突エネルギーは、例えば、DC電圧電源154、低周波RF電力ジェネレータ122、又は高周波RF電力ジェネレータ120のうちの1又は複数によって供給される電極108に供給される電力の関数であり得る。例えば、少なくとも幾つかの実施形態では、電極108のイオン衝突エネルギーは、DC電圧電源154及び低周波RF電力ジェネレータ122の一方又は両方からの電圧の印加によって提供され得る。少なくとも幾つかの実施形態では、DC電圧電源154及び低周波RF電力ジェネレータ122の一方又は両方の使用に加えて、高周波RF電力ジェネレータ120を使用して、プラズマ密度及びEビームフラックスを増加させることができる。
[0029]DC電圧電源154が電極108に電力(例えば、バイアス)を供給するために使用される場合、DC電圧電源154によって供給される電力は、約1Wから約30kW(例えば、約-1560Vから約-1440V)であってよい。同様に、低周波RF電力ジェネレータ122が電極108に電力(例えば、バイアス)を供給するために使用される場合、低周波RF電力ジェネレータ122によって供給される電力は、約100kHzから約20MHzまでの周波数で約1Wから約30KWであってよい。同様に、高周波RF電力ジェネレータ120が、DC電圧電源154及び低周波RF電力ジェネレータ122のいずれか又は両方と共に使用される場合、高周波RF電力ジェネレータ120によって供給される電力は、約20MHzから約200MHzの周波数で約1Wから約10kWであってよい。
[0030]電極108のイオン衝突エネルギー及びプラズマ密度は、高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122及びDC電圧電源154の両方の関数であり得る。例えば、少なくとも幾つかの実施形態では、電極108のイオン衝突エネルギーは、低周波RF電力ジェネレータ122(又はDC電圧電源154)からの低周波電力によって実質的に制御され、プロセス領域101のプラズマ密度は、高周波RF電力ジェネレータ120からの電力によって実質的に制御(増強)され得る。少なくとも幾つかの実施形態では、電極108のイオン衝突により、電極108は二次電子を放出する。負の電荷を有する高エネルギー二次電子は、以下により詳細に説明するように、電極108の内面から放出され、電極108の負バイアスにより電極108から離れるように加速される。更に、基板表面におけるEビーム衝突線量を増加させるために、低周波RF電力ジェネレータ122及び/又はDC電圧電源154の各々によって供給される相対電力を変化させて、以下に更に詳しく説明するように、電極108及び/又は電極144に供給される対応する電圧を変化させることができる。
[0031]電極108の放出面からの高エネルギー電子のEビームフラックスは、電極108の内面に対して実質的に垂直に配向され得る。Eビームのビームエネルギーは、電極108のイオン衝突エネルギーにほぼ等しく、典型的には約100eVから20000eVの範囲であり得る。電極108表面の高エネルギーイオン衝突によって電極108から放出された二次電子フラックスで構成されるEビームの少なくとも一部は、プロセス領域101を通って伝播し、基板111の近くでプロセスガスと反応する。Ar等の1又は複数の前述のプロセスガスを用いることにより、本発明者らは、基板111へのEビーム衝突の効果を様々な方法で利用できることを見出した。まず、上述したように、本発明者らは、反応種吸着面へのEビーム衝突により、エッチング反応(例えば、EBIE)が誘発され、基板に対する損傷のないエッチングと高いエッチング選択性が得られることを見出した。
[0032]第2に、基板の表面上の電界は常に基板の方を向いているため、帯電効果は基板の処理に悪影響を及ぼし得る。より具体的には、電子は、電荷中和において、例えばRFサイクルの正のピークにおけるシース(例えば、静電シース)崩壊の瞬間にのみ、基板に接近し得る。更に、アスペクト比が増加すればするほど、バルクプラズマからの電子がエッチング特徴の底部に到達しなくなる。したがって、正電荷はエッチング特徴の底部に蓄積され、入ってくるイオンを遅らせる電界が生じ得る。例えば、経験的データに基づくと、アスペクト比50:1のメモリホールでは、50%を超えるイオンがメモリホールの底部に到達できず、正電界による遅延のためにイオンエネルギーが大幅に減少する。この帯電効果が中性子輸送の限界と相まって、アスペクト比の増加に伴うエッチング速度の低下(例えば、ARDE効果)を引き起こす可能性がある。更に、帯電効果により、イオン軌道の偏向(例えば、垂直下向きではなく、側壁へのイオン衝突)が起こることで、ボウイング、ねじれ、アンダーエッチング、マイクロトレンチ等のエッチングプロファイル制御の課題が発生し得る。そこで、本発明者らは、Eビーム衝突を用いて、エッチング特徴(例えば、メモリホール)の底部及び/又は側壁に蓄積された正イオンの電荷を中和することで、帯電効果が排除され得ることを見出した。
[0033]幾つかの実施形態では、RFバイアス電力ジェネレータ162は、インピーダンス整合164を通してペデスタル110の電極144に結合され得る。RFバイアス電力ジェネレータ162は、使用される場合、基板111上にイオンを加速させるように構成される。RFバイアス電力ジェネレータ162は、低周波RF電力及び/又は高周波RF電力を供給するように構成され得る。例えば、少なくとも幾つかの実施形態では、RFバイアス電力ジェネレータ162は、1又は複数の周波数、例えば約100kHzから約200MHzの周波数で、1Wから30kWの電力を電極144に供給するように構成され得る。幾つかの実施形態では、例えば、RFバイアス電力ジェネレータ162は、約100kHzから約100MHzの周波数で1Wから30kWの電力を電極144に供給するように構成され得る。
[0034]波形適合プロセッサ147が、インピーダンス整合164の出力と電極144との間、及び/又はインピーダンス整合回路124の出力と電極108との間に接続され得る。波形適合プロセッサ147コントローラは、RFバイアス電力ジェネレータ162及び/又は高周波RF電力ジェネレータ120及び低周波RF電力ジェネレータ122によって生成される波形を所望の波形に変更するように構成され得る。基板111及び/又は電極108近傍のプラズマのイオンエネルギーは、波形適合プロセッサ147によって制御され得る。例えば、幾つかの実施形態では、波形適合プロセッサ247は、各RFサイクルの特定部分において、振幅が所望のイオンエネルギーレベルに対応するレベルに保持される波形を生成する。コントローラ126は、波形適合プロセッサ147を制御する。
[0035]基板111のエッチングはまた、1又は複数の要因によって影響され得る。例えば、圧力(使用される場合、Eビームエネルギー、Eビームプラズマ電力、及びバイアス電力に加えて)は、基板111のエッチングに影響を及ぼし得る。したがって、実施形態では、基板111のEBIE中にプロセス領域101に維持される圧力は、約0.1mTorrから約300mTorrであってよい。例えば、Eビームの中和及びエッチングプロファイルの制御が必要な場合等の少なくとも幾つかの実施形態では、基板111のEBIE中にプロセス領域101に維持される圧力は、約0.1mTorrから約30mTorrであってよい。同様に、少なくとも幾つかの実施形態では、Eビームの中和及びエッチングプロファイルの制御が必要なく、バイアス電力が必要ない場合等に基板111のEBIE中にプロセス領域101に維持される圧力は、約0.1mTorrから約100mTorrであってよい。この圧力は、プロセス領域101と流体連結している真空ポンプ168によって生成される。圧力は、プロセス領域101と真空ポンプ168との間に配置されるゲートバルブ166によって調節される。コントローラ126は、真空ポンプ168及び/又はゲートバルブ166を制御する。
[0036]図2は、本開示の1又は複数の実施形態に係る基板の処理方法200のフロー図である。方法200は、例えば、基板のEBIEを実行するために構成されたプロセスチャンバ、例えば、プロセスチャンバ100を使用して実行することができる。例示の目的で、プロセスチャンバは、例えば150mm、200mm、300mm、450mm基板等であり得る基板、例えば基板111のEBIE用に構成されたCCPリアクタとして構成されると仮定する。例えば、少なくとも幾つかの実施形態では、基板は、半導体ウエハ等の300mm基板であってよい。当然ながら、本明細書に記載された電力/電圧及び/又はパルス/デューティサイクルは、例えば、300mmより大きい又は小さい直径を有する基板に対して適宜にスケーリングされ得る。初めに、上述したプロセスガスのうちの1又は複数が、プロセスチャンバのプロセス領域、例えばプロセス領域101内に導入され得る。例えば、少なくとも幾つかの実施形態では、プロセスガスは、He、Ar等(又は他の不活性ガス)、及び/又はH、HBr、NH、Si、CH、C、NF、CF、SF、CO、COS、CHF、C、Cl、N、O等(又は他の反応性ガス)のうちの1又は複数であってよい。更に、プロセス領域は、約0.1mTorrから約300mTorrの1又は複数の動作圧力に維持され得る。例えば、少なくとも幾つかの実施形態では、圧力は、0.1mTorrから約100mTorrに維持され得る。
[0037]202において、低周波RF電力及びDC電力のうちの一方又は両方を、上述のように、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成され得る上部電極(例えば、電極108)に印加し得る。例えば、少なくとも幾つかの実施形態では、RF電力ジェネレータ、例えば、低周波RF電力ジェネレータ122を使用して、低周波RF電力を上部電極に供給し得る。上述したように、上部電極に印加される低周波RF電力は、約1Wから約30KWであってよく、約100kHzから約20MHzの周波数で供給され得る。
[0038]代替的又は追加的に、202において、例えばDC電圧電源154を使用して、DC電力を上部電極に供給し得る。例えば、最大約20kWの(例えば、供給電圧の約0から約20kVに対応する)DC電力が供給され得る。本発明者らは、202においてDC電力を使用すると、細いEビーム、例えば狭い電子エネルギー分布が形成されることを見出した。
[0039]少なくとも幾つかの実施形態では、202において、低周波RF電力及び/又はDC電力と共に、高周波RF電力も、例えば高周波RF電力ジェネレータ、例えば高周波RF電力ジェネレータ120を用いて上部電極に供給され得る。上述したように、高周波RF電力は、プラズマ密度又はEビームフラックスを増加させるために使用され得る。
[0040]次の204では、例えば、上部電極に供給される電力を使用して、プロセス領域にイオンを含むプラズマを生成し得る。例えば、上部電極に供給されるDC電力、低周波RF電力、及び/又は高周波RF電力を使用して、プロセス領域内に導入されたプロセスガスに点火してプラズマを生成し得る。
[0041]次に、206において、上部電極にイオンを衝突させることにより、上部電極に二次電子を放出させてEビームを形成する。より具体的には、上部電極における低周波RF電力(又はDC電力)を利用して高いシース電圧を生成することで、上部電極へのイオン衝突(例えば、プラズマから形成されたイオンを使用)は、上部電極から二次電子を放出させるのに十分に高エネルギーなものとなる。幾つかの実施形態では、及び202に関して上述したように、高周波RF電力を上部電極に印加して、プラズマ密度又はEビームフラックスを増加させることもできる。
[0042]208において、バイアス電力を下部電極(例えば、電極144)に供給する。例えば、少なくとも幾つかの実施形態では、Eビームの電子を下部電極に向かって加速させるために、低周波RF電力又は高周波RF電力のいずれかを下部電極に供給するように構成されたRFバイアス電力ジェネレータ、例えばRFバイアス電力ジェネレータ162を用いて、バイアス電力を下部電極に供給し得る。より具体的には、上部電極における高いシース電圧及び下部電極における比較的低いバイアス電位により二次電子が加速し、基板シース電位を克服して基板表面(例えば、基板111)に到達するのに十分なエネルギーを有する主プラズマとなる。
[0043]少なくとも幾つかの実施形態では、1又は複数のガスを使用して、ペデスタル(及び/又は下部電極)から基板への熱伝達を強化することができる。例えば、少なくとも幾つかの実施形態では、熱伝達を強化するために、例えば、1又は複数のガス供給装置(例えば、ガス供給装置138)を用いて、ペデスタル(及び/又は下部電極)と基板との間に、He又は熱伝達のための他の適切なガスを適用することが可能である。
[0044]生成されたEビームを使用して基板をエッチングし、基板に1又は複数の特徴を形成し得る。例えば、幾つかの実施形態では、生成されたEビームを使用して、基板に1又は複数のメモリホールを形成し得る。より具体的には、本発明者らは、Eビームを使用して、エッチ深さが約200nmから約500nmであり、ARDE効果がなく、メモリホールを画定する側壁のボウイング又はねじれがなく、より良いCD(例えば、平坦な底部)及び比較的直線状のプロファイルを有するメモリホールを形成することができることを見出した。
[0045]本発明者らは、1又は複数のパルススキーム(例えば、パルスデューティサイクル、パルス同期化、デューティサイクル及び遅延の制御)を使用して、Eビームフラックスとイオンフラックスとの間のバランスを制御できることも見出した。例えば、方法200では、任意の供給されるRF電力は、異なる用途(例えば、高又は低アスペクト比、ロジック又はメモリ等)に対して所望の結果を達成するために、パルス又は連続波(CW)モードを使用することが可能である。代替的に又は組み合わせて、方法200では、任意の供給されるDC電力は、異なる用途(例えば、高又は低アスペクト比、ロジック又はメモリ等)に対して所望の結果を達成するために、パルス又は連続モードを使用することが可能である。より具体的には、基板に入射するEビーム衝突線量を最大化するために、以下に説明するように、1又は複数のパルススキームを使用することができる。
[0046]少なくとも幾つかの実施形態では、例えば、低周波RF電力又はDC電力の一方又は両方が、(202に関して上述したように)上部電極に連続的に供給され得、低周波RF電力が、(208に関して上述したように)下部電極に供給され得る。幾つかの実施形態では、上部電極に供給されるDC電源電圧は、低周波RF電力の正弦波サイクルの少なくとも一部において、下部電極に供給される低周波RF電源電圧よりも大きい。更に、幾つかの実施形態では、下部電極に供給される低周波RF電源電圧は、低デューティサイクル(例えば、約10パーセント(10%)から約70パーセント(70%)、例えば約50パーセント(50%))のパルスであってよい。パルス周波数は、約50Hzから約100kHzであってよい。このようなパルススキームを使用すると、(例えば、下部電極における低周波RF電力オフ時間の間)基板シース電位が低下するため、基板表面におけるEビーム衝突線量が増加する。すなわち、基板シース電位より高いエネルギーを持つEビーム電子のみが基板表面に到達し得る。
[0047]低周波RF電力が上部電極に供給される実施形態では、パルスは、電力が上部電極に供給されるとき、低周波RF電力が下部電極に供給されないように構成され得、逆もまた同様である。あるいは、上述したように、低周波RF電力をCWモードで上部電極に供給し、低周波RF電力を低デューティサイクルのパルスで下部電極に供給することができる。
[0048]少なくとも幾つかの実施形態では、低周波RF電力及びDC電力の両方が、パルスモードで上部電極及び下部電極に供給され得るが、上部電極に電力が供給されるときに、下部電極への電力がオフとなるように同期させることが可能である。例えば、低周波RF電力及びDC電力の一方又は両方が上部電極に供給されるとき、低周波RF電力は下部電極に供給されない。上記実施形態では、オン/オフパルスサイクルは、約100Hzから約100kHzの周波数で設定することができる。上記実施形態では、イオンフラックス及びEビームフラックスが交互に基板に印加されるため、基板表面でのEビーム衝突線量が増加する。
[0049]上記は本開示の実施形態を対象としたものであるが、本開示の他の及び更なる実施形態を、その基本的範囲から逸脱することなく考案することが可能である。

Claims (18)

  1. 基板の処理方法であって、
    低周波RF電力又はDC電力の少なくとも一方を、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成された上部電極に印加することと、
    前記プロセス領域にイオンを含むプラズマを生成することと、
    前記上部電極に電子を放出させて電子ビームを形成するために、前記上部電極に前記イオンを衝突させることと、
    前記電子ビームの電子を下部電極に向かって加速させるために、低周波RF電力のバイアス電力を、前記プロセス領域に配置された前記下部電極に印加することと、
    低周波RF電力又はDC電力の前記少なくとも一方を連続モードで前記上部電極に印加することと、を含み、
    前記バイアス電力を印加することは、低周波RF電力の所定のパルスにより、前記電子ビームの電子を下部電極に向かって加速させるために低周波RF電力の正弦波サイクル中の一部において前記上部電極に印加される電圧よりも低い電圧が前記下部電極に供給されるように、低周波RF電力をパルスモードで前記下部電極に印加することを含む、方法。
  2. 前記高二次電子放出係数材料は、シリコン(Si)、窒化ケイ素(SiN)、酸化ケイ素(SiO)、又は炭素(C)のうちの少なくとも1つである、請求項1に記載の方法。
  3. 前記プラズマを生成することは、ヘリウム(He)、アルゴン(Ar)、水素(H)、臭化水素(HBr)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、硫化カルボニル(COS)、トリフルオロメタン(CHF)、ヘキサフルオロブタジエン(C)、塩素(Cl)、窒素(N)又は酸素(O)のうちの少なくとも1つを前記プロセス領域内に導入することを含む、請求項1に記載の方法。
  4. 前記上部電極を、基板を処理するためのプロセス位置から1インチから20インチの距離に維持することを更に含む、請求項1に記載の方法。
  5. 前記プロセス領域内の圧力を0.1mTorrから300mTorrに維持することを更に含む、請求項1に記載の方法。
  6. 高周波RF電力を、低周波RF電力又はDC電力の前記少なくとも一方と共に、前記上部電極に印加することを更に含む、請求項1に記載の方法。
  7. 低周波RF電力又はDC電力の前記少なくとも一方をパルスモードで前記上部電極に印加することを更に含み、
    前記バイアス電力を印加することは、前記上部電極への低周波RF電力又はDC電力の前記少なくとも一方がパルスオンされると、前記下部電極への前記低周波RF電力がパルスオフされるように、低周波RF電力を前記パルスモードで前記下部電極に印加することを含む、請求項1から6のいずれか一項に記載の方法。
  8. 基板の処理装置であって、
    コントローラであって、
    低周波RF電力又はDC電力の少なくとも一方を、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成された上部電極に印加し、
    前記プロセス領域にイオンを含むプラズマを生成し、
    前記上部電極に電子を放出させて電子ビームを形成するために、前記上部電極に前記イオンを衝突させ、
    前記電子ビームの電子を下部電極に向かって加速させるために、低周波RF電力のバイアス電力を、前記プロセス領域に配置された前記下部電極に印加し、
    低周波RF電力又はDC電力の前記少なくとも一方を連続モードで前記上部電極に印加する
    ように構成されたコントローラ
    を備え、
    前記バイアス電力を印加することは、低周波RF電力の所定のパルスにより、前記電子ビームの電子を下部電極に向かって加速させるために低周波RF電力の正弦波サイクル中の一部において前記上部電極に印加される電圧よりも低い電圧が前記下部電極に供給されるように、低周波RF電力をパルスモードで前記下部電極に印加することを含む、装置。
  9. 前記高二次電子放出係数材料は、シリコン(Si)、窒化ケイ素(SiN)、酸化ケイ素(SiO)、又は炭素(C)のうちの少なくとも1つである、請求項8に記載の装置。
  10. 前記プラズマは、ヘリウム(He)、アルゴン(Ar)、水素(H)、臭化水素(HBr)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、硫化カルボニル(COS)、トリフルオロメタン(CHF)、ヘキサフルオロブタジエン(C)、塩素(Cl)、窒素(N)又は酸素(O)のうちの少なくとも1つを前記プロセス領域内に含む、請求項8に記載の装置。
  11. 前記コントローラは更に、前記上部電極を、基板を処理するためのプロセス位置から1インチから20インチの距離に維持するように構成される、請求項8に記載の装置。
  12. 前記コントローラは更に、前記プロセス領域内の圧力を0.1mTorrから300mTorrに維持するように構成される、請求項8に記載の装置。
  13. 前記コントローラは更に、高周波RF電力を、前記低周波RF電力又はDC電力の少なくとも一方と共に、前記上部電極に印加するように構成される、請求項8に記載の装置。
  14. 前記コントローラは更に、低周波RF電力又はDC電力の前記少なくとも一方を連続モードで前記上部電極に印加するように構成され、
    前記バイアス電力が低周波RF電力を含む場合、前記コントローラは更に、前記上部電極への低周波RF電力又はDC電力の前記少なくとも一方がパルスオンされると、前記下部電極への前記低周波RF電力がパルスオフされるように、前記低周波RF電力をパルスモードで前記下部電極に印加するように構成される、請求項8から13のいずれか一項に記載の装置。
  15. 命令が記憶された非一過性コンピュータ可読記憶媒体であって、前記命令は、プロセッサによって実行されると、
    低周波RF電力又はDC電力の少なくとも一方を、プロセス領域に隣接して配置された、高二次電子放出係数材料から形成された上部電極に印加することと、
    前記プロセス領域にイオンを含むプラズマを生成することと、
    前記上部電極に電子を放出させて電子ビームを形成するために、前記上部電極に前記イオンを衝突させることと、
    前記電子ビームの電子を下部電極に向かって加速させるために、低周波RF電力のバイアス電力を、前記プロセス領域に配置された前記下部電極に印加することと、
    低周波RF電力又はDC電力の前記少なくとも一方を連続モードで前記上部電極に印加することと、を含む基板の処理方法を実行するように前記プロセッサを構成し、
    前記バイアス電力を印加することは、低周波RF電力の所定のパルスにより、前記電子ビームの電子を下部電極に向かって加速させるために低周波RF電力の正弦波サイクル中の一部において前記上部電極に印加される電圧よりも低い電圧が前記下部電極に供給されるように、低周波RF電力をパルスモードで前記下部電極に印加することを含む、非一過性コンピュータ可読記憶媒体。
  16. 前記高二次電子放出係数材料は、シリコン(Si)、窒化ケイ素(SiNi)、酸化ケイ素(SiO)、又は炭素(C)のうちの少なくとも1つである、請求項15に記載の非一過性コンピュータ可読記憶媒体。
  17. 前記プラズマを生成することは、ヘリウム(He)、アルゴン(Ar)、水素(H)、臭化水素(HBr)、アンモニア(NH)、ジシラン(Si)、メタン(CH)、アセチレン(C)三フッ化窒素(NF)、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、一酸化炭素(CO)、硫化カルボニル(COS)、トリフルオロメタン(CHF)、ヘキサフルオロブタジエン(C)、塩素(Cl)、窒素(N)又は酸素(O)のうちの少なくとも1つを前記プロセス領域内に導入することを含む、請求項15に記載の非一過性コンピュータ可読記憶媒体。
  18. 前記上部電極を、基板を処理するためのプロセス位置から1インチから20インチの距離に維持することを更に含む、請求項15から17のいずれか一項に記載の非一過性コンピュータ可読記憶媒体。
JP2022524935A 2019-10-30 2020-10-08 基板の処理方法及び装置 Active JP7500718B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/668,107 2019-10-30
US16/668,107 US11043387B2 (en) 2019-10-30 2019-10-30 Methods and apparatus for processing a substrate
PCT/US2020/054784 WO2021086570A1 (en) 2019-10-30 2020-10-08 Methods and apparatus for processing a substrate

Publications (2)

Publication Number Publication Date
JP2023501162A JP2023501162A (ja) 2023-01-18
JP7500718B2 true JP7500718B2 (ja) 2024-06-17

Family

ID=75687895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022524935A Active JP7500718B2 (ja) 2019-10-30 2020-10-08 基板の処理方法及び装置

Country Status (6)

Country Link
US (3) US11043387B2 (ja)
JP (1) JP7500718B2 (ja)
KR (1) KR20220056869A (ja)
CN (1) CN114207785A (ja)
TW (1) TW202121933A (ja)
WO (1) WO2021086570A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117293008A (zh) * 2019-08-05 2023-12-26 株式会社日立高新技术 等离子处理装置
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN113764328B (zh) * 2020-06-02 2024-06-21 拓荆科技股份有限公司 用于加工晶圆的装置及方法
US11990319B2 (en) * 2022-01-05 2024-05-21 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006270019A (ja) 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP2010171320A (ja) 2009-01-26 2010-08-05 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2012054534A (ja) 2010-09-01 2012-03-15 Samsung Electronics Co Ltd プラズマエッチング方法及びその装置
JP2013257977A (ja) 2012-06-11 2013-12-26 Tokyo Electron Ltd プラズマ処理装置及びプローブ装置
JP2016066593A (ja) 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置
JP2019521253A (ja) 2016-06-28 2019-07-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子ビームプラズマプロセスにより形成されるダイヤモンドライクカーボン層

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
KR20010034127A (ko) * 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7771562B2 (en) 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7976637B2 (en) * 2006-03-08 2011-07-12 Tokyo Electron Limited Substrate processing system, substrate surface processing apparatus, substrate surface inspecting apparatus, substrate surface inspecting method, and storage medium storing program for implementing the method
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
TWI464282B (zh) 2006-12-12 2014-12-11 Oerlikon Advanced Technologies Ag 具有高能脈衝磁管噴濺之射頻基板偏壓
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5210905B2 (ja) * 2009-01-30 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2010177626A (ja) 2009-02-02 2010-08-12 Denki Kagaku Kogyo Kk 回路基板
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5571996B2 (ja) * 2010-03-31 2014-08-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9653316B2 (en) * 2013-02-18 2017-05-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
WO2017087410A2 (en) * 2015-11-16 2017-05-26 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
KR20180019906A (ko) * 2016-08-17 2018-02-27 삼성전자주식회사 플라즈마 식각장비 및 이를 이용한 반도체 소자의 제조방법
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US20190088518A1 (en) 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11021788B2 (en) * 2017-12-05 2021-06-01 Panasonic Intellectual Property Management Co., Ltd. Sputtering method
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11688586B2 (en) * 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006270019A (ja) 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP2010171320A (ja) 2009-01-26 2010-08-05 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2012054534A (ja) 2010-09-01 2012-03-15 Samsung Electronics Co Ltd プラズマエッチング方法及びその装置
JP2013257977A (ja) 2012-06-11 2013-12-26 Tokyo Electron Ltd プラズマ処理装置及びプローブ装置
JP2016066593A (ja) 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置
JP2019521253A (ja) 2016-06-28 2019-07-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子ビームプラズマプロセスにより形成されるダイヤモンドライクカーボン層

Also Published As

Publication number Publication date
KR20220056869A (ko) 2022-05-06
TW202121933A (zh) 2021-06-01
US11043387B2 (en) 2021-06-22
US11651966B2 (en) 2023-05-16
US20210134599A1 (en) 2021-05-06
US20210296131A1 (en) 2021-09-23
CN114207785A (zh) 2022-03-18
US20210287907A1 (en) 2021-09-16
WO2021086570A1 (en) 2021-05-06
JP2023501162A (ja) 2023-01-18

Similar Documents

Publication Publication Date Title
TWI814763B (zh) 蝕刻設備及方法
JP7500718B2 (ja) 基板の処理方法及び装置
KR102167957B1 (ko) 물질 개질 및 rf 펄싱을 사용한 선택적 식각
US10544505B2 (en) Deposition or treatment of diamond-like carbon in a plasma reactor
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
US8962488B2 (en) Synchronized radio frequency pulsing for plasma etching
US11380551B2 (en) Method of processing target object
US5476182A (en) Etching apparatus and method therefor
US9039913B2 (en) Semiconductor device manufacturing method
US10790153B2 (en) Methods and apparatus for electron beam etching process
TW202306442A (zh) 用於在電漿處理腔室中減少特徵充電的方法及設備
US20210327719A1 (en) Method for processing workpiece
KR20220044295A (ko) 플라즈마 공정을 위한 3단계 펄싱 시스템 및 방법
US20230343554A1 (en) Methods To Provide Anisotropic Etching Of Metal Hard Masks Using A Radio Frequency Modulated Pulsed Plasma Scheme
KR100420533B1 (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법
TW202336802A (zh) 電漿反應器中電極的離子能量控制
KR20220065978A (ko) 고주파 펄스 소스 및 저주파 펄스 바이어스를 이용한 플라즈마 극고종횡비 식각 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230609

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240605

R150 Certificate of patent or registration of utility model

Ref document number: 7500718

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150