TWI814763B - 蝕刻設備及方法 - Google Patents

蝕刻設備及方法 Download PDF

Info

Publication number
TWI814763B
TWI814763B TW108100782A TW108100782A TWI814763B TW I814763 B TWI814763 B TW I814763B TW 108100782 A TW108100782 A TW 108100782A TW 108100782 A TW108100782 A TW 108100782A TW I814763 B TWI814763 B TW I814763B
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
substrate
processing space
base
Prior art date
Application number
TW108100782A
Other languages
English (en)
Other versions
TW201933423A (zh
Inventor
楊揚
卡提克 拉馬斯瓦米
肯尼士S 柯林斯
史蒂芬 蘭
弓扎羅 蒙羅伊
芝萍 陳
郭岳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201933423A publication Critical patent/TW201933423A/zh
Application granted granted Critical
Publication of TWI814763B publication Critical patent/TWI814763B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Weting (AREA)

Abstract

本文描述的實施例涉及用於執行電子束反應電漿蝕刻(EBRPE)的設備及方法。在一個實施例中,用於執行EBRPE處理的設備包括電極,該電極由具有高二次電子發射係數的材料形成。在另一個實施例中,用於蝕刻基板的方法包括以下步驟:產生電漿並用來自電漿的離子轟擊電極以使電極發射電子。電子朝向基板加速以誘發基板的蝕刻。

Description

蝕刻設備及方法
本揭示內容的實施例大體係關於用於蝕刻基板的方法及設備。更特定言之,本文描述的實施例係關於用於電子束反應電漿蝕刻的方法及設備。
在半導體製造工業中,各種技術的進步使得能夠在先進技術節點處生產越來越複雜的裝置。例如,裝置特徵尺寸已經減小到奈米尺度,且此類特徵的幾何復雜性變得越來越複雜。用於製造此類裝置的蝕刻處理通常是進一步發展先進裝置的限制因素。
反應離子蝕刻(RIE)是一種習知的蝕刻技術,其利用離子轟擊以在基板上誘發蝕刻反應。利用RIE,可產生各向異性(anisotropic)的蝕刻輪廓;然而,通常需要特定的離子能量閾值來誘發所期望的蝕刻反應並控制蝕刻輪廓。離子能量閾值通常會降低蝕刻選擇性並可能損壞被蝕刻的結構。
電子束是半導體製造產業中常用的另一種技術。當與合適的蝕刻氣體化學物質一起使用時,電子束可在基板上誘發蝕刻。然而,習知的電子束蝕刻設備通常發射具有微米級橫截面的電子束,此舉對於形成奈米級的先進裝置是不實際的。
因此,本領域需要改進的蝕刻設備及方法。
在一個實施例中,提供了一種蝕刻基板的方法。該方法包括以下步驟:將處理氣體輸送到處理腔室的處理空間,將低頻RF功率施加到設置在處理空間中的電極,該電極由高二次電子發射係數(secondary electron emission coefficient)材料形成,以及在處理空間中產生包括離子的電漿。該電極被離子轟擊以使電極發射電子並形成電子束,所發射的電子從電極穿過電漿向基板加速,並蝕刻該基板。
在另一個實施例中,提供了一種蝕刻基板的方法。該方法包括以下步驟:將基板定位在基座上,該基座設置在處理腔室的處理空間中,對該基座進行偏壓,將處理氣體輸送到處理空間,以及將低頻RF功率施加到電極,該電極設置在處理空間中與基座相對處。處理氣體被激發以在處理空間中形成電漿,電極進行偏壓,離子從電漿朝向電極加速,且從電極發射的電子產生電子束。
在又一個實施例中,提供了一種蝕刻基板的方法。該方法包括以下步驟:將基板定位在基座上,該基座設置在處理腔室的處理空間中,使基板靜電地吸附到基座,並將基座保持在接地電位。處理氣體被輸送到處理空間,將頻率為約2 MHz的RF功率施加到電極,該電極設置在處理空間中與基座相對處,且處理氣體被激發以在處理空間中形成電漿。電極進行偏壓,離子從電漿向電極加速,且電子束由電極發射的電子產生。
本文描述的實施例涉及用於執行電子束反應電漿蝕刻(EBRPE)的設備及方法。在一個實施例中,用於執行EBRPE處理的設備包括電極,該電極由具有高二次電子發射係數的材料形成。在另一個實施例中,用於蝕刻基板的方法包括以下步驟:產生電漿並用來自電漿的離子轟擊電極以使電極發射電子。電子朝向基板加速以誘發基板的蝕刻。
圖1示意性地圖示了電子束反應電漿蝕刻(EBRPE)腔室100。腔室100具有腔室主體102,該腔室主體限定處理空間101。在一個實施例中,腔室主體102具有基本上圓柱形的形狀。在其他實施例中,腔室主體102具有多邊形形狀,例如立方體形狀等。腔室主體102由適合在其中保持真空壓力環境的材料製成,例如金屬材料,例如鋁或不銹鋼。
頂板106耦合到腔室主體102並進一步限定處理空間101。在一個實施例中,頂板106由導電材料形成,例如用於製造腔室主體102的材料。頂板106在其上耦合到並支撐電極108。在一個實施例中,電極108耦合到頂板106,使得電極108設置於鄰近處理空間101處。在一個實施例中,電極108由具有高二次電子發射係數的處理兼容材料形成,例如矽、碳、矽碳材料或氧化矽材料。替代地,電極108由金屬氧化物材料形成,例如氧化鋁、氧化釔或氧化鋯。由電絕緣材料形成的介電環109耦合到腔室主體102並圍繞電極108。如所示地,介電環109設置在腔室主體102及頂板106之間,並在其上支撐電極108。
基座110設置在處理空間101中。基座110在其上支撐基板111且具有平行於電極108定向的基板支撐表面110a。在一個實施例中,基座110可藉由升舉伺服機112以在軸向方向中移動。在操作期間,基板支撐表面110a保持在離頂部電極108約1吋至約30吋之間的距離處。在一個實施例中,基座110包括絕緣圓盤142,該絕緣圓盤形成基板支撐表面110a、設置在絕緣圓盤142內部的電極144,以及連接到電極144的吸附電壓源148。此外,在絕緣圓盤142下方的基層146具有內部通道149,以使來自循環供應器145的熱傳遞介質(例如,液體)循環。在一個實施例中,循環供應器145用作散熱器。在另一個實施例中,循環供應器145用作熱源。在一個實施例中,基座110的溫度保持在約-20℃至約1000℃之間。
具有VHF頻率(例如,160 MHz)的RF功率產生器120及具有頻率低於VHF範圍或低於HF範圍的低頻RF功率產生器122(例如,在MF或LF範圍內,例如,在約100 kHz及約60 MHz之間,例如約2 MHz)經由RF饋送導體123以由阻抗匹配124而耦合到電極108。在一個實施例中,阻抗匹配124經適配以在RF功率產生器120及122的不同頻率處提供阻抗匹配,及濾波以將功率產生器彼此隔離。RF功率產生器120、122的輸出功率位準由控制器126獨立控制。如將於下方詳細描述地,來自RF功率產生器120、122的功率耦合到電極108。
在一個實施例中,頂板106是導電的且與電極108電接觸。來自阻抗匹配124的功率經由頂板106傳導至電極108。在一個實施例中,腔室主體102保持在接地電位。在一個實施例中,腔室100內的接地內部表面(亦即腔室主體102)塗覆有處理兼容的材料,例如矽、碳、矽碳材料或氧化矽材料。在替代實施例中,腔室100內的接地內部表面塗覆有諸如氧化鋁、氧化釔或氧化鋯的材料。
在一個實施例中,RF功率產生器120由兩個單獨控制的VHF功率產生器120a及120b代替。VHF功率產生器120a具有VHF頻帶的較低部分(例如,30 MHz至150 MHz)的輸出頻率,而VHF功率產生器120b具有VHF頻帶的較高部分(例如,150 MHz至300 MHz)的輸出頻率。控制器126藉由選擇VHF功率產生器120a及120b的輸出功率位準之間的比率來控制電漿離子密度。
利用兩個VHF功率產生器120a及120b,可藉由選擇電極108及基座110之間的距離來控制處理空間101中的徑向電漿均勻性。在此實施例中,較低的VHF頻率在處理空間101中產生邊緣高的徑向分佈的電漿離子密度,且較高的VHF頻率產生中心高的徑向分佈的電漿離子密度。使用此類選擇,兩個VHF功率產生器120a、120b的功率位準能夠產生具有基本上均勻的徑向電漿離子密度的電漿。
在一個實施例中,頂板106是用於電極108的支撐件,且該頂板包括絕緣層150,該絕緣層包含面向電極108的吸附電極152。DC(直流)吸附電壓供應器154經由饋送導體155耦合到吸附電極152,以將電極108靜電吸附到頂板106。DC阻擋電容器156與阻抗匹配124的輸出串聯連接。控制器126經作用以控制DC吸附電壓供應器154。在一個實施例中,來自阻抗匹配124的RF饋送導體123連接到電極支撐件或頂板106,而非直接連接到電極108。在此類實施例中,來自RF饋送導體123的RF功率從電極支撐件電容耦合到電極108。
在一個實施例中,用於在頂板106內傳導導熱液體或介質的內部通道178連接到熱介質循環供應器180。熱介質循環供應器180用作散熱器或熱源。電極108及頂板106之間的機械接觸足以保持電極108及頂板106之間的高導熱性。在圖1的實施例中,機械接觸的力量由DC吸附電壓供應器154提供的靜電吸附力所調節。
在一個實施例中,上部氣體注射器130經由第一閥132以將處理氣體提供到處理空間101中。在一個實施例中,下部氣體注射器134經由第二閥136以將處理氣體提供到處理空間101中。上部氣體注射器130及下部氣體注射器134設置在腔室主體102的側壁中。氣體從處理氣體供應器陣列138經由閥陣列140供應,該閥陣列可包括第一閥132及第二閥136。在一個實施例中,輸送到處理空間101中的氣體種類及氣流速率是可獨立控制的。例如,穿過上部氣體注射器130的氣流可與穿過下部氣體注射器134的氣流不同。控制器126控制閥陣列140。
在一個實施例中,惰性氣體(例如氬氣或氦氣)經由上部氣體注射器130被供應到處理空間101中,且處理氣體經由下部氣體注射器134被供應到處理空間101中。在此實施例中,輸送到鄰近於電極108的處理空間101的惰性氣體用於從處理空間101中形成的反應電漿緩衝電極108,從而增加電極108的使用壽命。在另一個實施例中,處理氣體經由上部氣體注射器130及下部氣體注射器134兩者供應到處理空間101。
在一個實施例中,藉由各種塊體及表面處理(例如藉由電容耦合)以在處理空間101中產生電漿。在一個實施例中,亦藉由頂部電子發射電極108的內部表面的高能離子轟擊來促進電漿的產生。在一個實例中,電極108以基本上負的電荷偏壓,例如藉由從電壓供應器154施加電壓。在一個實施例中,施加到電極108的偏壓功率在約1 KW及約10 KW之間,其頻率在約400 kHz及約200 MHz之間。據信由電容耦合電漿產生的離子受到電場的影響,該電場促使由電漿產生的離子轟擊電極108。
電極108的離子轟擊能量及電漿密度受RF功率產生器120及122的影響。電極108的離子轟擊能量基本上由來自RF功率產生器122的較低頻功率所控制,且處理空間101中的電漿密度基本上由來自RF功率產生器120的VHF功率所控制(增強)。據信電極108的離子轟擊導致電極發射二次電子。具有負電荷的高能二次電子從電極108的內部表面發射,且由於電極108的負偏壓而加速遠離電極。
來自電極108的發射表面的高能電子通量(flux)被認為是電子束,且可基本上垂直於電極108的內部表面定向。電子束的束能量約等於電極108的離子轟擊能量,其範圍通常可為約10 eV至20,000 eV。在一個實施例中,電漿電位大於電極108的電位,且當二次電子穿過電漿時,從電極108發射的高能二次電子被電漿的鞘電壓(sheath voltage)進一步加速。
由於電極表面的高能離子轟擊,由二次電子通量組成且從電極108發射的電子束的至少一部分傳播穿過處理空間101並與基板111附近的處理氣體反應。利用合適的處理氣體,例如含氯材料、含氟材料、含溴材料、含氧材料等,電子束在基板111上誘發蝕刻反應。據信,除了電容產生的電漿之外,電子束亦產生化學反應性自由基及離子,該等化學反應性自由基及離子吸附到基板的表面並形成基板111的表面的化學反應性聚合物層。化學反應性聚合物層的電子束轟擊造成揮發性產物的產生,此舉導致基板111的蝕刻(材料移除)
從而,電子束誘發化學反應以釋放氣相揮發性產物並蝕刻基板111。基板111的蝕刻也受到其他因素如壓力影響。在一個實施例中,在基板111的電子束蝕刻期間,處理空間101中保持的壓力在約0.001 Torr及約10 Torr之間。壓力由真空泵168產生,該真空泵與處理空間101流體連通。壓力由閘閥所調節,該閘閥設置在處理空間101及真空泵168之間。
在一個實施例中,RF偏壓功率產生器162經由阻抗匹配164耦合到基座110的電極144。在進一步的實施例中,波形制定處理器147可連接在阻抗匹配164的輸出及電極144之間。波形制定處理器147將RF偏壓功率產生器162所產生的波形改變到所期望的波形。基板111附近的電漿的離子能量由波形制定處理器147控制。在一個實施例中,波形制定處理器147產生波形,其中在每個RF循環的特定部分期間,振幅保持在對應於所需離子能量位準的位準。控制器126控制波形制定處理器147。
圖2示意性地圖示了EBRPE設備100的另一個實施例。在圖1的實施例中,VHF功率(來自RF功率產生器120)及較低頻的RF功率(來自RF功率產生器122)經由分離的路徑而傳送到電極108。在圖2的實施例中,RF功率產生器120經由覆蓋電極108的邊緣的折疊共振器195耦合到電極108。低頻RF功率產生器122經由RF饋送導體123透過RF阻抗匹配194耦合到電極108。DC吸附電壓供應器154經由饋送導體155耦合到吸附電極152,該饋送導體延伸穿過頂板106中的通道。
圖3是根據本文描述的實施例描繪方法300操作的流程圖。在操作310處,將處理氣體輸送到EBRPE腔室的處理空間,例如設備100的處理空間101。將各種處理氣體,例如含鹵素氣體或含氧氣體,經由氣體注射器130、134從供應器138輸送到處理空間101。在一個實施例中,惰性氣體也被輸送到處理空間101。在此實施例中,惰性氣體經由上部氣體注射器130輸送。儘管未針對圖1圖示,但在另一個實施例中,惰性氣體經由頂部電極108輸送。藉由注射惰性氣體,可能降低反應性物質反向擴散的可能性且更佳地保持處理空間中所形成的電漿的完整性。
在操作320,將低頻RF功率傳遞到設置在處理空間中的電極。在一個實施例中,將具有約2 MHz或約13.56 MHz頻率的RF功率施加到頂部電極108。在一個實施例中,RF功率以脈衝方式施加到頂部電極108。在另一個實施例中,RF功率連續地施加到頂部電極108。施加到頂部電極108的RF功率用於激發處理空間101中的處理氣體並在操作330中形成電漿。藉由利用低頻RF功率,可能產生具有高鞘電壓的電漿,此舉促使後續從頂部電極108發射的電子加速。
在一個實施例中,基座110保持在接地電位。在另一個實施例中,低頻RF功率施加到基座110。在此實施例中,施加到基座110的RF功率與施加到電極108的RF功率同時進行。替代地,當基本上沒有RF功率施加到電極108時,進行施加到基座110的RF功率傳遞。在RF功率施加到基座110的實施例中,RF功率經控制以減少對電漿鞘電位的不利影響,以防止電子束的延遲(retardation)到達基板111。
亦設想到,可藉由脈衝而使施加到頂部電極108的RF功率與施加到基座110的RF功率同步,使得頂部電極RF功率及基座110 RF功率的工作週期(duty cycle)不重疊。作為結果,處理空間101內的電性偏壓不受大幅限制,且起因於電漿鞘偏壓電位的電子束的延遲而大幅減輕。
在操作340,電極由來自電漿的離子轟擊。在此實施例中,電漿主要具有正電荷,且頂部電極108主要具有負電荷。來自電漿的離子受處理空間101中產生的電場影響,且朝向頂部電極108加速的離子使頂部電極108加熱。由於頂部電極由具有高二次電子發射係數的材料形成,故頂部電極108的離子轟擊導致電子從頂部電極108發射。
在操作350處,從電極發射的電子朝向基板加速。例如,從頂部電極108發射的電子朝向基板111加速。由於頂部電極108的實質負電荷,帶負電的電子被頂部電極108排斥並朝向基板111。亦設想到,電漿鞘電壓電位亦經作用以使電子朝向基板111加速。隨著電子被電漿鞘電壓加速,電子在進入電漿時獲得約100電子伏特(eV)至約10,000 eV之間的能量。從頂部電極108發射的電子產生大面積的二次電子束。電子束具有在基板111的直徑上基本上均勻的能量分佈。
在操作360處,從基板移除材料。據信,電子束中的電子與處理氣體反應以進一步產生額外的自由基及離子,該等自由基及離子吸附到基板111的表面。所吸附的材料在基板表面上形成化學反應性聚合物層。電子束亦促使聚合物層與基板111反應以產生氣相揮發性產物,從而蝕刻基板111的表面。
圖4是根據本文描述的實施例圖示EBRPE蝕刻速率相較於反應性離子蝕刻(RIE)及電感耦合電漿(ICP)蝕刻速率的圖表400。用於ICP蝕刻方案的蝕刻速率資料402、用於RIE蝕刻方案的蝕刻速率資料406及用於EBRPE方案的蝕刻速率資料404圖示了當沒有偏壓施加到基板時的毯覆蝕刻(blanket etch)處理典型的蝕刻速率。如所示地,ICP蝕刻速率資料402圖示了小於200埃/分鐘的蝕刻速率。RIE蝕刻資料406圖示30 MHz高功率蝕刻方案。儘管蝕刻速率資料406指示大於約1400埃/分鐘的蝕刻速率,但基板直徑上的蝕刻均勻性表現出顯著的變化。EBRPE蝕刻速率資料404圖示了在基板直徑上大於約800埃/分鐘的基本上均勻的蝕刻速率。從而,可看出EBRPE蝕刻處理在升高的蝕刻速率下提供改善的蝕刻均勻性。
圖5是根據本文描述的實施例圖示具有不同源功率量的EBRPE蝕刻速率的圖表500。資料502、504圖示了以基板半徑為函數的多晶矽蝕刻速率,其具有1 kW電子束源功率(502),及具有1 kW電子束源功率及額外3 kV的DC負電壓(504)。資料502、504皆圖示了在7 mTorr壓力環境中利用氬氣及氯氣混合物的多晶矽蝕刻速率。
在一個實施例中,3kV的DC負電壓被施加到頂部電極108。如所示地,資料502表示介於約100埃/分鐘及約150埃/分鐘之間的蝕刻速率。利用1 kW的電子束源功率,峰值電子束能量高於3 kV,其自身足以誘發基板上的蝕刻反應。然而,包括額外3 kV的DC負電壓的資料504使蝕刻速率(藉由增加電子束能量)增加到約300埃/分鐘。因此,據信向頂部電極108提供額外的DC負電壓可增加蝕刻速率。作為結果,可實現更有效的蝕刻處理。
圖6是根據本文描述的實施例圖示EBRPE蝕刻速率的圖表600。更特定而言,圖表600圖示以所施加的DC負電壓為函數的多晶矽蝕刻速率及峰值電子束能量。使用氬氣及氯氣混合物在7 mTorr壓力環境中以500 W的電子束源功率取得多晶矽的蝕刻速率。峰值束能量是指隨著束能量進行RF調變(modulate)而在一個RF循環期間的電子束能量的最大值。在沒有任何額外施加電壓的情況下,蝕刻速率與習知的自由基蝕刻方案相當。
如上所述,在某些實施例中可將額外的DC負電壓施加到頂部電極108。在該等實施例中,電子束能量以所施加的DC負電壓量而增加,其中對束通量的影響可忽略,因為電子生產由RF源主導。電子束源功率相對較低,例如約500 W,此導致初始束能量不大到足以誘發蝕刻反應。所施加的DC負偏壓據信是增加了電子束能量,此舉導致蝕刻速率隨著DC負電壓的增加而增加。
在一個實施例中,向頂部電極108施加2 kV的DC負電壓,此舉導致電子束能量增加到足以誘發蝕刻反應。在一個實施例中,所施加的DC負電壓在2.5 kV及約3 kV之間。因此,電子束能量的增加係以施加DC負電壓來實現,並可達成所造成的蝕刻速率增加。
圖7根據本文描述的實施例圖示代表頂部電極電壓波形及電子束能量的資料700。除了如上述向頂部電極施加DC負電壓以增加電子束功率之外,由於接地區域(例如,基座110)大於電極區域(例如頂部電極108),因此認為基準負電壓在頂部電極108上累積。隨著電子束被頂部電漿鞘(plasma sheath)加速,電子束在穿過電漿鞘之後所獲得的能量約等於頂部電極電壓及電漿電壓之間的差。在某些實施例中,電漿鞘對電子束的加速足以誘發蝕刻反應。在如上述的其他實施例中,施加額外的DC負電壓以增加電子束的功率,此舉導致增加的蝕刻速率。
藉由利用根據上述實施例所產生的電子束,可產生習知蝕刻處理不容易取得的反應性物質。例如,可使用本文所述的EBRPE方法及設備取得具有高離子化能量及/或激發能量/解離(dissociation)能量的反應性物質。亦據信本文所述的EBRPE方法提供的蝕刻速率等於或大於習知蝕刻處理,但具有改進的材料選擇性。
例如,據信EBRPE方法由於閾值電子束能量的分離而提供改進的蝕刻選擇性,該閾值電子束能量用於誘發蝕刻反應。例如,對於某些聚合氣體化學物質而言,用於蝕刻氧化矽材料的閾值能量遠大於用於蝕刻矽的閾值能量。作為結果,可能達到約5:1或更大的蝕刻選擇性。在一個實施例中,據信EBRPE能夠實現約5:1的矽:氧化矽的蝕刻選擇性。在另一個實施例中,據信EBRPE能夠實現約5:1的鎢:氮化矽的蝕刻選擇性。
電子的動能也遠小於離子的動能。作為結果,減少了基板損壞,因為減少了濺射的電位。此外,藉由控制電子束能量,例如藉由向電極施加RF功率,據信EBRPE提供比習知蝕刻處理「更軟」的蝕刻。使用改進的控制,EBRPE能夠生產錐形的蝕刻輪廓,例如在某些淺溝槽隔離應用中使用的蝕刻輪廓。
儘管前述內容針對本揭示內容的實施例,但可在不脫離本揭示內容的基本範疇的情況下設計本揭示內容的其他及進一步實施例,且本揭示內容的範疇由以下請求項所確定。
100‧‧‧電子束反應電漿蝕刻(EBRPE)腔室 101‧‧‧處理空間 102‧‧‧腔室主體 106‧‧‧頂板 108‧‧‧支撐電極 109‧‧‧介電環 110‧‧‧基座 110a‧‧‧基板支撐表面 111‧‧‧基板 112‧‧‧升舉伺服機 120‧‧‧RF功率產生器 120a‧‧‧VHF功率產生器 120b‧‧‧VHF功率產生器 122‧‧‧RF功率產生器 123‧‧‧RF饋送導體 124‧‧‧阻抗匹配 126‧‧‧控制器 130‧‧‧氣體注射器 132‧‧‧第一閥 134‧‧‧氣體注射器 136‧‧‧第二閥 138‧‧‧供應器 140‧‧‧閥陣列 142‧‧‧絕緣圓盤 144‧‧‧電極 145‧‧‧循環供應器 146‧‧‧基層 147‧‧‧波形制定處理器 148‧‧‧吸附電壓源 149‧‧‧內部通道 150‧‧‧絕緣層 152‧‧‧吸附電極 154‧‧‧DC(直流)吸附電壓供應器 155‧‧‧饋送導體 156‧‧‧DC阻擋電容器 162‧‧‧RF偏壓功率產生器 164‧‧‧阻抗匹配 168‧‧‧真空泵 178‧‧‧內部通道 180‧‧‧熱介質循環供應器 194‧‧‧RF阻抗匹配 195‧‧‧折疊共振器 300‧‧‧方法 310‧‧‧操作 320‧‧‧操作 330‧‧‧操作 340‧‧‧操作 350‧‧‧操作 360‧‧‧操作 400‧‧‧圖表 402‧‧‧蝕刻速率資料 404‧‧‧蝕刻速率資料 406‧‧‧蝕刻速率資料 500‧‧‧圖表 502‧‧‧資料 504‧‧‧資料 600‧‧‧圖表 700‧‧‧資料
為了可詳細地理解本揭示內容的上述特徵,上方簡要概述的本揭示內容的更特定描述可參考實施例,其中某些實施例在附圖中圖示。然而,應注意,附圖僅圖示了示例性實施例,因此不應視為限制其範疇,可允許其他等效的實施例。
圖1根據本文描述的實施例示意性地圖示了的電子束反應電漿蝕刻(EBRPE)設備。
圖2根據本文描述的實施例示意性地圖示了EBRPE設備。
圖3是根據本文描述的實施例來描繪方法操作的流程圖。
圖4是根據本文描述的實施例圖示EBRPE蝕刻速率相較於反應離子蝕刻(RIE)及電感耦合電漿(ICP)蝕刻速率的圖表。
圖5是根據本文描述的實施例圖示具有不同源功率量的EBRPE蝕刻速率的圖表。
圖6是根據本文描述的實施例圖示EBRPE蝕刻速率的圖表。
圖7根據本文描述的實施例圖示了表示頂部電極電壓波形及電子束能量的資料。
為了便於理解,在可能的情況下,使用相同的元件符號來表示圖式中共有的相同元件。可設想到,一個實施例中的元件及特徵可有利地併入其他實施例中而無需進一步詳述。
國內寄存資訊 (請依寄存機、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機、日期、號碼順序註記) 無
300‧‧‧方法
310‧‧‧操作
320‧‧‧操作
330‧‧‧操作
340‧‧‧操作
350‧‧‧操作
360‧‧‧操作

Claims (16)

  1. 一種蝕刻一基板的方法,包括以下步驟:將一處理氣體輸送到一處理腔室的一處理空間;將具有13.56MHz之一頻率的低頻RF功率施加到設置在該處理空間中的一電極,該電極由一含金屬氧化物材料形成或由含矽材料、含碳材料、含矽碳材料或含氧化矽材料中的一或更多者形成;在該處理空間中產生一電漿,該電漿包括離子;以該等離子轟擊該電極以使該電極發射電子並形成一電子束;使從該電極發射的該等電子穿過該電漿朝向一基板加速;及蝕刻該基板。
  2. 如請求項1所述之方法,其中該金屬氧化物材料係選自於由氧化鋁、氧化釔及氧化鋯所組成的群組。
  3. 如請求項1所述之方法,其中該電漿由電容耦合產生。
  4. 如請求項1所述之方法,其中該處理氣體包括含氯材料、含氟材料、含溴材料,或含氧材料中的一或更多者。
  5. 如請求項4所述之方法,進一步包括以下步 驟:將一惰性氣體與該處理氣體一起輸送到該處理空間。
  6. 如請求項5所述之方法,其中該惰性氣體輸送到鄰近於該電極的該處理空間的一區域。
  7. 如請求項1所述之方法,其中該處理空間內的一壓力維持在0.001Torr及10Torr之間。
  8. 如請求項1所述之方法,其中一負偏壓被施加到該電極。
  9. 如請求項8所述之方法,其中施加到該電極的一偏壓功率在1KW及10KW之間,其頻率在400kHz及200MHz之間。
  10. 如請求項1所述之方法,進一步包括以下步驟:將設置在該處理空間中的一基座維持在接地電位。
  11. 如請求項10所述之方法,其中該基板靜電地吸附到該基座。
  12. 如請求項1所述之方法,其中該電子束具有介於10eV及20,000eV之間的一束能量。
  13. 一種蝕刻一基板的方法,包括以下步驟:將一基板定位在一基座上,該基座設置在一處理腔室的一處理空間中; 對該基座進行偏壓;將一處理氣體輸送到該處理空間;將具有13.56MHz之一頻率的低頻RF功率施加到一電極,該電極設置在該處理空間中與該基座相對處;激發該處理氣體以在該處理空間中形成一電漿;對該電極進行偏壓;使離子從該電漿朝向該電極加速;及從該電極發射的電子產生一電子束。
  14. 如請求項13所述之方法,其中該電極由一含矽材料、一含碳材料、一含矽碳材料、一含氧化矽材料、一氧化鋁材料、一氧化釔材料、一氧化鋯材料以及其組合及混和物中的一或更多者形成。
  15. 一種蝕刻一基板的方法,包括以下步驟:將一基板定位在一基座上,該基座設置在一處理腔室的一處理空間中;使該基板靜電地吸附到該基座;將該基座保持在接地電位;將一處理氣體輸送到該處理空間;將頻率為13.56MHz的低頻RF功率施加到一電極,該電極設置在該處理空間中與該基座相對處;激發該處理氣體以在該處理空間中形成一電漿; 對該電極進行偏壓;使離子從該電漿向該電極加速;及從該電極發射的電子產生一電子束。
  16. 如請求項15所述之方法,其中該電極由一含矽材料、一含碳材料、一含矽碳材料、一含氧化矽材料、一氧化鋁材料、一氧化釔材料、一氧化鋯材料以及其組合及混和物中的一或更多者形成。
TW108100782A 2018-01-18 2019-01-09 蝕刻設備及方法 TWI814763B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862618834P 2018-01-18 2018-01-18
US62/618,834 2018-01-18

Publications (2)

Publication Number Publication Date
TW201933423A TW201933423A (zh) 2019-08-16
TWI814763B true TWI814763B (zh) 2023-09-11

Family

ID=67214214

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108100782A TWI814763B (zh) 2018-01-18 2019-01-09 蝕刻設備及方法

Country Status (3)

Country Link
US (1) US10707086B2 (zh)
TW (1) TWI814763B (zh)
WO (1) WO2019143474A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7346269B2 (ja) * 2019-01-17 2023-09-19 東京エレクトロン株式会社 静電吸着部の制御方法、及びプラズマ処理装置
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113981A1 (en) * 2003-11-19 2007-05-24 Tokyo Electron Limited Etch system with integrated inductive coupling
TW201511070A (zh) * 2013-06-05 2015-03-16 Tokyo Electron Ltd 具有電漿鞘電位之基板的非雙極電子電漿(nep)處理用處理系統
TW201539146A (zh) * 2013-12-05 2015-10-16 Tokyo Electron Ltd 直流電流重疊凍結
US20160064232A1 (en) * 2014-08-29 2016-03-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
TW201701355A (zh) * 2011-09-07 2017-01-01 蘭姆研究公司 處理晶圓的方法
TW201705185A (zh) * 2015-03-17 2017-02-01 應用材料股份有限公司 離子對離子電漿原子層蝕刻處理及反應器

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010045527A1 (en) * 2000-04-05 2001-11-29 Wissman Barry Dean Electron-beam cured polymer mask for DRIE micro-machining
US7879730B2 (en) 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
US7569484B2 (en) * 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
DE102008037943B4 (de) 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9443697B2 (en) * 2012-01-31 2016-09-13 Fei Company Low energy ion beam etch
US9123506B2 (en) 2013-06-10 2015-09-01 Fei Company Electron beam-induced etching
US9288890B1 (en) * 2014-10-31 2016-03-15 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113981A1 (en) * 2003-11-19 2007-05-24 Tokyo Electron Limited Etch system with integrated inductive coupling
TW201701355A (zh) * 2011-09-07 2017-01-01 蘭姆研究公司 處理晶圓的方法
TW201511070A (zh) * 2013-06-05 2015-03-16 Tokyo Electron Ltd 具有電漿鞘電位之基板的非雙極電子電漿(nep)處理用處理系統
TW201539146A (zh) * 2013-12-05 2015-10-16 Tokyo Electron Ltd 直流電流重疊凍結
US20160064232A1 (en) * 2014-08-29 2016-03-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
TW201705185A (zh) * 2015-03-17 2017-02-01 應用材料股份有限公司 離子對離子電漿原子層蝕刻處理及反應器

Also Published As

Publication number Publication date
WO2019143474A1 (en) 2019-07-25
US10707086B2 (en) 2020-07-07
TW201933423A (zh) 2019-08-16
US20190221437A1 (en) 2019-07-18

Similar Documents

Publication Publication Date Title
TWI814763B (zh) 蝕刻設備及方法
US10544505B2 (en) Deposition or treatment of diamond-like carbon in a plasma reactor
KR102167957B1 (ko) 물질 개질 및 rf 펄싱을 사용한 선택적 식각
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
US5904799A (en) Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5607542A (en) Inductively enhanced reactive ion etching
US20160064231A1 (en) Fast atomic layer etch process using an electron beam
US9852922B2 (en) Plasma processing method
US20180277340A1 (en) Plasma reactor with electron beam of secondary electrons
JP7500718B2 (ja) 基板の処理方法及び装置
EP1323180A2 (en) System, apparatus, and method for processing wafer using single frequency rf power in plasma processing chamber
US20200321186A1 (en) Method and apparatus for angled etching
US10790153B2 (en) Methods and apparatus for electron beam etching process
US20230343554A1 (en) Methods To Provide Anisotropic Etching Of Metal Hard Masks Using A Radio Frequency Modulated Pulsed Plasma Scheme
TW202336802A (zh) 電漿反應器中電極的離子能量控制
KR20030046143A (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법