JP7421551B2 - ケイ素及び窒素を含有する膜を製造するための方法 - Google Patents

ケイ素及び窒素を含有する膜を製造するための方法 Download PDF

Info

Publication number
JP7421551B2
JP7421551B2 JP2021518603A JP2021518603A JP7421551B2 JP 7421551 B2 JP7421551 B2 JP 7421551B2 JP 2021518603 A JP2021518603 A JP 2021518603A JP 2021518603 A JP2021518603 A JP 2021518603A JP 7421551 B2 JP7421551 B2 JP 7421551B2
Authority
JP
Japan
Prior art keywords
pentachloro
silicon nitride
plasma
nitride film
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021518603A
Other languages
English (en)
Other versions
JP2022504261A (ja
Inventor
レイ シンチエン
キム ム-ソン
イ ソ-ウォン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2022504261A publication Critical patent/JP2022504261A/ja
Priority to JP2024003346A priority Critical patent/JP2024032775A/ja
Application granted granted Critical
Publication of JP7421551B2 publication Critical patent/JP7421551B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Description

関連出願の相互参照
本出願は、2018年10月3日に提出された米国仮特許出願第62/740478号に対する優先権を主張していて、その出願の全体が参照によって本明細書に組み込まれる。
本発明は、電子デバイスの製造のための組成物及び方法を対象にしている。より具体的には、本発明は、低誘電率(<6.0)かつ高い酸素アッシング耐性のケイ素含有膜、例えば、以下に限定するものではないが、化学量論的窒化ケイ素、炭素ドープされた窒化ケイ素膜及び炭素ドープされた酸窒化ケイ素膜の堆積ための化合物、組成物並びに方法を対象としている。
窒化ケイ素膜は、半導体において種々の用途のために使用される。例えば、窒化ケイ素膜は、集積回路のための最終不動態化層及び機械的保護層、ケイ素の選択酸化のためのマスク層として、DRAMキャパシタ若しくは3D NANDフラッシュメモリチップにおける積層された酸素-窒素-酸素(O-N-O)層中の誘電体材料の1つとして、又はシャロ―トレンチアイソレーション用途における化学機械研磨(CMP)停止層として使用される。1つの特定の用途において、3D NANDフラッシュにおけるO-N-O積層は、低い応力及びリン酸中での高い湿式エッチング速度を有する窒化ケイ素を必要とする。
Olsenの「Analysis of LPCVD Process Conditions for the Deposition of Low Stress Silicon Nitride」、5 Materials Science in Semiconductor Process 51(2002)は、低圧力の化学気相堆積による低い応力の窒化ケイ素膜の堆積を最適化するのに使用される広範のプロセス条件を説明している。その結果は、ガス流量を増加させることによる2.3を超える屈折率の増加は残留応力を明らかには減少させなかったが、厚さの均一性及び堆積速度に対して有意な有害効果を有していたことを示している
Taylorらの「Hexachlorodisilane as a Precursor in the LPCVD of Silicon Dioxide and Silicon Oxynitride Films」、136 J.Electrochem.Soc.2382(1989)は、Si2Cl6、N2及びNH3の気相混合物を使用するLPCVDによって二酸化ケイ素及び酸窒化ケイ素の膜を成長させることを説明している。二酸化ケイ素及び酸窒化ケイ素の膜は、600~850℃の温度範囲でHCDS、N2O、及びNH3の気相混合物を使用するLPCVDによって成長させられた。堆積された二酸化ケイ素膜及び酸窒化ケイ素膜は、低い塩素含有量、典型的には<1at%の塩素含有量を示した。
M.Tanakaらの「Film Properties of Low-k Silicon Nitride Films Formed by Hexachlorodisilane and Ammonia」、147 J.Electrochem.Soc.2284(2000)は、ヘキサクロロジシラン(HCD)を使用する低圧化学気相堆積(LPCVD)によって形成される窒化ケイ素(SiN)の良好なステップカバレッジ(step coverage)とあわせて低温プロセスを説明している。
特開2000-100812号公報は、SiCl4及びNH3を供給源ガスとして使用して膜を堆積するための方法を説明している。基材表面は、堆積の前にNH3を使用して窒化されてよい。改善された絶縁特性を有する極薄膜が形成される。窒化ケイ素膜は、半導体集積回路のキャパシタ絶縁膜として有用である。
米国特許第6355582号明細書は、窒化ケイ素膜を形成するための方法を開示していて、膜形成をされる基材が加熱され、四塩化ケイ素及びアンモニアガスが所定の温度に加熱された基材に供給される。
米国特許第10049882号明細書は、高低差を有する構造体に誘電体層を形成する工程を含む、半導体装置を製造するための原子層堆積(ALD)法を説明している。方法は、高低差を有する基材に構造体を形成する工程、及びその構造体に誘電体層構造体を形成する工程を含む。誘電体層構造体を形成する工程は、高低差を有する基材に、窒化ケイ素を含む第一の誘電体層を形成する工程を含む。第一の誘電体層を形成する工程は、第一の誘電体層が、高低差を有する構造体にインサイチュで形成されるように、ケイ素前駆体としてペンタクロロジシラン(PCDS)又はジイソプロピルアミンペンタクロロジシラン(DPDC)を含む第一のガス、及び窒素成分を含む第二のガスを、基材を含むチャンバー中に供給する工程を含む。
国際公開第2018/063907号は、クロロジシラザン、それから合成されたケイ素ヘテロ原子化合物、ケイ素ヘテロ原子化合物を含有する装置、クロロジシラザン、ケイ素ヘテロ原子化合物及びその装置を製造する方法;並びにクロロジシラザン、ケイ素ヘテロ原子化合物、及び装置の使用の一群を開示している。
国際公開第2018/057677号は、膜形成における使用のためのケイ素前駆体としてのトリクロロジシランを含む組成物を開示している。組成物は、ケイ素前駆体化合物と、不活性ガス、分子水素、炭素前駆体、窒素前駆体及び酸素前駆体のうち少なくとも1つとを含む。公開は、ケイ素前駆体化合物及びそれによって形成されるケイ素含有膜を使用する、基材にケイ素含有膜を形成する方法もまた開示している。
米国特許第9984868号明細書は、窒化ケイ素膜を基材に堆積するサイクル性の方法を開示している。1つの実施態様において、このような方法は、ケイ素前駆体としてのハロゲンシランを反応器中に供給する工程;パージガスを反応器に供給する工程;及びイオン化された窒素前駆体を反応器中に供給して基材と反応させて窒化ケイ素膜を形成する工程を含む。
最後に、米国特許出願公開第2009/0155606号明細書は、窒化ケイ素膜を基材に堆積するサイクル性の方法を開示している。1つの実施態様において、方法は、クロロシランを基材が処理される反応器に供給する工程;パージガスを反応器に供給する工程;及びアンモニアプラズマを反応器に供給する工程を含む。方法は、窒化ケイ素膜が低いプロセス温度かつ高い堆積速度で形成されることを可能とする。得られた窒化ケイ素膜は、比較的少ない不純物及び比較的高い品質を有する。加えて、高いアスペクト比を有する形状に対する良好なステップカバレッジと、薄くて均一な厚さとをステップカバレッジ有する窒化ケイ素膜を形成することができる。
当分野において、エレクトロニクス産業における特定の用途のために、高い炭素含有量(例えば、X線光電子分光法(XPS)によって測定した場合に約10at%以上の炭素含有量)のドープされたケイ素含有膜を堆積するための組成物及び組成物を使用する方法を提供する要求がある。
従って、化学気相堆積(CVD)又は原子層堆積(ALD)プロセス又はALDに類似のプロセス、例えば、以下に限定するものではないが、サイクリック化学気相堆積プロセスを使用して、高品質の窒化ケイ素又は炭素ドープされた窒化ケイ素を形成するためのプロセスを開発する要求がある。1つの特定の用途、例えば3D NANDフラッシュにおけるO-N-O積層は、低い応力及び/又はリン酸中での高い湿式エッチング速度を示す窒化ケイ素膜、酸窒化ケイ素膜又は炭酸窒化ケイ素膜を要求する。さらに、CVD、ALD又はALDに類似のプロセスにおいて、1つ又は複数の膜特性を、例えば、以下に限定するものではないが、純度及び/又は密度を改善する低温堆積(例えば、約500℃以下の1つ若しくは複数の温度における堆積)を開発することが望ましい場合がある。
先に特定された特許、特許出願及び公開の開示は、参照によって本明細書に組み込まれる。
当分野において、以下の特徴:a)X線光電子分光法(XPS)によって測定した場合に約5at%以下、約3at%以下、約2at%以下、約1at%以下又はさらに少ない炭素含有量、好ましくは化学量論比の窒化ケイ素;b)X線光電子分光法(XPS)によって測定した場合に約5at%以下、約3at%以下、約2at%以下、約1at%以下の酸素含有量;90%以上、95%以上、99%以上のステップカバレッジ、を有する窒化ケイ素又は炭素ドープされた窒化ケイ素を堆積するための組成物及び組成物を使用する方法を提供する要求がある。
上で説明された要求は、ある点において、プラズマALDプロセスによって、炭素ドープされている場合がある窒化ケイ素膜を形成するための方法を提供することによって満たされる。方法によれば、表面特徴を備える基材が反応器中に導入される。反応器は、約600℃以下の1つ又は複数の温度に加熱される。反応器は、100torr以下の圧力に保持することができる。1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体が反応器中に導入されて、基材に化学吸着された膜を形成する。
次いで、反応器は、適した不活性ガスで、任意の未消費の前駆体及び/又は反応副生成物のパージがされる。窒素を含むプラズマが反応器中に導入されて、化学吸着された膜と反応して、炭素ドープされている場合がある窒化ケイ素膜を形成する。
次いで、反応器は、再度、適した不活性ガスで、任意の反応副生成物のパージがされる。少なくとも1つの前駆体を導入する工程、必要に応じてパージをする工程、プラズマを導入する工程及び必要に応じて再度パージをする工程は、必要に応じて繰り返されて、炭素ドープされている場合がある堆積された窒化ケイ素膜を所定の厚さにする。
上で説明された要求などは、プラズマALDプロセスによって窒化ケイ素膜、炭素ドープされた窒化ケイ素膜又は炭素ドープされた酸窒化ケイ素膜を形成するための方法によってさらに満たされる。方法によれば、表面特徴を備える基材が反応器中に導入される。反応器は、約600℃以下の1つ又は複数の温度に加熱される。反応器は、100torr以下の圧力に保持することができる。1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン及び2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体が反応器中に導入されて、基材に化学吸着された膜を形成する。
反応器は、適した不活性ガスで、任意の未消費の前駆体及び/又は反応副生成物のパージがされる。アンモニア源を含むプラズマが反応器中に導入されて、化学吸着された膜と反応して窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する。
次いで、反応器は、再度、適した不活性ガスで、任意の反応副生成物のパージがされる。少なくとも1つの前駆体を導入する工程、必要に応じてパージをする工程、プラズマを導入する工程及び必要に応じて再度パージをする工程は、必要に応じて繰り返されて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を所定の厚さにする。
任意選択で、次いで、得られた窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜は、ほぼ周囲温度~1000℃、好ましくは約100℃~400℃の1つ又は複数の温度で酸素源に暴露されて、窒化ケイ素膜を酸窒化ケイ素膜に変換するか、又は炭素ドープされた窒化ケイ素膜を炭素ドープされた酸窒化ケイ素膜に変換する。
本明細書を通じて、用語「ALD又はALDに類似」は、以下のプロセス:a)ケイ素前駆体及び反応性ガスを含むそれぞれの反応体が反応器中に、例えばシングルウエハALD反応器、セミバッチALD反応器又はバッチ炉ALD反応器中に順次導入されるプロセス;b)それぞれのセクションが不活性ガスカーテンによって分離された反応器、すなわち空間的ALD反応器又はロール・ツー・ロールALD反応器の異なる区画へと、基材を移動又は回転させることによって、ケイ素前駆体及び反応性ガスを含むそれぞれの反応体が基材に暴露されるプロセスを含むが、それらに限定されないプロセスをいう。
本明細書を通じて、用語「アンモニアを含むプラズマ」は、プラズマ発生器によって、インサイチュで又は遠隔で発生した反応性のガス又はガス混合物をいう。ガス又はガス混合物は、アンモニア、アンモニア及びヘリウムの混合物、アンモニア及びネオンの混合物、アンモニア及びアルゴンの混合物、アンモニア及び窒素の混合物、アンモニア及び水素の混合物、並びにそれらの組み合わせからなる群から選択される。
本明細書を通じて、用語「不活性ガスプラズマ」は、プラズマ発生器によって、インサイチュで又は遠隔で発生した反応性の不活性ガス又は不活性ガス混合物をいう。不活性ガス又はガス混合物は、ヘリウム、ネオン、アルゴン及びそれらの組み合わせからなる群から選択される。
本明細書を通じて、用語「アッシング」は、半導体製造プロセスにおいて、酸素源を含むプラズマ、例えばO2/不活性ガスプラズマ、O2プラズマ、CO2プラズマ、COプラズマ、H2/O2プラズマ又はそれらの組み合わせを使用して、フォトレジスト又は炭素ハードマスクを除去するプロセスをいう。
本明細書を通じて、用語「損傷耐性」は、酸素アッシングプロセスの後の膜特性をいう。良好な又は高い損傷耐性は、酸素アッシングの後の以下の膜特性:6より小さい膜誘電率であること;アッシング前と同様に、バルク中の(膜中の50Åより深い深さにおける)炭素含有量が5at%以下であること;表面付近(50Åより浅い深さ)の膜とバルク(50Åより深い深さ)の膜との間の、希釈したHFでのエッチング速度における差によって観察する場合に、膜のうち50Å未満が損傷していることと定義される。
本明細書を通じて、用語「アルキル炭化水素」は、直鎖若しくは分岐鎖のC1~C20炭化水素又は環状のC6~C20炭化水素をいう。例示的な炭化水素は、ヘプタン、オクタン、ノナン、デカン、ドデカン、シクロオクタン、シクロノナン及びシクロデカンを含むが、それらに限定されない。
本明細書を通じて、用語「芳香族炭化水素」は、C6~C20芳香族炭化水素をいう。例示的な芳香族炭化水素は、トルエン及びメシチレンを含むが、それらに限定されない。
本明細書を通じて、本明細書において使用される用語「ステップカバレッジ」は、ビア若しくはトレンチのいずれか又は両方を有する、組み立てられた又は特徴づけられた基材において、堆積された膜の2つの厚さの割合として定義され、底部ステップカバレッジは、特徴の底部における厚さを特徴の頂部における厚さで割った比(%)であり、中間ステップカバレッジは、特徴の側壁における厚さを特徴の頂部における厚さで割った比(%)である。本明細書において説明される方法を使用して堆積された膜は、約80%以上又は約90%以上のステップカバレッジを示し、このことは膜がコンフォーマルであることを意味する。
本明細書を通じて、用語「アンモニアを含むプラズマ」は、プラズマ発生器によって、インサイチュで又は遠隔で発生した反応性のガス又はガス混合物をいう。ガス又はガス混合物は、アンモニア、アンモニア及びヘリウムの混合物、アンモニア及びネオンの混合物、アンモニア及びアルゴンの混合物、アンモニア及び窒素の混合物、アンモニア及び水素の混合物、窒素、窒素及びヘリウムの混合物、窒素及びネオンの混合物、窒素及びアルゴンの混合物、並びにそれらの組み合わせからなる群から選択される。
本明細書を通じて、用語「窒素を含むプラズマ」は、プラズマ発生器によって、インサイチュで又は遠隔で発生した反応性のガス又はガス混合物をいう。ガス又はガス混合物は、窒素、窒素及びヘリウムの混合物、窒素及びネオンの混合物、窒素及びアルゴンの混合物、アンモニア及び窒素の混合物、窒素及び水素の混合物、並びにそれらの組み合わせからなる群から選択される。
以下の特徴:a)X線光電子分光法(XPS)によって測定した場合に約5at%以下、約3at%以下、約2at%以下、又は約1at%以下の炭素含有量、好ましくは化学量論比の窒化ケイ素;b)X線光電子分光法(XPS)によって測定した場合に約5at%以下、約3at%以下、約2at%以下、約1at%以下の酸素含有量;90%以上、95%以上又は99%以上のステップカバレッジ、を有する窒化ケイ素又は炭素ドープされた窒化ケイ素を堆積するためのケイ素前駆体組成物及びこのような組成物を含む方法が、本明細書において説明されている。1つの実施態様において、ケイ素含有膜を堆積するための組成物は、(a)1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体化合物;及び(b)少なくとも1つの溶媒を含む。
Figure 0007421551000001
Figure 0007421551000002
本明細書において説明される組成物の特定の実施態様において、例示的な溶媒は、エーテル、三級アミン、アルキル炭化水素、芳香族炭化水素、三級アミノエーテル、シロキサン、及びそれらの組み合わせを含むことができるが、これらに限定されない。特定の実施態様において、1つのSi-C-Si又は2つのSi-C-Si結合を有する化合物の沸点と、溶媒の沸点との差は40℃以下である。溶媒中のケイ素前駆体化合物のwt%は、1~99wt%、10~90wt%、20~80wt%、30~70wt%、40~60wt%又は50~50wt%で可変である。幾つかの実施態様において、組成物は、従来の直接液体注入設備及び方法を使用して、ケイ素含有膜のための反応器チャンバー中への直接液体注入によって輸送することができる。本明細書において説明される方法の1つの実施態様において、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜は5at%以下の炭素含有量を有し、プラズマ強化ALDプロセスを使用して堆積される。この実施態様において、方法は、
a.表面特徴を備える1つ又は複数の基材を反応器中に配置して、周囲温度~約600℃の1つ又は複数の温度に反応器を加熱して、任意選択で反応器を100torr以下の圧力に保持する工程;
b.1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体を反応器中に導入する工程;
c.不活性ガスでパージすることによって、任意の未反応のケイ素前駆体を除去する工程;
d.アンモニア源を含むプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する工程;及び
e.不活性ガスでパージして、任意の反応副生成物を除去する工程
を含み、工程b~eは、所望の厚さの膜が堆積されるまで繰り返される。特定の実施態様において、本明細書において説明される方法は、
f.任意選択で、400~1000℃の温度における熱アニール若しくはスパイクアニール、又はUV光源で、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を堆積後処理する工程であって、この又は他の実施態様において、膜堆積の間、又は堆積が完了した後のいずれかで、UV露光工程を行うことができる工程;
g.任意選択で、炭素ドープされた窒化ケイ素膜を、水素、不活性ガス又は窒素を含むプラズマに暴露して、膜の物理的特性のうち少なくとも1つを改善する堆積後処理を提供する工程
をさらに含む。
本明細書において説明される方法の別の実施態様において、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜は5at%以下の炭素含有量を有し、プラズマ強化ALDプロセスを使用して堆積される。この実施態様において、方法は、
a.表面特徴を備える1つ又は複数の基材を反応器中に(例えば従来のALD反応器中に)配置して、周囲温度~約600℃の1つ又は複数の温度に反応器を加熱して、任意選択で反応器を100torr以下の圧力に保持する工程;
b.1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体を反応器中に導入する工程;
c.不活性ガスでパージする工程;
d.アンモニア源を含むプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する工程;
e.不活性ガスでパージして、反応副生成物を除去する工程
を含み、工程b~eは、所望の厚さの膜が堆積されるまで繰り返される。特定の実施態様において、本明細書において説明される方法は、
f.任意選択で、400~1000℃の温度におけるスパイクアニール、又はUV光源で、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を堆積後処理する工程であって、この又は他の実施態様において、膜堆積の間、又は堆積が完了した後のいずれかで、UV露光工程を行うことができる工程;
g.任意選択で、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を、水素、不活性ガス又は窒素を含むプラズマに暴露して、膜の物理的特性のうち少なくとも1つを改善する堆積後処理を提供する工程
をさらに含む。
本明細書において説明される方法の別の実施態様において、炭素ドープされた酸窒化ケイ素は5at%以下の炭素含有量を有し、プラズマ強化ALDプロセスを使用して堆積される。この実施態様において、方法は、
a.表面特徴を備える1つ又は複数の基材を反応器中に(例えば従来のALD反応器中に)配置して、周囲温度~約600℃の1つ又は複数の温度に反応器を加熱して、任意選択で反応器を100torr以下の圧力に保持する工程;
b.1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体を反応器中に導入する工程;
c.不活性ガスでパージする工程;
d.アンモニア源を含むプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜を形成する工程;
e.不活性ガスでパージして、反応副生成物を除去する工程
を含み、工程b~eは、所望の厚さの膜が堆積されるまで繰り返される。特定の実施態様において、本明細書において説明される方法は、
f.インサイチュで、又は別のチャンバーにおいてのいずれかで、ほぼ周囲温度~1000℃又は約100℃~400℃の1つ又は複数の温度で、酸素源を用いて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を処理する堆積後処理を行って、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を炭素ドープされた酸窒化ケイ素膜に変換する工程
をさらに含む。
本明細書において説明される方法のさらに別の実施態様において、5at%より少ない炭素含有量を有する窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜は、プラズマ強化ALDプロセスを使用して堆積される。この実施態様において、方法は、
a.表面特徴を備える1つ又は複数の基材を反応器中に配置して、周囲温度~約600℃の1つ又は複数の温度に反応器を加熱して、任意選択で反応器を100torr以下の圧力に保持する工程;
b.1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体を反応器中に導入する工程;
c.不活性ガスでパージすることによって、任意の未反応のケイ素前駆体を除去する工程;
d.アンモニア源を含む第一のプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する工程;
e.不活性ガスでパージして、任意の反応副生成物を除去する工程
f.窒素源を含む第二のプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する工程;
g.不活性ガスでパージして、任意の反応副生成物を除去する工程;
を含み、工程b~gは、所望の厚さの膜が堆積されるまで繰り返される。特定の実施態様において、本明細書において説明される方法はさらに含む。
本明細書において説明される方法のさらに別の実施態様において、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜は5at%以下の炭素含有量を有し、プラズマ強化ALDプロセスを使用して堆積される。この実施態様において、方法は、
a.表面特徴を備える1つ又は複数の基材を反応器中に配置して、周囲温度~約600℃の1つ又は複数の温度に反応器を加熱して、任意選択で反応器を100torr以下の圧力に保持する工程;
b.1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される、1つ又は2つのSi-C-Si結合を有する少なくとも1つのケイ素前駆体を反応器中に導入する工程;
c.不活性ガスでパージすることによって、任意の未反応のケイ素前駆体を除去する工程;
d.窒素源を含む第一のプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する工程;
e.不活性ガスでパージして、任意の反応副生成物を除去する工程
f.アンモニア源を含む第二のプラズマを反応器中に供給して、表面と反応させて、窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜を形成する工程;
g.不活性ガスでパージして、任意の反応副生成物を除去する工程;
を含み、工程b~gは、所望の厚さの膜が堆積されるまで繰り返される。1つの実施態様において、基材は、1:9以上のアスペクト比及び180nm以下の隙間を有するパターントレンチを含む少なくとも1つの特徴を備える。
さらに別の実施態様において、ケイ素含有膜を堆積するための容器は、本明細書において説明される1つ又は複数のケイ素前駆体化合物を含む。1つの特定の実施態様において、容器は、少なくとも1つの加圧可能な容器(好ましくは、例えば、米国特許第7334595、6077356、5069244及び5465766号明細書において開示される設計を有するステンレス鋼の容器、それらの開示は参照によって本明細書に組み込まれる)である。コンテナは、適したバルブを備えた、かつCVD若しくはALDプロセスのための反応器に1つ若しくは複数の前駆体を輸送することができるように合わせられたガラス(ホウケイ酸若しくは石英ガラス)又は316、316L、304若しくは304L系ステンレス鋼合金(UNS規格はS31600、S31603、S30400、S30403)のいずれかを含んでよい。この又は他の実施態様において、ケイ素前駆体は、ステンレス鋼から構成される加圧可能な容器中に供給され、前駆体の純度は98wt%以上又は99.5%以上であり、半導体用途のために適している。好ましくは、ケイ素前駆体化合物は、金属イオン、例えばAl3+イオン、Fe2+、Fe3+、Ni2+、Cr3+を実質的に有さない。本明細書において使用されるとき、用語「実質的に有さない」は、Al、Fe、Ni、Crに関するとき、ICP-MSによって測定する場合に(重量で)約5ppmより少ない、好ましくは約1ppmより少ない、より好ましくはICP-MSによって測定する場合に約0.1ppmより少ない、最も好ましくはICP-MSによって測定する場合に約0.05ppmより少ないことを意味する。特定の実施態様において、このような容器は、所望される場合には、前駆体を1つ又は複数の付加的な前駆体と混合するための手段をさらに有していてよい。これらの又は他の実施態様において、少なくとも1つの容器の内容物は、付加的な前駆体と予備混合されてよい。代わりに、ケイ素前駆体及び/又は他の前駆体は、別個の容器中で、又は貯蔵の間にケイ素前駆体と他の前駆体とを分離して保持するための分離手段を有する単一の容器中で保持することができる。
ケイ素含有膜は、少なくとも基材の表面に、例えば半導体又はディスプレイ基材の表面に堆積される。本明細書において説明される方法において、基材は、ケイ素の膜、例えば結晶性ケイ素又は非晶質ケイ素、酸化ケイ素、窒化ケイ素、非晶質炭素、シリコンオキシカーバイド、酸窒化ケイ素、炭化ケイ素、ゲルマニウム、ゲルマニウムドープされたケイ素、ホウ素ドープされたケイ素、金属、例えば銅、タングステン、アルミニウム、コバルト、ニッケル、タンタル、金属窒化物、例えば窒化チタン、窒化タンタル、金属酸化物、III/V族の金属又はメタロイド、例えばGaAs、InP、GaP及びGaN、AMOLED(アクティブマトリクス有機発光ダイオード)フレキシブル基材、並びにそれらの組み合わせを含む、当分野において周知である種々の材料から構成されているか、及び/又はその材料でコーティングされていてよい。これらのコーティングは、半導体基材を完全にコーティングしていてよく、様々な材料の複数層であってよく、部分的にエッチングされて材料の下層を露出していてよい。表面は、パターンで露光された、かつ基材を部分的にコーティングするように開発されたフォトレジスト材料を、表面上にさらに有していてよい。特定の実施態様において、半導体基材は、ポア、ビア、トレンチ及びそれらの組み合わせからなる群から選択される少なくとも1つの表面特徴を備える。ケイ素含有膜の潜在的な用途は、FinFETのためのlow kスペーサ、ナノシート、又は自己整合パターニングプロセス(例えばSADP、SAQP又はSAOP)のための犠牲ハードマスクを含むが、それらに限定されない。
ケイ素含有膜又はコーティングを形成するのに使用される堆積方法は堆積プロセスである。本明細書において開示される方法のための適した堆積プロセスの例は、化学気相堆積又は原子層堆積プロセスを含むが、それらに限定されない。本明細書において使用されるとき、用語「化学気相堆積プロセス」は、基材が1つ又は複数の揮発性前駆体に暴露される任意のプロセスをいい、その1つ又は複数の揮発性前駆体が基材表面で反応及び/又は分解して所望の堆積物をもたらす。本明細書において使用されるとき、用語「原子層堆積プロセス」は、種々の組成の基材に材料の膜を堆積する、自己制御(例えばそれぞれの反応サイクルにおいて堆積される膜材料の量が一定である)の連続的な表面化学反応をいう。本明細書において使用されるとき、用語「熱原子層堆積プロセス」は、インサイチュ又は遠隔プラズマを用いない、室温~600℃の基材温度における原子層堆積プロセスをいう。本明細書において使用される前駆体、試薬及び源は、時には「ガス状」と説明される場合があるが、これは、前駆体が液体又は固体のいずれかであってよく、不活性ガスを伴って又は伴わずに、直接的な気化、バブリング又は昇華によって反応器中に輸送されると理解される。幾つかの場合において、気化された前駆体はプラズマ発生器を通過してよい。
1つの実施態様において、ケイ素含有膜はALDプロセスを使用して堆積される。別の実施態様において、ケイ素含有膜はCCVDプロセスを使用して堆積される。さらなる実施態様において、ケイ素含有膜は熱ALDプロセスを使用して堆積される。本明細書において使用されるとき、用語「反応器」は、反応チャンバー又は堆積チャンバーを含むが、それらに限定されない。
特定の実施態様において、本明細書において開示される方法は、反応器への導入の前及び/若しくは間に少なくとも1つの前駆体を分離するALD又はサイクリックCVD法を使用することによって、少なくとも1つの前駆体の予備反応を回避する。好ましくは、堆積技術、例えばALD又はサイクリックCVD(CCVD)プロセスは、ケイ素含有膜を堆積するのに使用される。1つの実施態様において、膜は、典型的なシングルウエハALD反応器、セミバッチALD反応器又はバッチ炉ALD反応器におけるALDプロセスによって、1つ又は複数のケイ素含有前駆体の代わりに、酸素源、窒素含有源又は他の前駆体若しくは試薬に基材表面を暴露することによって堆積される。膜成長は、表面反応と、それぞれの前駆体又は試薬のパルス長さと、堆積温度との自己制御性のコントロールによって進行する。しかし、基材の表面が一度飽和すると、膜成長は停止する。別の実施態様において、ケイ素前駆体及び反応性ガスを含むそれぞれの反応体は、反応器の異なる区画へと、基材を移動または回転することによって基材に暴露され、それぞれの区画は不活性ガスカーテンによって分離されている、すなわち空間的ALD反応器又はロール・ツー・ロールALD反応器である。
特定の実施態様において、堆積方法に応じて、本明細書において説明されるケイ素前駆体と、任意選択で他のケイ素含有前駆体とは、所定のモル体積で、例えば約0.1~約100マイクロモルで、反応器中に導入することができる。この又は他の実施態様において、前駆体は、所定の時間周期で反応器中に導入することができる。特定の実施態様において、時間周期は、約0.001~約500sである。
特定の実施態様において、本明細書において説明される方法を使用して堆積された窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜は、酸素を含む酸素源、試薬又は前駆体、例えば水蒸気で処理されて、このような膜を炭素ドープされた酸窒化物に変換する。酸素源は、少なくとも1つの酸素源の形態で反応器中に導入されるか、及び/又は堆積プロセスにおいて使用される他の前駆体中に付加的に存在していてよい。適した酸素源ガスは、例えば空気、水(H2O)(例えば脱イオン水、精製水、蒸留水、水蒸気、水蒸気プラズマ、過酸化水素、酸素飽和水、空気、水と他の有機性液体とを含む組成物)、酸素(O2)、酸素プラズマ、オゾン(O3)、一酸化窒素(NO)、二酸化窒素(NO2)、酸化二窒素(N2O)、一酸化炭素(CO)、過酸化水素(H22)、水を含むプラズマ、水及びアルゴンを含むプラズマ、過酸化水素、水素を含む組成物、水素及び酸素を含む組成物、二酸化炭素(CO2)、空気、並びにそれらの組み合わせを含んでよい。特定の実施態様において、酸素源は、約1~約10000平方立方センチメートル(sccm)又は約1~約1000sccmの流速で反応器中に導入される酸素源ガスを含む。酸素源は、約0.1~約100sの範囲である時間の間に導入することができる。触媒は、ルイス塩基、例えばピリジン、ピペラジン、トリメチルアミン、tert-ブチルアミン、ジエチルアミン、トリメチルアミン、エチレンジアミン、アンモニア又は他の有機アミンから選択される。
ALD又はサイクリックCVDプロセスによって膜が堆積される実施態様において、前駆体パルスは0.01sより長いパルス継続時間を有してよく、酸素源は0.01sより短いパルス継続時間を有してよく、一方で水パルス継続時間は0.01sより短いパルス継続時間を有してよい。
特定の実施態様において、酸素源は反応器中に連続的に流れ、一方で前駆体パルス及びプラズマは順次導入される。前駆体パルスは0.01sより長いパルス持続時間を有してよく、一方でプラズマ持続時間は0.01s~100sの範囲であってよい。
特定の実施態様において、ケイ素含有膜はケイ素及び窒素を含む。これらの実施態様において、本明細書において説明される方法を使用して堆積されたケイ素含有膜は、窒素含有源の存在の下で形成される。窒素含有源は、少なくとも1つの窒素源ガスの形態で反応器中に導入されるか、及び/又は堆積プロセスにおいて使用される他の前駆体中に付加的に存在していてよい。
適したアンモニア含有ガスは、例えばアンモニア、アンモニア及び不活性ガスの混合物、アンモニア及び窒素の混合物、アンモニア及び水素の混合物、並びにそれらの組み合わせを含んでよい。
特定の実施態様において、窒素源は、約1~約10000平方立方センチメートル(sccm)又は約1~約1000sccmの流速で反応器中に導入される。窒素含有源は、約0.1~約100sの範囲である時間の間に導入することができる。窒素及び酸素源の両方を使用するALD又はサイクリックCVDプロセスによって膜が堆積される実施態様において、前駆体パルスは0.01sより長いパルス継続時間を有してよく、窒素源は0.01sより短いパルス継続時間を有してよく、一方で水パルス継続時間は0.01sより短いパルス継続時間を有してよい。さらに別の実施態様において、パルスの間のパージ継続時間は、0s近くに短くあることができ、又はパルスの間にパージがなく連続的にパルス化がされる。
本明細書において開示される堆積方法は、パージガスを使用して反応器から不要な若しくは未反応の材料をパージする1つ又は複数の工程を含む。未消費の反応体及び/又は反応副生成物をパージするのに使用されるパージガスは、前駆体と反応しない不活性ガスである。例示的なパージガスは、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン(Ne)、水素(H2)及びそれらの組み合わせを含むが、それらに限定されない。特定の実施態様において、パージガス、例えばArは、約10~約10000sccmの流速で、約0.1~約1000sの間に反応器中に供給され、それによって、反応器中に残っている場合がある未反応の材料及び任意の副生成物をパージする。
前駆体、酸素源、アンモニア含有源及び/又は他の前駆体、供給源ガス及び/又は試薬を供給するそれぞれの工程は、得られる膜の化学量論的な組成を変えるように、それらを供給するための時間を変えることによって実施することができる。
反応を誘起するため、及び基材に膜又はコーティングを形成するため、前駆体、アンモニア含有源、水素プラズマなどの還元剤、他の前駆体のうち少なくとも1つ又はそれらの組み合わせに、エネルギーが適用される。このようなエネルギーは、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、フォトン、遠隔プラズマ法、及びそれらの組み合わせによって供給することができるが、それらに限定されない。
特定の実施態様において、二次無線周波数(secondary RF frequency)源を使用して、基材表面におけるプラズマ特徴を変えることができる。堆積がプラズマを含む実施態様において、プラズマ発生プロセスは、プラズマが反応器中で直接発生する直接プラズマ発生プロセス、又は代わりにプラズマが反応器の外部で発生して反応器中に供給される遠隔プラズマ発生プロセスを含んでよい。
ケイ素前駆体及び/又は他のケイ素含有前駆体は、反応チャンバーに、例えばCVD又はALD反応器に様々な方法で輸送することができる。1つの実施態様において、液体輸送システムを利用することができる。代わりの実施態様において、液体輸送及びフラッシュ蒸発プロセスを組み合わせた装置、例えばMSP Corporation of Shoreview,MNによって製造されたターボ蒸発器を用いて、低揮発性材料が容積的に輸送されることを可能とすることができ、このことは前駆体の熱分解を伴わない再現性のある輸送及び堆積をもたらす。液体輸送配合物において、本明細書において説明される前駆体は、原液の形態で輸送されるか、又は代わりに前駆体を含む溶媒配合物若しくは組成物で用いることができる。従って、特定の実施態様において、前駆体配合物は、基材に膜を形成する所与の最終使用用途において所望され、かつ有利である場合がある適した特徴の少なくとも1つの溶媒成分を含んでよい。
この又は他の実施態様において、本明細書において説明される方法の工程は、様々な順序で実施されてよく、順次に又は同時に(例えば別の工程の少なくとも一部の間に)実施されてよく、それらの任意の組み合わせで実施されてよいと理解される。前駆体及び窒素含有源ガスを供給するそれぞれの工程を、それらを供給するための期間を変えて実施して、得られるケイ素含有膜の化学量論的な組成を変えることができる。
本明細書において説明される方法のさらなる実施態様において、膜又は堆積されたままの膜は、処理工程を受ける。処理工程は、堆積工程の少なくとも一部の間に、堆積工程の後に、及びそれらの組み合わせで行うことができる。例示的な処理工程は、膜の1つ又は複数の特性に影響を与える高温熱アニールによる処理;プラズマ処理;紫外(UV)光処理;レーザー;電子ビーム処理及びそれらの組み合わせを含むが、それらに限定されない。本明細書において説明される、1つ又は2つのSi-C-Si結合を有するケイ素前駆体で堆積された膜は、過去に開示されているケイ素前駆体で、同じ条件の下で堆積された膜と比較したとき、改善された特性、例えば、以下に限定するものではないが、処理工程の前の膜の湿式エッチング速度より小さい湿式エッチング速度、又は処理工程の前の密度より高い密度を有する。1つの特定の実施態様において、堆積プロセスの間、堆積されたままの膜は、断続的に処理される。これらの断続的な又は堆積の間での処理は、例えばそれぞれのALDサイクルの後に、特定の回数のALDの後に、例えば、以下に限定するものではないが、一(1)回のALDサイクル、二(2)回のALDサイクル、五(5)回のALDサイクルの後に、又は十(10)回以上のALDサイクル毎の後に実施することができる。
膜が高温アニール工程で処理される実施態様において、アニール温度は、堆積温度より少なくとも100℃以上又は堆積温度より高い。この又は他の実施態様において、アニール温度は約400℃~約1000℃である。この又は他の実施態様において、アニール処理は、真空(<760Torr)、不活性環境、又は酸素含有環境(例えばオゾン、H2O、H22、N2O、NO2若しくはO2)において行うことができる。
膜がUV処理で処理される態様において、膜は、約150ナノメートル(nm)~約400nmの波長を有する広帯域UV又は代わりにUV源に暴露される。1つの特定の実施態様において、堆積されたままの膜は、所望の膜厚に到達した後に、堆積チャンバーとは異なるチャンバー中でUVに暴露される。
膜がプラズマを用いて処理される実施態様において、不動態化層、例えば炭素ドープされた酸化ケイ素が堆積されて、塩素及び窒素の汚染物質が、次ぐプラズマ処理において膜に侵入するのを妨げる。不動態化層は、原子層堆積又はサイクリック化学気相堆積を使用して堆積することができる。
膜がプラズマを用いて処理される実施態様において、プラズマ源は、水素プラズマ、水素及びヘリウムを含むプラズマ、水素及びアルゴンを含むプラズマからなる群から選択される。水素プラズマは、膜誘電率を低下させ、続くプラズマアッシングプロセスに対する損傷耐性を高め、一方でさらに、バルク中の炭素含有量をほとんど変化させずに保つ。
以下の実施例は、本発明の特定の態様を例示するものであり、添付の特許請求の範囲を限定するものではない。
以下の実施例において、他に明言しない限り、基材としての5~20Ω・cmの抵抗率を有するシリコンウエハに堆積したサンプル膜から特性を得る。全ての膜堆積を、13.56MHzの直接プラズマを有するシャワーヘッド設計を有するCN-1反応器を使用して実施する。
典型的なプロセス条件において、他に明言しない限り、チャンバー圧力を約1~約5Torrの圧力に固定する。付加的な不活性ガスを使用してチャンバー圧力を保持する。
膜堆積は、プラズマ強化ALDについて、表3、4及び5に記載する工程を含む。他に具体的にしない限り、合計で100、200、300又は500回の堆積サイクルを使用して所望の膜厚を得る。
Figure 0007421551000003
Figure 0007421551000004
Figure 0007421551000005
堆積した膜についての屈折率(RI)及び厚さを、エリプソメータを使用して測定した。膜の不均質性を、標準方程式:%非均質性=((最大厚さ-最小厚さ)/(2×平均(avg)厚さ))を使用して計算する。膜の構造及び組成を、フーリエ変換赤外(FTIR)分光法及びX線光電子分光法(XPS)を使用して分析する。膜についての密度を、X線反射率法(XRR)で測定する。
実施例1:1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン及びNH3/アルゴンプラズマを使用するALD窒化ケイ素
1torrのチャンバー圧力を有し、13.56MHzの直接プラズマを有するシャワーヘッド設計を備えるCN-1反応器中にシリコンウエハを装填した。ケイ素前駆体としての1,1,1,3,3-ペンタクロロ-1,3-ジシラブタンを、バブリング又は蒸気引き抜きを使用して反応器中に蒸気として輸送した。
表3において提供されるプロセス工程からALDサイクルを構成し、以下のプロセスパラメータ:
a.基材を反応器中に提供し、基材を約300℃に加熱する
b.1,1,1,3,3-ペンタクロロ-1,3-ジシラブタンの蒸気を反応器に導入する
アルゴン流:前駆体コンテナを通って100sccm
パルス:2s
Ar流:1000sccm
c.パージする
アルゴン流:1000sccm
パージ時間:10s
d.アンモニアプラズマを導入する
アルゴン流:1000sccm
アンモニア流:300sccm
プラズマ電力:300W
パルス:15s
e.パージする
アルゴン流:1000sccm
パージ時間:5s
を使用した。工程b~eを1000サイクル繰り返して、58.66at%窒素、38.96at%ケイ素及び2.37at%酸素の組成を有する32nmの窒化ケイ素を提供した。塩素及び炭素はともに検出されなかった。屈折率は約1.9であった。
実施例2:1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン及びNH3/アルゴンプラズマを使用するALD窒化ケイ素
1torrのチャンバー圧力を有し、13.56MHzの直接プラズマを有するシャワーヘッド設計を備えるCN-1反応器中にシリコンウエハを装填した。1,1,1,3,3-ペンタクロロ-1,3-ジシラブタンを、バブリングを使用して反応器中に蒸気として輸送した。
表1において提供されるプロセス工程からALDサイクルを構成し、以下のプロセスパラメータ:
a)基材を反応器中に提供し、基材を約400℃に加熱する
b)1,1,1,3,3-ペンタクロロ-1,3-ジシラブタンの蒸気を反応器に導入する
アルゴン流:前駆体コンテナを通って100sccm
パルス:2s
アルゴン:1000sccm
c)不活性ガスパージをする
アルゴン流:1000sccm
パージ時間:15s
d)アンモニアプラズマを導入する
アルゴン流:1000sccm
アンモニア流:50sccm
プラズマ電力:300W
パルス:10s
e)パージする
アルゴン流:1000sccm
パージ時間:10s
を使用した。工程b~eを1000サイクル繰り返して、58.30at%窒素、39.15at%ケイ素、2.55at%酸素の組成を有する26nmの窒化ケイ素を提供した。XPSによって測定した場合に、塩素及び炭素はともに検出されなかった。この実施例において得た膜の組成は、化学量論的な窒化ケイ素に近かった。屈折率は約1.9であった。
上で特定の具体的な実施態様及び実施例を参照して例示及び説明がされたが、しかしながら、本発明が、示された詳細に限定されることを意図するものではない。むしろ、本発明の趣旨から逸脱することなく、特許請求の範囲の均等物の領域及び範囲において詳細に種々の変更をすることができる。例えば、本明細書において広く論じられた全ての範囲は、その広い範囲内に属する全ての狭い範囲を、それらの領域内に含むことが明示的に意図される。
本発明の実施形態としては、以下の実施形態を挙げることができる。
(付記1)プラズマ強化ALDプロセスによって窒化ケイ素又は炭素ドープされた窒化ケイ素を形成するための方法であって、
a)表面特徴を備える基材を反応器中に提供し、約600℃以下の1つ又は複数の温度に反応器を加熱して、任意選択で前記反応器を100torr以下の圧力に保持する工程;
b)1つ又は2つのSi-C-Si結合を有し、かつ1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン、2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される少なくとも1つのケイ素前駆体を前記反応器中に導入する工程であって、それによって前記ケイ素前駆体が前記基材の前記表面特徴の少なくとも一部で反応して、化学吸着された層を提供する工程;
c)不活性ガスを使用して、前記反応器から任意の未反応のケイ素前駆体及び/又は任意の反応副生成物をパージする工程;
d)アンモニア源を含むプラズマを前記反応器中に供給して、前記化学吸着された層と反応させて、窒化ケイ素膜を形成する工程;並びに
e)不活性ガスを用いて、前記反応器から任意のさらなる反応副生成物をパージする工程
を含み、前記工程b~eが、所望の厚さの前記窒化ケイ素膜が堆積されるまで繰り返される、方法。
(付記2)前記窒化ケイ素膜が炭素ドープされた窒化ケイ素膜である、付記1に記載の方法。
(付記3)400~1000℃の温度におけるスパイクアニールで前記窒化ケイ素膜を処理する工程をさらに含む、付記1に記載の方法。
(付記4)前記窒化ケイ素膜の堆積の間か、又は前記窒化ケイ素膜の堆積の後のいずれかで、UV光源に前記窒化ケイ素膜を暴露する工程をさらに含む、付記1に記載の方法。
(付記5)水素、不活性ガス、窒素及びそれらの組み合わせからなる群から選択される1つ又は複数のガスを含むプラズマに前記窒化ケイ素膜を暴露する工程をさらに含む、付記1に記載の方法。
(付記6)インサイチュで、又は前記反応器とは別のチャンバーにおいてのいずれかで、周囲温度~1000℃の1つ又は複数の温度で酸素源を用いて前記窒化ケイ素膜を処理して、前記窒化ケイ素を酸窒化ケイ素膜に変換する処理工程をさらに含む、付記1に記載の方法。
(付記7)前記窒化ケイ素膜が炭素ドープされた窒化ケイ素膜であり、酸素源を用いて前記窒化ケイ素膜を処理する前記処理工程が、前記炭素ドープされた窒化ケイ素を炭素ドープされた酸窒化ケイ素膜に変換する、付記6に記載の方法。
(付記8)約6以下の誘電率(k)と、X線光電子分光法によって測定した場合に約5原子量%以下の炭素含有量とを有する、付記1に記載の方法によって形成された膜。
(付記9)X線光電子分光法によって測定した場合に約5原子量%以下の炭素含有量を有する、付記8に記載の膜。
(付記10)X線光電子分光法によって測定した場合に約3原子量%以下の炭素含有量を有する、付記9に記載の膜。
(付記11)X線光電子分光法によって測定した場合に約2原子量%以下の炭素含有量を有する、付記10に記載の膜。
(付記12)X線光電子分光法によって測定した場合に約1原子量%以下の炭素含有量を有する、付記11に記載の膜。
(付記13)約300~約1000℃の温度で、前記窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜に熱アニールを行う工程をさらに含む、付記1に記載の方法。
(付記14)約25℃~約600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、付記1に記載の方法。
(付記15)約25℃~約600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記炭素ドープされた窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、付記2に記載の方法。
(付記16)約25℃~約600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記酸窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、付記6に記載の方法。
(付記17)約25℃~約600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記炭素ドープされた酸窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、付記7に記載の方法。
(付記18)プラズマ強化ALDプロセスによって窒化ケイ素又は炭素ドープされた窒化ケイ素を形成するための方法であって、
a)表面特徴を備える基材を反応器中に提供する工程;
b)1つ又は2つのSi-C-Si結合を有し、かつ1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン及び2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される少なくとも1つのケイ素前駆体を前記反応器中に導入する工程であって、それによって前記ケイ素前駆体が前記基材の前記表面特徴の少なくとも一部で反応して、化学吸着された層を提供する工程;
c)不活性ガスを使用して、前記反応器から任意の未反応のケイ素前駆体及び/又は任意の反応副生成物をパージする工程;
d)第一のプラズマ源を前記反応器中に供給して、前記化学吸着された層と反応させて、任意選択で炭素ドープされた、窒化ケイ素膜を形成する工程;
e)不活性ガスを使用して、前記反応器から任意のさらなる反応副生成物をパージする工程;
f)第二のプラズマ源を前記反応器中に供給して、さらに反応させて、任意選択で炭素ドープされた、窒化ケイ素膜を形成する工程;
g)不活性ガスを使用して、前記反応器から任意のさらなる反応副生成物をパージする工程
を含み、前記工程b~gが、任意選択で炭素ドープされた、前記窒化ケイ素膜が所望の厚さに到達するまで繰り返され、前記反応器が、約25℃~約600℃の1つ又は複数の温度に保持される、方法。
(付記19)前記プラズマがアンモニア源を含むプラズマであり、前記第二のプラズマが窒素源を含むプラズマである、付記18に記載の方法。
(付記20)前記第一のプラズマが窒素源を含むプラズマであり、前記第二のプラズマがアンモニア源を含むプラズマである、付記18に記載の方法。
(付記21)1つ又は2つのSi-C-Si結合を有し、かつ1,1,1,3,3,3-ヘキサクロロ-2-メチル-1,3-ジシラプロパン、1,1,1,3,3,3-ヘキサクロロ-2,2-ジメチル-1,3-ジシラプロパン、1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサン及び2,2,4,6,6-ペンタクロロ-4-メチル-2,4,6-トリシラヘプタンからなる群から選択される少なくとも1つのケイ素前駆体を含む組成物を収容するステンレス鋼コンテナ。
(付記22)ヘリウム、アルゴン、窒素及びそれらの組み合わせからなる群から選択される不活性ヘッドスペースガスをさらに収容する、付記21に記載のステンレス鋼コンテナ。
(付記23)半導体産業又はディスプレイ用途のために適した、かつ付記1に記載の方法を使用して堆積された窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜。
(付記24)半導体産業又はディスプレイ用途のために適した、かつ付記18に記載の方法を使用して堆積された窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜。

Claims (24)

  1. プラズマ強化ALDプロセスによって窒化ケイ素又は炭素ドープされた窒化ケイ素を形成するための方法であって、
    a)表面特徴を備える基材を反応器中に提供し、600℃以下の1つ又は複数の温度に反応器を加熱して、任意選択で前記反応器を100torr以下の圧力に保持する工程;
    b)1つ又は2つのSi-C-Si結合を有し、かつ1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン及び1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサンからなる群から選択される少なくとも1つのケイ素前駆体を前記反応器中に導入する工程であって、それによって前記ケイ素前駆体が前記基材の前記表面特徴の少なくとも一部で反応して、化学吸着された層を提供する工程;
    c)不活性ガスを使用して、前記反応器から任意の未反応のケイ素前駆体及び/又は任意の反応副生成物をパージする工程;
    d)アンモニア源を含むプラズマを前記反応器中に供給して、前記化学吸着された層と反応させて、窒化ケイ素膜を形成する工程;並びに
    e)不活性ガスを用いて、前記反応器から任意のさらなる反応副生成物をパージする工程
    を含み、前記工程b~eが、所望の厚さの前記窒化ケイ素膜が堆積されるまで繰り返される、方法。
  2. 前記窒化ケイ素膜が炭素ドープされた窒化ケイ素膜である、請求項1に記載の方法。
  3. 400~1000℃の温度におけるスパイクアニールで前記窒化ケイ素膜を処理する工程をさらに含む、請求項1に記載の方法。
  4. 前記窒化ケイ素膜の堆積の間か、又は前記窒化ケイ素膜の堆積の後のいずれかで、UV光源に前記窒化ケイ素膜を暴露する工程をさらに含む、請求項1に記載の方法。
  5. 水素、不活性ガス、窒素及びそれらの組み合わせからなる群から選択される1つ又は複数のガスを含むプラズマに前記窒化ケイ素膜を暴露する工程をさらに含む、請求項1に記載の方法。
  6. インサイチュで、又は前記反応器とは別のチャンバーにおいてのいずれかで、周囲温度~1000℃の1つ又は複数の温度で酸素源を用いて前記窒化ケイ素膜を処理して、前記窒化ケイ素を酸窒化ケイ素膜に変換する処理工程をさらに含む、請求項1に記載の方法。
  7. 前記窒化ケイ素膜が炭素ドープされた窒化ケイ素膜であり、酸素源を用いて前記窒化ケイ素膜を処理する前記処理工程が、前記炭素ドープされた窒化ケイ素を炭素ドープされた酸窒化ケイ素膜に変換する、請求項6に記載の方法。
  8. 前記窒化ケイ素膜が6以下の誘電率(k)と、X線光電子分光法によって測定した場合に5原子量%以下の炭素含有量とを有する、請求項1に記載の方法。
  9. 前記窒化ケイ素膜がX線光電子分光法によって測定した場合に5原子量%以下の炭素含有量を有する、請求項8に記載の方法
  10. 前記窒化ケイ素膜がX線光電子分光法によって測定した場合に3原子量%以下の炭素含有量を有する、請求項9に記載の方法
  11. 前記窒化ケイ素膜がX線光電子分光法によって測定した場合に2原子量%以下の炭素含有量を有する、請求項10に記載の方法
  12. 前記窒化ケイ素膜がX線光電子分光法によって測定した場合に1原子量%以下の炭素含有量を有する、請求項11に記載の方法
  13. 00~1000℃の温度で、前記窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜に熱アニールを行う工程をさらに含む、請求項1に記載の方法。
  14. 5℃~600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、請求項1に記載の方法。
  15. 5℃~600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記炭素ドープされた窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、請求項2に記載の方法。
  16. 5℃~600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記酸窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、請求項6に記載の方法。
  17. 5℃~600℃の温度で、不活性ガスプラズマ、水素/不活性プラズマ又は窒素プラズマを用いて、前記炭素ドープされた酸窒化ケイ素膜にプラズマ処理を行う工程をさらに含む、請求項7に記載の方法。
  18. プラズマ強化ALDプロセスによって窒化ケイ素又は炭素ドープされた窒化ケイ素を形成するための方法であって、
    a)表面特徴を備える基材を反応器中に提供する工程;
    b)1つ又は2つのSi-C-Si結合を有し、かつ1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン及び1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサンからなる群から選択される少なくとも1つのケイ素前駆体を前記反応器中に導入する工程であって、それによって前記ケイ素前駆体が前記基材の前記表面特徴の少なくとも一部で反応して、化学吸着された層を提供する工程;
    c)不活性ガスを使用して、前記反応器から任意の未反応のケイ素前駆体及び/又は任意の反応副生成物をパージする工程;
    d)第一のプラズマ源を前記反応器中に供給して、前記化学吸着された層と反応させて、任意選択で炭素ドープされた、窒化ケイ素膜を形成する工程;
    e)不活性ガスを使用して、前記反応器から任意のさらなる反応副生成物をパージする工程;
    f)第二のプラズマ源を前記反応器中に供給して、さらに反応させて、任意選択で炭素ドープされた、窒化ケイ素膜を形成する工程;
    g)不活性ガスを使用して、前記反応器から任意のさらなる反応副生成物をパージする工程
    を含み、前記工程b~gが、任意選択で炭素ドープされた、前記窒化ケイ素膜が所望の厚さに到達するまで繰り返され、前記反応器が、25℃~600℃の1つ又は複数の温度に保持される、方法。
  19. 前記第一のプラズマがアンモニア源を含むプラズマであり、前記第二のプラズマが窒素源を含むプラズマである、請求項18に記載の方法。
  20. 前記第一のプラズマが窒素源を含むプラズマであり、前記第二のプラズマがアンモニア源を含むプラズマである、請求項18に記載の方法。
  21. 1つ又は2つのSi-C-Si結合を有し、かつ1,1,1,3,3-ペンタクロロ-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラブタン、1,1,1,3,3-ペンタクロロ-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-メチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2,2-ジメチル-1,3-ジシラペンタン、1,1,1,3,3-ペンタクロロ-2-エチル-1,3-ジシラペンタン、1,1,1,3,3,5,5-へプタクロロ-1,3,5-トリシラヘキサン、1,1,1,5,5-ペンタクロロ-3,3-ジメチル-1,3,5-トリシラヘキサン及び1,1,1,5,5-ペンタクロロ-1,3,5-トリシラヘキサンからなる群から選択される少なくとも1つのケイ素前駆体を含む組成物を収容するステンレス鋼コンテナ。
  22. ヘリウム、アルゴン、窒素及びそれらの組み合わせからなる群から選択される不活性ヘッドスペースガスをさらに収容する、請求項21に記載のステンレス鋼コンテナ。
  23. 前記窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜が、半導体産業又はディスプレイ用途のために適している、請求項1に記載の方法。
  24. 前記窒化ケイ素膜又は炭素ドープされた窒化ケイ素膜が、半導体産業又はディスプレイ用途のために適している、請求項18に記載の方法。
JP2021518603A 2018-10-03 2019-10-02 ケイ素及び窒素を含有する膜を製造するための方法 Active JP7421551B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024003346A JP2024032775A (ja) 2018-10-03 2024-01-12 ケイ素及び窒素を含有する膜を製造するための方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862740478P 2018-10-03 2018-10-03
US62/740,478 2018-10-03
PCT/US2019/054268 WO2020072625A1 (en) 2018-10-03 2019-10-02 Methods for making silicon and nitrogen containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024003346A Division JP2024032775A (ja) 2018-10-03 2024-01-12 ケイ素及び窒素を含有する膜を製造するための方法

Publications (2)

Publication Number Publication Date
JP2022504261A JP2022504261A (ja) 2022-01-13
JP7421551B2 true JP7421551B2 (ja) 2024-01-24

Family

ID=70055085

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021518603A Active JP7421551B2 (ja) 2018-10-03 2019-10-02 ケイ素及び窒素を含有する膜を製造するための方法
JP2024003346A Pending JP2024032775A (ja) 2018-10-03 2024-01-12 ケイ素及び窒素を含有する膜を製造するための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024003346A Pending JP2024032775A (ja) 2018-10-03 2024-01-12 ケイ素及び窒素を含有する膜を製造するための方法

Country Status (8)

Country Link
US (1) US20210398796A1 (ja)
EP (1) EP3844318A4 (ja)
JP (2) JP7421551B2 (ja)
KR (1) KR20210055098A (ja)
CN (1) CN112969818A (ja)
SG (1) SG11202103231VA (ja)
TW (2) TWI767661B (ja)
WO (1) WO2020072625A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210047966A (ko) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 및 질소 함유 막의 제조 방법
CN115505901A (zh) * 2022-09-27 2022-12-23 江苏舜大新能源科技有限公司 一种异质结太阳能电池镀膜方法及其设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
JP2018511700A (ja) 2015-03-17 2018-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜堆積のためのパルス化されたプラズマ
JP2018523753A (ja) 2015-07-31 2018-08-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
DE69738136T2 (de) 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
JP4209563B2 (ja) 1999-09-17 2009-01-14 東京エレクトロン株式会社 窒化シリコン膜の形成方法
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US8951342B2 (en) * 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP4451457B2 (ja) * 2007-02-26 2010-04-14 富士通株式会社 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
EP2209839A1 (en) * 2007-11-06 2010-07-28 Braggone OY Carbosilane polymer compositions for anti-reflective coatings
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
EP2264219A4 (en) * 2008-03-26 2012-09-05 Jsr Corp MATERIAL FOR GAS PHASE DEPOSITION BY CHEMICAL PROCESS, INSULATING FILM CONTAINING SILICON AND METHOD FOR PRODUCING THE SAME
KR20110084517A (ko) * 2008-10-20 2011-07-25 다우 코닝 코포레이션 Cvd 전구체
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US20130078376A1 (en) * 2010-04-01 2013-03-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5781350B2 (ja) * 2011-03-30 2015-09-24 リンテック株式会社 ガスバリア積層体、その製造方法、電子デバイス用部材及び電子デバイス
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
CN107342216B (zh) * 2011-09-23 2022-05-31 诺发***公司 等离子体活化保形电介质膜沉积
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
TWI449802B (zh) * 2012-06-06 2014-08-21 Univ Nat Chiao Tung 掺碳氮化矽薄膜及其製造方法與裝置
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
TWI634073B (zh) * 2013-09-05 2018-09-01 道康寧公司 2,2,4,4-四矽基五矽烷及其組成物、方法及用途
US11549181B2 (en) * 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
KR102411034B1 (ko) * 2014-07-10 2022-06-17 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 카보실란 전구체
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
JP6585724B2 (ja) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
TWI693295B (zh) * 2015-02-06 2020-05-11 美商諾發系統有限公司 碳化矽膜之保形沉積
JP6803368B2 (ja) * 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アルキルアミノ置換ハロカルボシラン前駆体
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
WO2018057677A1 (en) 2016-09-26 2018-03-29 Dow Corning Corporation Trichlorodisilane
JP6794533B2 (ja) 2016-09-28 2020-12-02 ダウ シリコーンズ コーポレーション クロロジシラザン
JP6949947B2 (ja) * 2016-10-12 2021-10-13 ダウ シリコーンズ コーポレーション チオ(ジ)シラン
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
JP2018511700A (ja) 2015-03-17 2018-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜堆積のためのパルス化されたプラズマ
JP2018523753A (ja) 2015-07-31 2018-08-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Also Published As

Publication number Publication date
WO2020072625A1 (en) 2020-04-09
US20210398796A1 (en) 2021-12-23
JP2024032775A (ja) 2024-03-12
TW202028216A (zh) 2020-08-01
TWI728487B (zh) 2021-05-21
JP2022504261A (ja) 2022-01-13
SG11202103231VA (en) 2021-04-29
CN112969818A (zh) 2021-06-15
EP3844318A4 (en) 2022-06-01
TWI767661B (zh) 2022-06-11
KR20210055098A (ko) 2021-05-14
TW202134253A (zh) 2021-09-16
EP3844318A1 (en) 2021-07-07

Similar Documents

Publication Publication Date Title
US11742200B2 (en) Composition and methods using same for carbon doped silicon containing films
CN110872703B (zh) 用于制备含硅和氮的膜的方法
CN110872700B (zh) 制备具有高碳含量的含硅膜的方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
CN112805405B (zh) 用于制备含硅和氮的膜的方法
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法
Si I4 PCl I

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230501

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230731

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240112

R150 Certificate of patent or registration of utility model

Ref document number: 7421551

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150