CN112805405B - 用于制备含硅和氮的膜的方法 - Google Patents

用于制备含硅和氮的膜的方法 Download PDF

Info

Publication number
CN112805405B
CN112805405B CN201980062463.1A CN201980062463A CN112805405B CN 112805405 B CN112805405 B CN 112805405B CN 201980062463 A CN201980062463 A CN 201980062463A CN 112805405 B CN112805405 B CN 112805405B
Authority
CN
China
Prior art keywords
disilazane
plasma
silicon nitride
reactor
tetrachloro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980062463.1A
Other languages
English (en)
Other versions
CN112805405A (zh
Inventor
M·B·拉奥
雷新建
M·R·麦克唐纳
金武性
李世远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202410410393.7A priority Critical patent/CN118326372A/zh
Publication of CN112805405A publication Critical patent/CN112805405A/zh
Application granted granted Critical
Publication of CN112805405B publication Critical patent/CN112805405B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用于沉积高质量的氮化硅的组合物引入含有衬底的反应器中,接着引入包括氨源的等离子体。所述组合物包括具有如本文中限定的式I的硅前体化合物。

Description

用于制备含硅和氮的膜的方法
技术领域
本发明涉及用于制造电子器件的组合物和方法。更具体地,本发明涉及用于沉积高质量且高氧灰化抗性的含硅膜的化合物、组合物和方法,所述膜例如但不限于化学计量的氮化硅、碳掺杂的氮化硅膜和碳掺杂的氮氧化硅膜。
背景技术
氮化硅膜用于半导体中以用于各种应用。例如,氮化硅膜通常用作集成电路的最终钝化和机械保护层、用于硅的选择性氧化的掩模层、作为DRAM电容器或3D NAND闪存芯片中的堆叠氧化物-氮化物-氧化物(ONO)层中的介电材料之一或作为浅沟槽隔离应用中的CMP停止层。在一个特定的应用中,3D NAND闪存中的O-N-O堆栈需要具有低应力和在磷酸中具有高湿蚀刻速率的氮化硅。
Olsen,“用于低应力氮化硅沉积的LPCVD工艺条件的分析(Analysis of LPCVDProcess Conditions for the Deposition of Low Stress Silicon Nitride)”,5Materials Science in Semiconductor Process51(2002)描述了用于优化通过低压化学气相沉积的低应力氮化硅沉积的广泛工艺条件。结果表明通过增加气流使折射率增加到超过2.3不会显著降低残余应力,但会对厚度均匀性和沉积速率产生明显的不利影响。
Taylor等人,“六氯乙硅烷作为二氧化硅和氮氧化硅膜的LPCVD中的前体(Hexachlorodisilane as a Precursor in the LPCVD of Silicon Dioxide andSilicon Oxynitride Films)”,136J.Electrochem.Soc.2382(1989)描述了使用Si2Cl6、N2和NH3的气相混合物通过LPCVD生成二氧化硅和氮氧化硅的膜。使用HCDS、N2O和NH3的气相混合物在600-850℃的温度范围内通过LPCVD生成二氧化硅和氮氧化硅的膜。沉积的二氧化硅和氮氧化硅膜显示出低氯含量,通常<1%原子百分数。
M.Tanaka等人,“由六氯乙硅烷和氨形成的低k氮化硅膜的膜特性(FilmProperties of Low-k Silicon Nitride Films Formed by Hexachlorodisilane andAmmonia)”,147J.Electrochem.Soc.2284(2000)描述了一种具有良好的氮化硅(SiN)台阶覆盖率的低温工艺,所述氮化硅使用六氯乙硅烷(HCD)通过低压化学气相沉积(LPCVD)形成。
JP2000100812描述了一种使用SiCl4和NH3作为源气体来沉积膜的方法。衬底表面可以在沉积之前使用NH3氮化。形成具有改善的绝缘性能的极薄的膜。该氮化硅膜可用作半导体集成电路的电容器绝缘膜。
美国专利No.6,355,582描述了一种用于形成氮化硅膜的方法,其中加热将要进行成膜的衬底,并将四氯化硅和氨气体供应至加热到预定温度的衬底。
美国专利No.10,049,882描述了一种用于制造半导体器件的原子层沉积(ALD)方法,包括在具有高度差异的结构上形成介电层的步骤。该方法包括在衬底上形成具有高度差的结构,并在该结构上形成介电层结构。形成介电层结构包括在具有高度差的结构上形成包括氮化硅的第一介电层。形成第一介电层包括将包括作为硅前体的五氯乙硅烷(PCDS)或二异丙基胺五氯乙硅烷(DPDC)的第一气体和包括氮成分的第二气体进料至包括衬底的腔室中,使得在具有高度差的结构上原位形成第一介电层。
PCT公开No.WO2018063907公开了一类氯代二硅氮烷,由其合成的硅-杂原子化合物,含有该硅-杂原子化合物的器件,制备氯代二硅氮烷、硅-杂原子化合物和器件的方法;以及氯代二硅氮烷、硅-杂原子化合物和器件的用途。
PCT公开No.WO2018057677公开了一种组合物,其包括三氯乙硅烷作为用于膜形成中的硅前体。该组合物包括硅前体化合物及惰性气体、分子氢、碳前体、氮前体和氧前体中的至少一种。该公开还公开了一种使用硅前体化合物在衬底上形成含硅膜的方法以及由此形成的含硅膜。
美国专利No.9,984,868公开了在衬底上沉积氮化硅膜的循环方法。在一个实施方式中,这种方法包括将作为硅前体的卤硅烷供应至反应器中;向反应器供应吹扫气体;和将离子化的氮前体提供到反应器中以与衬底反应并形成氮化硅膜。
最后,美国公开No.2009/155606公开了在衬底上沉积氮化硅膜的循环方法。在一个实施方式中,一种方法包括将氯硅烷供应到衬底在其中进行处理的反应器中;向反应器供应吹扫气体;和将氨等离子体提供给反应器。该方法允许在低工艺温度下和以高沉积速率形成氮化硅膜。所得到的氮化硅膜具有相对少的杂质和相对高的质量。另外,可以形成在具有高纵横比和薄且均匀的厚度的特征上具有良好的台阶覆盖率的氮化硅膜。
先前确定的专利、专利申请和公开的公开内容通过引用并入本文中。
本领域中需要提供一种用于沉积高碳含量(例如,通过X射线光电子能谱(XPS)测量的约10原子%或更高的碳含量)掺杂的含硅膜的组合物和使用该组合物沉积所述膜的方法,所述膜用于电子工业内的某些应用。
而且,需要开发一种使用化学气相沉积(CVD)或原子层沉积(ALD)工艺或ALD样工艺,例如但不限于循环化学气相沉积工艺,来形成高质量氮化硅或碳掺杂氮化硅的方法。一种特定的应用,例如3D NAND闪存中的O-N-O堆栈,需要显示出低应力和/或在磷酸中的高湿蚀刻速率的氮化硅、氧氮化硅或碳氧氮化硅膜,它们。此外,可能需要开发一种CVD、ALD或ALD样工艺中的低温沉积(例如,在约500℃或更低的一个或多个温度下的沉积),以改善一种或多种膜性质,例如但不限于纯度和/或密度。
此外,本领域中需要提供一种用于沉积氮化硅或碳掺杂的氮化硅的组合物和使用该组合物沉积氮化硅或碳掺杂的氮化硅的方法,所述氮化硅或碳掺杂的氮化硅具有以下特征:a)约5原子%或更少,约3原子%或更少,约2原子%或更少,约1原子%或甚至更少的碳含量,如通过X射线光电子能谱(XPS)测量的,优选化学计量的氮化硅;b)约5原子%或更少,约3原子%或更少,约2原子%或更少,约1原子%或更少的氧含量,如通过X射线光电子能谱法(XPS)测量的;90%或更高,95%或更高,99%或更高的台阶覆盖率。
发明内容
在一个方面中,通过提供一种通过等离子体增强的ALD工艺形成氮化硅或碳掺杂的氮化硅的方法来满足上述需求。根据该方法,在反应器中提供包括表面特征的衬底。将具有下式I的具有一个C2-3亚烷基连接的硅前体化合物引入反应器中以在衬底上形成层:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,以及C1至C3烷基基团;R1是具有2至10个碳原子并与两个硅原子连接的C2-3亚烷基基团。
使用惰性气体吹扫反应器中的任何未反应的硅前体和/或任何反应副产物。将包含氨源的等离子体提供到反应器中,以与该层反应以形成任选碳掺杂的氮化硅膜。再次用惰性气体吹扫反应器中的任何进一步的反应副产物。重复添加上述前体、吹扫反应器、提供等离子体并再次吹扫反应器的步骤,直到沉积所需厚度的氮化硅膜。该方法在约25℃至600℃范围的一个或多个温度下进行。
任选地,随后将所得的氮化硅膜在大约环境温度至1000℃,优选约100℃至400℃范围的一个或多个温度下暴露于氧源,以将氮化硅膜转化为氮氧化硅膜。
通过根据上述方法形成的并且具有约7或更小的介电常数k和约5原子%或更少的碳含量的膜进一步满足上述需求和其他需求。
附图说明
图1是描述使用NH3/氩等离子体的通过ALD形成的氮化硅膜的厚度与1,1,1,4,4,4-六氯-1,4-二硅杂丁烷的前体脉冲时间的图;
图2是描述使用NH3/氩等离子体的通过ALD形成的氮化硅膜的厚度与SiCl4和1,1,1,4,4,4-六氯-1,4-二硅杂丁烷的沉积温度的图;
图3是描述使用NH3/氩等离子体的通过ALD形成的氮化硅膜的厚度与SiCl4、1,1,1,4,4,4-六氯-1,4-二硅杂丁烷、1,1,1,5,5,5-六氯-1,5-二硅杂戊烷的循环数的图。
具体实施方式
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的每种反应物依次引入反应器中,如单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器;b)通过将衬底移动或旋转至反应器的不同部分而将包括硅前体和反应性气体的每种反应物暴露于衬底,并且通过惰性气体幕将每个部分分隔开,即空间ALD反应器或辊对辊ALD反应器。
在整个说明书中,术语“包括/包含氨的等离子体”是指通过等离子体发生器原位或远程生成的反应性气体或气体混合物。该气体或气体混合物选自氨、氨和氦的混合物、氨和氖的混合物、氨和氩的混合物、氨和氮的混合物、氨和氢的混合物,及其组合。
在整个说明书中,术语“包括/包含氮的等离子体”是指通过等离子体发生器原位或远程生成的反应性气体或气体混合物。该气体或气体混合物选自氮、氮和氦的混合物、氮和氖的混合物、氮和氩的混合物、氨和氮的混合物、氮和氢的混合物,及其组合。
在整个说明书中,术语“惰性气体等离子体”是指通过等离子体发生器原位或远程生成的反应性惰性气体或惰性气体混合物。惰性气体或气体混合物选自氦、氖、氩,及其组合。
在整个说明书中,术语“灰化”是指在半导体制造过程中使用包含氧源的等离子体(例如O2/惰性气体等离子体、O2等离子体、CO2等离子体、CO等离子体、H2/O2等离子体或其组合)去除光致抗蚀剂或碳硬掩模的过程。
在整个说明书中,术语“抗损伤性”是指在氧灰化过程之后的膜性能。良好或高的抗损伤性定义为氧灰化后的以下膜性能:膜介电常数低于4.5;本体碳含量(在膜中超过的深处)在5原子%内(如灰化前);通过表面附近(小于/>深度)和本体(大于/>深度)的膜之间的稀HF蚀刻速率的差异观察到的,少于/>的膜受到损坏。
在整个说明书中,术语“烷基烃”是指直链或支链的C1至C20烃、环状C6至C20烃。示例性的烃包括但不限于庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷和环癸烷。
在整个说明书中,术语“C2-3亚烷基连接”是指两个硅原子之间的亚烷基连接,优选C2连接,如亚乙基桥。C2-3连接是选自烷烃-1,2-二基、烷烃-1,3-二基、环烷烃-1,2-二基和环烷烃-1,3-二基的双基链。烷烃1,2-二基和烷烃1,3-二基的实例包括但不限于亚乙基(-CH2CH2-)、取代的亚乙基(-CHMeCH2-、-CH(Me)CH(Me)-)、亚丙基(-CH2CH2CH2-)和取代的亚丙基。
在整个说明书中,术语“芳族烃”是指C6至C20芳族烃。示例性的芳族烃包括但不限于甲苯和均三甲苯。
在整个说明书中,如本文使用的术语“台阶覆盖率”定义为具有通孔或沟槽或两者的结构化或特征化衬底中沉积的膜的两种厚度的百分比。底部台阶覆盖率定义为特征底部的厚度除以特征顶部的厚度的比率(以%计)。中间台阶覆盖率定义为特征侧壁的厚度除以特征顶部的厚度的比率(以%计)。使用本文所述的方法沉积的膜表现出约80%或更大,或约90%或更大的台阶覆盖率,这表明该膜是保形的。
本文描述了沉积具有以下特征的氮化硅或碳掺杂的氮化硅的硅前体组合物和包括该组合物的方法:a)约5原子%或更少,约3原子%或更少,约2原子%或更少,约1原子%或甚至更少的碳含量,如通过X射线光电子能谱(XPS)测量的,优选化学计量的氮化硅;b)约5原子%或更少,约3原子%或更少,约2原子%或更少,或甚至约1原子%或更少的氧含量,如通过X射线光电子能谱法(XPS)测量的;和90%或更高,95%或更高,99%或更高的台阶覆盖率。
在一个方面中,提供了一种用于沉积氮化硅或碳掺杂的氮化硅膜的组合物,该组合物包括至少一种具有一个C2-3亚烷基连接并具有下式I的硅前体化合物:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,以及C1至C3烷基基团;R1是具有2至10个碳原子并与两个硅原子连接的C2-3亚烷基基团,优选C2-3亚烷基连接。表1和2列出了一些具有一个C2-3亚烷基连接的示例性硅前体,其中n=2或3,m=2或3,R是氢或甲基,且R2是氢或甲基,其是本发明中的优选硅前体。
表1.具有一个Si-C2-Si连接的硅前体
表2.具有一个Si-C3-Si连接的硅前体
在另一个实施方式中,用于沉积含硅膜的组合物包含:(a)至少一种具有一个C2-3亚烷基连接的硅前体化合物,其选自1,1,1,4,4,4-六氯-1,4-二硅杂丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二硅杂丁烷、1,1,1,4,4-五氯-1,4-二硅杂戊烷、1,1,1,4,4,4-五氯-2-甲基-1,4-二硅杂戊烷、2,2,5,5-四氯-2,5-二硅杂己烷、2,2,5,5-四氯-3-甲基-2,5-二硅杂己烷、1,1,1,5,5,5-六氯-1,5-二硅杂戊烷、2,2,6,6-四氯-3-甲基-2,6-二硅杂庚烷、1,1,4,4-四氯-1,4-二硅杂戊烷、1,1,4,4-四氯-2-甲基-1,4-二硅杂戊烷、1,1,4,4,4-五氯-1,4-二硅杂丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二硅杂丁烷、1,4,4,4-四氯-1,4-二硅杂丁烷、1,4,4,4-四氯-2-甲基-1,4-二硅杂丁烷、1,4,4-三氯-1,4-二硅杂戊烷、1,4,4-三氯-2-甲基-1,4-二硅杂戊烷、1,1,5,5,5-五氯-1,5-二硅杂戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二硅杂戊烷、1,1,5,5-四氯-1,5-二硅杂己烷、1,1,5,5-四氯-2-甲基-1,5-二硅杂己烷、1,5,5,5-四氯-1,5-二硅杂戊烷、1,5,5,5-四氯-2-甲基-1,5-二硅杂戊烷、1,5,5-三氯-1,5-二硅杂己烷、1,5,5-三氯-2-甲基-2,6-二硅杂己烷,和(b)至少一种溶剂,及在本发明的至少一个方面中,(b)任选地至少一种溶剂。在本文所述的组合物的某些实施方式中,示例性溶剂可以包括但不限于醚、叔胺、烷基烃、芳族烃、叔氨基醚、硅氧烷及其组合。在某些实施方式中,具有一个Si-C-Si或两个Si-C-Si连接的化合物的沸点与溶剂的沸点之间的差为40℃或更小。硅前体化合物在溶剂中的wt%可在1至99wt%,或10至90wt%,或20至80wt%,或30至70wt%,或40至60wt%,至50至50wt%之间变化。在一些实施方式中,可以使用常规的直接液体注射设备和方法经由直接液体注射将组合物递送至用于含硅膜的反应器室中。
在本文所述方法的一个实施方式中,氮化硅或碳掺杂的氮化硅膜的碳含量小于5原子%或更少,并使用等离子体增强的ALD工艺沉积。在这个实施方式中,所述方法包括:
a.将一个或多个包含表面特征的衬底置于反应器中,并将反应器加热至环境温度到约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有下式I的具有一个C2-3亚烷基连接的硅前体化合物:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,以及C1至C3烷基基团;R1是具有2至10个碳原子并与两个硅原子连接的C2-3亚烷基基团;
c.用惰性气体吹扫,从而除去任何未反应的硅前体;
d.向反应器中提供包括/包含氨源的等离子体以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;和
e.用惰性气体吹扫以除去任何反应副产物;
其中重复步骤b至e,直至沉积所需厚度的膜。
在某些实施方式中,本文所述的方法进一步包括:
f.任选地用400至1000℃温度下的热退火或尖峰退火或者UV光源对氮化硅或碳掺杂的氮化硅膜碳掺杂的膜进行沉积后处理。在这个或其他实施方式中,可以在膜沉积期间或一旦沉积完成时进行UV暴露步骤。
g.任选地提供沉积后暴露,将碳掺杂的氮化硅膜暴露于包含氢或惰性气体或氮的等离子体以改善至少一种膜的物理性能。
在本文所述方法的另一个实施方式中,碳掺杂的氮氧化硅膜的碳含量为5原子%或更少,并使用等离子体增强的ALD工艺沉积。
在这个实施方式中,所述方法包括:
a.将一个或多个包含表面特征的衬底置于反应器中,并将反应器加热至从环境温度到约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有下式I的具有一个C2-3亚烷基连接的硅前体化合物:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,及C1至C3烷基基团;R1是具有2至10个碳原子并与两个硅原子连接的C2-3亚烷基基团;
c.用惰性气体吹扫;
d.向反应器中提供包括/包含氨源的等离子体以与表面反应而形成氮化硅膜;和
e.用惰性气体吹扫以除去反应副产物;
其中重复步骤b至e,直至沉积所需厚度的膜。
在某些实施方式中,本文所述的方法进一步包括:
在从大约环境温度至1000℃,或优选约100至400℃范围的一个或多个温度下用氧源对氮化硅膜或碳掺杂的氮化硅膜进行沉积后暴露,以在原位或在另一个腔室中将氮化硅或碳掺杂的氮化硅膜转化成氮氧化硅或碳掺杂的氮氧化硅膜。
在本文所述方法的再另一个实施方式中,使用等离子体增强的ALD工艺沉积具有小于5原子%的碳含量的氮化硅或碳掺杂的氮化硅膜。在这个实施方式中,所述方法包括:
a.将一个或多个包含表面特征的衬底置于反应器中,并将反应器加热至从环境温度到约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有下式I的具有一个C2-3亚烷基连接的硅前体化合物:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,及C1至C3烷基基团;R1是具有2至10个碳原子并与两个硅原子连接的C2-3亚烷基基团;
c.用惰性气体吹扫,从而除去任何未反应的硅前体;
d.向反应器中提供包括/包含氨源的第一等离子体以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
e.用惰性气体吹扫以除去任何反应副产物;
f.向反应器中提供包括/包含氮源的第二等离子体以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
g.用惰性气体吹扫以除去任何反应副产物;和
其中重复步骤b至g,直至沉积所需厚度的膜。
在本文所述方法的再另一个实施方式中,使用等离子体增强的ALD工艺沉积具有小于5原子%的碳含量的氮化硅或碳掺杂的氮化硅膜。在这个实施方式中,所述方法包括:
a.将一个或多个包含表面特征的衬底置于反应器中,并将反应器加热至从环境温度到约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有下式I的具有一个C2-3亚烷基连接的硅前体化合物:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,及C1至C3烷基基团;R1是具有2至10个碳原子并与两个硅原子连接的C2-3亚烷基基团;
c.用惰性气体吹扫,从而除去任何未反应的硅前体;
d.向反应器中提供包括/包含氮源的第一等离子体以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
e.用惰性气体吹扫以除去任何反应副产物;
f.向反应器中提供包括/包含氨源的第二等离子体以与表面反应而形成氮化硅或碳掺杂的氮化硅膜;
g.用惰性气体吹扫以除去任何反应副产物;和
其中重复步骤b至g,直至沉积所需厚度的膜。在某些实施方式中,本文所述的方法进一步包括
在整个说明书中,术语“惰性气体”表示选自氦、氩、氖、氮及其组合的惰性气体。在一些实施方式中,吹扫步骤中的惰性气体是相同的。在其他实施方式中,吹扫步骤中的惰性气体在各个步骤中是不同的。
在一个实施方式中,衬底包括至少一个特征,其中该特征包括纵横比为1:9或更大,开口为180nm或更小的图案沟槽。
在再另一个实施方式中,用于沉积含硅膜的容器包括一种或多种本文所述的硅前体化合物。在一个特定实施方式中,该容器是至少一个可加压容器,优选具有诸如在美国专利No.US7334595;US6077356;US5069244;和US5465766中公开的设计的不锈钢容器,其公开内容按引用并入本文中。容器可以包含玻璃(硼硅酸盐或石英玻璃)或316、316L、304或304L型不锈钢合金(UNS标识S31600、S31603、S30400、S30403),其配备有适当的阀门和配件以允许将一种或多种前体输送至反应器中以进行CVD或ALD工艺。在这个或其他实施方式中,硅前体在由不锈钢构成的可加压容器中提供,并且该前体的纯度为98重量%或更高或者99.5%或更高,这适用于半导体应用。硅前体化合物优选基本上不含金属离子,例如Al3+离子、Fe2+、Fe3+、Ni2+、Cr3+。如本文使用的,与Al、Fe、Ni、Cr相关的术语“基本上不含”是指如通过ICP-MS测量的小于约5ppm(重量),优选小于约1ppm,更优选如通过ICP-MS测量的小于约0.1ppm,且最优选如通过ICP-MS测量的约0.05ppm。在某些实施方式中,如果需要,这样的容器还可以具有用于将前体与一种或多种另外的前体混合的装置。在这些或其他实施方式中,可以将容器的内容物与另外的前体预混合。或者,可以将硅前体和/或其他前体保持在单独的容器中,或保持在具有用于在存储期间保持硅前体和其他前体分开的分隔装置的单个容器中。
含硅膜沉积在衬底(如半导体或显示器基板)的至少一个表面上。在本文所述的方法中,衬底可由本领域公知的多种材料构成和/或涂覆有本领域公知的多种材料,包括硅如晶体硅或非晶硅、氧化硅、氮化硅、无定形碳、碳氧化硅、氮氧化硅、碳化硅、锗、锗掺杂的硅、硼掺杂的硅、金属(如铜、钨、铝、钴、镍、钽)、金属氮化物(如氮化钛、氮化钽)、金属氧化物、III/V族金属或准金属(如GaAs、InP、GaP和GaN)、AMOLED(有源矩阵有机发光二极管)柔性衬底(例如塑料衬底)及其组合的膜。这些涂层可以完全涂覆半导体衬底,可以处于各种材料的多个层中,并且可以被部分蚀刻以暴露出下面的材料层。该表面上还可以具有光致抗蚀剂材料,该光致抗蚀剂材料用图案曝光并且被显影以部分涂覆衬底。在某些实施方式中,半导体衬底包括选自孔、通孔、沟槽及其组合的至少一个表面特征。含硅膜的潜在应用包括但不限于用于FinFET或纳米片的低k间隔物,用于自对准图案化处理(如SADP、SAQP或SAOP)的牺牲硬掩模。
用于形成含硅膜或涂层的沉积方法是沉积工艺。用于本文所公开方法的合适沉积工艺的实例包括但不限于化学气相沉积或原子层沉积工艺。如本文使用的,术语“化学气相沉积工艺”是指其中将衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生所需的沉积。如本文使用的,术语“原子层沉积工艺”是指一种自限式的(例如,在每个反应循环中沉积的膜材料的量是恒定的)、顺序表面化学,其将材料的膜沉积到不同组成的衬底上。如本文使用的,术语“热原子层沉积工艺”是指在从室温至600℃范围的衬底温度下而没有原位或远程等离子体的原子层沉积工艺。尽管本文使用的前体、试剂和源有时候被描述为“气态的”,但应理解前体可以是液体或固体,其在有或没有惰性气体的情况下通过直接汽化、鼓泡或升华输送到反应器中。在某些情况下,汽化的前体可以通过等离子体发生器。
在一个实施方式中,使用ALD工艺沉积含硅膜。在另一个实施方式中,使用循环CVD或CCVD工艺沉积含硅膜。在再一个实施方式中,使用热ALD工艺沉积含硅膜。如本文使用的,术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或期间分隔前体的ALD或CCVD方法避免了前体的预反应。就此而言,如ALD或CCVD工艺的沉积技术用于沉积含硅膜。在一个实施方式中,通过将衬底表面交替暴露于一种或多种含硅前体、氧源、含氮源或其他前体或试剂,在典型的单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器中通过ALD工艺沉积膜。膜的生长通过表面反应的自限式控制、每种前体或试剂的脉冲长度以及沉积温度来进行。然而,一旦衬底的表面饱和,膜生长停止。在另一个实施方式中,通过将衬底移动或旋转至反应器的不同部分,将包括硅前体和反应性气体的每种反应物暴露于衬底,并且通过惰性气体幕将每个部分分隔开,即空间ALD反应器或辊对辊ALD反应器。
取决于沉积方法,在某些实施方式中,可以以预定摩尔体积或约0.1至约1000微摩尔将本文所述的硅前体和任选地其他含硅前体引入反应器中。在这个或其他实施方式中,前体可以以预定时间段引入反应器中。在某些实施方式中,所述时间段范围是从约0.001秒至约500秒。
在某些实施方式中,使用本文所述的方法沉积的氮化硅或碳掺杂的硅膜用氧源、包含氧的试剂或前体(即水蒸气)处理以转化为碳掺杂的氮氧化物。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其他前体中。合适的氧源气体可以包括,例如,空气、水(H2O)(例如,去离子水、纯净水、蒸馏水、水蒸气、水蒸气等离子体、过氧化氢、氧化水、空气、包含水和其他有机液体的组合物)、氧气(O2)、氧等离子体、臭氧(O3)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、一氧化碳(CO)、过氧化氢(H2O2)、包含水的等离子体、包含水和氩的等离子体、过氧化氢、包含氢的组合物、包含氢和氧的组合物、二氧化碳(CO2)、空气及其组合。在某些实施方式中,氧源包括以约1至约10000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器中的氧源气体。氧源可以引入的时间范围为约0.1秒至约100秒。催化剂选自路易斯碱,如吡啶、哌嗪、三甲胺、叔丁胺、二乙胺、三甲胺、乙二胺、氨或其他有机胺。
在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且氧源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。
在某些实施方式中,氧源连续流入反应器中,同时顺序引入前体脉冲和等离子体。前体脉冲可以具有大于0.01秒的脉冲持续时间,而等离子体持续时间可以在0.01秒至100秒的范围内。
在某些实施方式中,含硅膜包含硅和氮。在这些实施方式中,使用本文所述方法沉积的含硅膜是在含氮源的存在下形成的。含氮源可以以至少一种氮源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其他前体中。
合适的含氨气体可以包括,例如,氨、氨和惰性气体的混合物、氨和氮的混合物、氨和氢的混合物,及其组合。
在某些实施方式中,将氮源以约1至约10000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。含氮源的引入时间范围可以为约0.1至约100秒。在其中膜使用氮和氧源两者通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氮源可以具有小于0.01秒的脉冲持续时间,同时水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或连续进行脉冲而无其间的吹扫。
本文公开的沉积方法包括一个或多个使用吹扫气体从反应器中吹扫不想要的或未反应的材料的步骤。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性的吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气(Ne)、氢气(H2)及其组合。在某些实施方式中,将诸如Ar的吹扫气体以约10至约10000sccm范围的流速供应至反应器中约0.1至1000秒,从而吹扫可能残留在反应器中的未反应的材料和任何副产物。
供应前体、氧源、含氨源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得膜的化学计量组成。
将能量施加至前体、含氨源、还原剂(如氢等离子体)、其他前体或其组合中的至少一种,以诱导反应并在衬底上形成膜或涂层。这种能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合来提供。
在某些实施方式中,次级RF频率源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程,其中等离子体直接在反应器中发生,或可选地,远程等离子体发生过程,其中等离子体在反应器外部生成并提供到反应器中。
可以以多种方式将硅前体和/或其他含硅前体输送至反应室,如CVD或ALD反应器。在一个实施方式中,可以利用液体输送***。在一个替代实施方式中,可以采用组合的液体输送和闪蒸处理单元,例如,由Shoreview,MN的MSP Corporation制造的涡轮蒸发器,以使低挥发性材料能够定量地输送,这导致可重复的输送和沉积而没有前体的热分解。在液体输送配置中,本文所述的前体可以以纯净液体形式递送,或可选地,可以在包含其溶剂制剂或的组合物中使用。因此,在某些实施方式中,前体制剂可以包括具有在给定的最终用途应用中可能是期望的和有利的适当特性的溶剂组分,以在衬底上形成膜。
在这个或其他实施方式中,应理解,本文描述的方法的步骤可以以各种顺序进行、可以按序或同时(例如,在另一步骤的至少一部分期间)进行,及其任意组合。供应前体和含氮源气体的相应步骤可以通过改变供应它们的持续时间来进行以改变所得含硅膜的化学计量组成。
在本文所述方法的再进一步实施方式中,膜或如此沉积的膜进行处理步骤。处理步骤可以在沉积步骤的至少一部分期间、在沉积步骤之后及其组合地进行。示例性的处理步骤包括但不限于通过高温热退火进行的处理;等离子处理;紫外(UV)光处理;激光;电子束处理及其组合,以影响膜的一种或多种性能。与在相同条件下用先前公开的硅前体沉积的膜相比时,用本文所述的具有一个或两个Si-C-Si连接的硅前体沉积的膜具有改善的性能,如但不限于湿蚀刻速率低于处理步骤这前膜的湿蚀刻速率或密度高于处理步骤之前的密度。在一个特定实施方式中,在沉积过程中,如此沉积的薄膜进行间歇处理。这些间歇或沉积中处理可以例如在每个ALD循环之后、一定数量的ALD之后,例如但不限于一(1)个ALD循环、两(2)个ALD循环、五(5)个ALD循环或者每十(10)个或更多个ALD循环之后,进行。
在其中用高温退火步骤处理膜的实施方式中,退火温度为至少100℃或大于沉积温度。在这个或其他实施方式中,退火温度在约400℃至约1000℃的范围内。在这个或其他实施方式中,退火处理可以在真空(<76托)、惰性环境或含氧环境(如臭氧、H2O、H2O2、N2O、NO2或O2)中进行。
在其中膜进行UV处理的实施方式中,将膜暴露于宽带UV,或可选地,暴露于波长范围为约150纳米(nm)至约400nm的UV源。在一个特定实施方式中,在达到期望的膜厚度后,将如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中用等离子体处理膜的实施方式中,沉积钝化层如碳掺杂的氧化硅以防止在随后的等离子体处理中氯和氮污染渗透膜。可以使用原子层沉积或循环化学气相沉积来沉积钝化层。
在其中用等离子体处理膜的实施方式中,等离子源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体。氢等离子体降低了膜的介电常数,并增强了对后续等离子体灰化过程的破坏抗性,同时仍使主体中的碳含量保持几乎不变。
以下实施例说明了本发明的某些方面并且不限制所附权利要求的范围。
实施例
在以下实施例中,除非另有说明,否则性能从沉积在作为衬底的具有5-20Ω-cm电阻率的硅晶片上的样品膜获得。使用具有喷头设计和13.56MHz直接等离子体的CN-1反应器来进行所有膜沉积。
在典型的工艺条件下,除非另有说明,否则室压力固定在约1至约5托的压力范围内。另外的惰性气体用于维持室压力。
膜沉积包括用于等离子体增强的ALD的表3、4和5中列出的步骤。除非另有说明,否则使用步骤b至e或步骤b至g的总共100或200或300或500个沉积循环以获得所需的膜厚度。
表3.PEALD氮化硅或碳掺杂的氮化硅膜的沉积步骤
表4.PEALD氮化硅或碳掺杂的氮化硅膜的沉积步骤
表5.PEALD氮化硅或碳掺杂的氮化硅膜的沉积步骤
/>
使用椭圆偏振仪测量沉积膜的折射率(RI)和厚度。膜不均匀性使用标准等式计算:%不均匀性=((最大厚度–最小厚度)/(2*平均(avg)厚度))。使用傅立叶变换红外(FTIR)光谱和X射线光电子能谱(XPS)分析膜的结构和组成。膜的密度通过X射线反射法(XRR)来测量。
实施例1:使用1,1,1,4,4,4-六氯-1,4-二硅杂丁烷和NH3/氩等离子体的ALD氮化硅
将硅晶片装载到配备有喷头设计的和13.56MHz直接等离子体的CN-1反应器中,并加热到300℃,使用1托的室压力。使用鼓泡或蒸气抽吸将作为硅前体的1,1,1,4,4,4-六氯-1,4-二硅杂丁烷作为蒸气输送到反应器中。
ALD循环由表3中提供的工艺步骤组成,并使用以下工艺参数:
a.将1,1,1,4,4,4-六氯-1,4-二硅杂丁烷的蒸气引入反应器中
氩流量:通过前体容器100sccm
脉冲:3秒
Ar流量:1000sccm
b.吹扫
氩流量:1000sccm
吹扫时间:20秒
c.引入氨等离子体
氩流量:1000scm
氨流量:200sccm
等离子体功率:300W
脉冲:5秒
d.吹扫
氩流量:1000sccm
吹扫时间:20秒
将步骤a至d重复500个循环,以提供约41nm的氮化硅,其组成为52.66原子%氮、45.62原子%硅、1.34原子%氧和0.38原子%氯,并且碳无法检测。密度为2.57g/cm3
使用与上述相似的条件进行了另外的ALD实验以进一步表征1,1,1,4,4,4-六氯-1,4-二硅杂丁烷作为合适的ALD前体。图1提供了使用NH3/氩等离子体的对于1,1,1,4,4,4-六氯-1,4-二硅杂丁烷的厚度与前体脉冲时间,证明了1,1,1,4,4,4-六氯-1,4-二硅杂丁烷在约3秒时达到饱和。图2提供了使用NH3/氩等离子体的对于SiCl4和1,1,1,4,4,4-六氯-1,4-二硅杂丁烷的厚度与沉积温度,证明了1,1,1,4,4,4-六氯-1,4-二硅杂丁烷提供与SiCl4类似的ALD窗口。
实施例2:使用1,1,1,5,5,5-六氯-1,5-二硅杂戊烷和NH3/氩等离子体的ALD氮化硅
将硅晶片装载到配备有喷头设计和13.56MHz直接等离子体的CN-1反应器中,并加热到300℃,使用1托的室压力。使用鼓泡或蒸气抽吸将作为硅前体的1,1,1,5,5,5-六氯-1,5-二硅杂戊烷作为蒸气输送到反应器中。
ALD循环由表1中提供的工艺步骤组成,并使用以下工艺参数:
a.将1,1,1,5,5,5-六氯-1,5-二硅杂戊烷的蒸气引入反应器中
氩流量:通过前体容器100sccm
脉冲:3秒
Ar流量:1000sccm
b.吹扫
氩流量:1000sccm
吹扫时间:30秒
c.引入氨等离子体
氩流量:1000scm
氨流量:200sccm
等离子体功率:300W
脉冲:5秒
d.吹扫
氩流量:1000sccm
吹扫时间:20秒
将步骤a至d重复500个循环,以提供35nm的氮化硅,其组成为52.66原子%氮,43.99原子%硅,3.02原子%氧和0.74原子%碳,并且氯无法检测。密度为2.56g/cm3
使用与上述相似的条件进行了另外的ALD实验以进一步表征1,1,1,4,4,4-六氯-1,4-二硅杂丁烷和1,1,1,5,5,5-六氯-1,5-二硅杂戊烷作为合适的ALD前体。图3提供了使用NH3/氩等离子体对于SiCl4、1,1,1,4,4,4-六氯-1,4-二硅杂丁烷和1,1,1,5,5,5-六氯-1,5-二硅杂戊烷的厚度与循环数,证明了1,1,1,1,5,5,5-六氯-1,5-二硅杂戊烷的生长速率为/循环,这远高于SiCl4的生长速率(/>/循环)。
实施例3:使用1,1,1,5,5,5-六氯-1,5-二硅杂戊烷、NH3/氩等离子体和氮等离子体的ALD氮化硅
将硅晶片装载到配备有喷头设计和13.56MHz直接等离子体的CN-1反应器中,并加热到300℃,使用1托的室压力。使用鼓泡或蒸气抽吸将作为硅前体的1,1,1,5,5,5-六氯-1,5-二硅杂戊烷作为蒸气输送到反应器中。
ALD循环由表1中提供的工艺步骤组成,并使用以下工艺参数:
a.将1,1,1,5,5,5-六氯-1,5-二硅杂戊烷的蒸气引入反应器中
氩流量:通过前体容器100sccm
脉冲:3秒
Ar流量:1000sccm
b.吹扫
氩流量:1000sccm
吹扫时间:30秒
c.引入氨等离子体
氩流量:1000scm
氨流量:200sccm
等离子体功率:300W
脉冲:5秒
d.吹扫
氩流量:1000sccm
吹扫时间:15秒
e.引入氮等离子体
氩流量:1000sccm
氮流量:500sccm
等离子体功率:300W
脉冲:5秒
f.吹扫
氩流量:1000sccm
吹扫时间:15秒
将步骤a至f重复500个循环,以提供19.5nm的氮化硅,其组成为51.44原子%氮,45.13原子%硅,2.82原子%氧和0.61原子%氯,并且碳无法检测。
尽管以上参考某些特定实施方式和工作实施例进行了说明和描述,但是本发明并不旨在限于所示的细节。而是,可以在权利要求等同的广度和范围内在细节上进行各种修改,且没有背离本发明的精神。明确地表明了例如在本文件中广泛描述的所有范围在其范围内包括落入该较宽范围内的所有较窄范围。

Claims (20)

1.一种通过等离子体增强ALD工艺形成氮化硅或碳掺杂的氮化硅的方法,所述方法包括:
a)在反应器中提供包含表面特征的衬底;
b)向所述反应器中引入具有下式I的具有一个C2-3亚烷基连接的硅前体化合物:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,以及C1至C3烷基基团;R1是具有2至10个碳原子的C2-3亚烷基基团,其中所述硅前体在所述衬底的至少一部分表面特征上反应以提供化学吸附层;
c)使用惰性气体吹扫所述反应器中任何未反应的硅前体和/或任何反应副产物;
d)向所述反应器中提供包含氨源的等离子体以与所述化学吸附层反应而形成任选碳掺杂的氮化硅膜;和
e)使用惰性气体吹扫所述反应器中来自步骤d的任何进一步的反应副产物;
其中重复步骤b至e,直至沉积所需厚度的氮化硅膜;并且其中将所述反应器维持在25℃至600℃范围的一个或多个温度下。
2.根据权利要求1所述的方法,其中所述硅前体选自1,1,1,4,4,4-六氯-1,4-二硅杂丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二硅杂丁烷、1,1,1,4,4-五氯-1,4-二硅杂戊烷、1,1,1,4,4-五氯-2-甲基-1,4-二硅杂戊烷、2,2,5,5-四氯-2,5-二硅杂己烷、2,2,5,5-四氯-3-甲基-2,5-二硅杂己烷、1,1,1,5,5,5-六氯-1,5-二硅杂戊烷、2,2,6,6-四氯-3-甲基-2,6-二硅杂庚烷、1,1,4,4-四氯-1,4-二硅杂戊烷、1,1,4,4-四氯-2-甲基-1,4-二硅杂戊烷、1,1,4,4,4-五氯-1,4-二硅杂丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二硅杂丁烷、1,4,4,4-四氯-1,4-二硅杂丁烷、1,4,4,4-四氯-2-甲基-1,4-二硅杂丁烷、1,4,4-三氯-1,4-二硅杂戊烷、1,4,4-三氯-2-甲基-1,4-二硅杂戊烷、1,1,5,5,5-五氯-1,5-二硅杂戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二硅杂戊烷、1,1,5,5-四氯-1,5-二硅杂己烷、1,1,5,5-四氯-2-甲基-1,5-二硅杂己烷、1,5,5,5-四氯-1,5-二硅杂戊烷、1,5,5,5-四氯-2-甲基-1,5-二硅杂戊烷、1,5,5-三氯-1,5-二硅杂己烷和1,5,5-三氯-2-甲基-2,6-二硅杂己烷。
3.根据权利要求1所述的方法,其中所述氮化硅膜是碳掺杂的氮化硅膜。
4.根据权利要求1所述的方法,进一步包括:
在400至1000℃范围的温度下用尖峰退火处理所述氮化硅膜。
5.根据权利要求1所述的方法,进一步包括:
在所述氮化硅膜沉积期间或沉积之后,将所述氮化硅膜暴露于UV光源。
6.根据权利要求1所述的方法,进一步包括:
将所述氮化硅膜暴露于包含氢或惰性气体或氮的等离子体。
7.根据权利要求1所述的方法,进一步包括:
原位或在不同于所述反应器的单独室中,在从环境温度到1000℃范围内的一个或多个温度下用氧源处理所述氮化硅膜,以将所述氮化硅膜转化成氮氧化硅膜。
8.根据权利要求7所述的方法,其中所述氮化硅膜是碳掺杂的氮化硅膜,并且其中所述用氧源处理的步骤将所述碳掺杂的氮化硅膜转化成碳掺杂的氮氧化硅膜。
9.根据权利要求1所述的方法,其中所述氮化硅膜具有7或更低的介电常数k、且具有通过X-射线光电子能谱测得的1原子%或更少的碳含量、还具有通过X-射线光电子能谱测得的5原子%或更少的氧含量和90%或更高的台阶覆盖率。
10.根据权利要求1所述的方法,进一步包括在300℃至1000℃范围的温度下对所述氮化硅膜进行热退火。
11.根据权利要求1所述的方法,进一步包括用选自惰性气体等离子体、氢/惰性气体等离子体和包含氮的等离子体的等离子体在25℃至600℃范围的温度下对所述氮化硅膜进行等离子体处理。
12.根据权利要求3所述的方法,进一步包括用选自惰性气体等离子体、氢/惰性气体等离子体和包含氮的等离子体的等离子体在25℃至600℃范围的温度下对所述碳掺杂的氮化硅膜进行等离子体处理。
13.根据权利要求7所述的方法,进一步包括用选自惰性气体等离子体、氢/惰性气体等离子体和包含氮的等离子体的等离子体在25℃至600℃范围的温度下对所述氮氧化硅膜进行等离子体处理。
14.根据权利要求8所述的方法,进一步包括用选自惰性气体等离子体、氢/惰性气体等离子体和包含氮的等离子体的等离子体在25℃至600℃范围的温度下对碳掺杂的氮氧化硅膜进行等离子体处理。
15.一种通过等离子增强的ALD工艺形成氮化硅或碳掺杂的氮化硅的方法,所述方法包括:
a)在反应器中提供包含表面特征的衬底;
b)向所述反应器中引入一种具有下式I的具有一个C2-3亚烷基连接的硅前体化合物,其中至少一种前体在所述衬底的至少一部分表面特征上反应以提供化学吸附层:
R3-nXnSi-R1-SiXmR2 3-m
I
其中X=Cl、Br或I;n=1、2或3;m=1、2或3;R和R2各自独立地选自氢原子,以及C1至C3烷基基团;R1是具有2至10个碳原子的C2-3亚烷基基团,其中所述硅前体在所述衬底表面的至少一部分上反应以提供化学吸附层;
c)使用惰性气体吹扫所述反应器中任何未反应的硅前体和/或任何反应副产物;
d)向所述反应器中提供第一等离子体源以与所述化学吸附层反应而形成任选碳掺杂的氮化硅膜;和
e)使用惰性气体吹扫所述反应器中任何进一步的反应副产物;
f)向所述反应器中提供第二等离子体源以进一步与所述化学吸附层反应而进一步形成任选碳掺杂的氮化硅膜;
g)使用惰性气体吹扫所述反应器中任何进一步的反应副产物;
其中重复步骤b至g直至沉积所需厚度的膜;并且其中将所述反应器维持在25℃至600℃范围的一个或多个温度下。
16.根据权利要求15所述的方法,其中所述第一等离子体源包含氨源和所述第二等离子体源包含氮源。
17.根据权利要求15所述的方法,其中所述第一等离子体源包含氮源和所述第二等离子体源包含氨源。
18.根据权利要求15所述的方法,其中所述硅前体选自1,1,1,4,4,4-六氯-1,4-二硅杂丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二硅杂丁烷、1,1,1,4,4-五氯-1,4-二硅杂戊烷、1,1,1,4,4-五氯-2-甲基-1,4-二硅杂戊烷、2,2,5,5-四氯-2,5-二硅杂己烷、2,2,5,5-四氯-3-甲基-2,5-二硅杂己烷、1,1,1,5,5,5-六氯-1,5-二硅杂戊烷、2,2,6,6-四氯-3-甲基-2,6-二硅杂庚烷、1,1,4,4-四氯-1,4-二硅杂戊烷、1,1,4,4-四氯-2-甲基-1,4-二硅杂戊烷、1,1,4,4,4-五氯-1,4-二硅杂丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二硅杂丁烷、1,4,4,4-四氯-1,4-二硅杂丁烷、1,4,4,4-四氯-2-甲基-1,4-二硅杂丁烷、1,4,4-三氯-1,4-二硅杂戊烷、1,4,4-三氯-2-甲基-1,4-二硅杂戊烷、1,1,5,5,5-五氯-1,5-二硅杂戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二硅杂戊烷、1,1,5,5-四氯-1,5-二硅杂己烷、1,1,5,5-四氯-2-甲基-1,5-二硅杂己烷、1,5,5,5-四氯-1,5-二硅杂戊烷、1,5,5,5-四氯-2-甲基-1,5-二硅杂戊烷、1,5,5-三氯-1,5-二硅杂己烷和1,5,5-三氯-2-甲基-2,6-二硅杂己烷。
19.一种适用于半导体工业或显示器应用的并且使用权利要求1的方法沉积的氮化硅或碳掺杂的氮化硅膜,其中所述膜具有通过X-射线光电子能谱测得的1原子%或更少的碳含量、且具有通过X-射线光电子能谱测得的5原子%或更少的氧含量和90%或更高的台阶覆盖率。
20.一种适用于半导体工业或显示器应用的并且使用权利要求15的方法沉积的氮化硅或碳掺杂的氮化硅,其中所述膜具有通过X-射线光电子能谱测得的1原子%或更少的碳含量、且具有通过X-射线光电子能谱测得的5原子%或更少的氧含量和90%或更高的台阶覆盖率。
CN201980062463.1A 2018-09-24 2019-09-24 用于制备含硅和氮的膜的方法 Active CN112805405B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410410393.7A CN118326372A (zh) 2018-09-24 2019-09-24 用于制备含硅和氮的膜的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862735603P 2018-09-24 2018-09-24
US62/735,603 2018-09-24
PCT/US2019/052626 WO2020068770A1 (en) 2018-09-24 2019-09-24 Methods for making silicon and nitrogen containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410410393.7A Division CN118326372A (zh) 2018-09-24 2019-09-24 用于制备含硅和氮的膜的方法

Publications (2)

Publication Number Publication Date
CN112805405A CN112805405A (zh) 2021-05-14
CN112805405B true CN112805405B (zh) 2024-04-23

Family

ID=69953292

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410410393.7A Pending CN118326372A (zh) 2018-09-24 2019-09-24 用于制备含硅和氮的膜的方法
CN201980062463.1A Active CN112805405B (zh) 2018-09-24 2019-09-24 用于制备含硅和氮的膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202410410393.7A Pending CN118326372A (zh) 2018-09-24 2019-09-24 用于制备含硅和氮的膜的方法

Country Status (5)

Country Link
US (1) US20220037144A1 (zh)
KR (1) KR20210047966A (zh)
CN (2) CN118326372A (zh)
TW (1) TWI728478B (zh)
WO (1) WO2020068770A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
TW202413715A (zh) * 2022-05-24 2024-04-01 美商蘭姆研究公司 混合式原子層沉積

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
KR100568448B1 (ko) * 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
WO2014077209A1 (ja) * 2012-11-14 2014-05-22 ピーエスフォー ルクスコ エスエイアールエル 半導体装置およびその製造方法
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
CN108778739B (zh) * 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备
JP7203515B2 (ja) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
US20210398796A1 (en) * 2018-10-03 2021-12-23 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
CN107923040A (zh) * 2015-07-31 2018-04-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Also Published As

Publication number Publication date
CN112805405A (zh) 2021-05-14
US20220037144A1 (en) 2022-02-03
TW202020206A (zh) 2020-06-01
TWI728478B (zh) 2021-05-21
CN118326372A (zh) 2024-07-12
KR20210047966A (ko) 2021-04-30
WO2020068770A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
CN110872703B (zh) 用于制备含硅和氮的膜的方法
CN110872700B (zh) 制备具有高碳含量的含硅膜的方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
CN112805405B (zh) 用于制备含硅和氮的膜的方法
CN112969817B (zh) 含硅膜的高温原子层沉积
US20220213597A1 (en) Compositions and methods using same for thermal deposition silicon-containing films
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法
Si I4 PCl I

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant