CN112969818A - 用于制备含硅和氮的膜的方法 - Google Patents

用于制备含硅和氮的膜的方法 Download PDF

Info

Publication number
CN112969818A
CN112969818A CN201980073853.9A CN201980073853A CN112969818A CN 112969818 A CN112969818 A CN 112969818A CN 201980073853 A CN201980073853 A CN 201980073853A CN 112969818 A CN112969818 A CN 112969818A
Authority
CN
China
Prior art keywords
pentachloro
plasma
silicon nitride
reactor
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980073853.9A
Other languages
English (en)
Inventor
雷新建
金武性
李世远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN112969818A publication Critical patent/CN112969818A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

一种用于通过等离子体ALD工艺形成可以为掺杂碳的氮化硅膜的方法包括将衬底引入反应器中,该反应器被加热至最高约600℃。引入至少一种如本文定义的并具有一个或两个Si‑C‑Si键的硅前体以在衬底上形成化学吸附的膜。然后用合适的惰性气体吹扫反应器中任何未消耗的前体和/或反应副产物。将包含氮的等离子体引入反应器中以与化学吸附的膜反应而形成可以为掺杂碳的氮化硅膜。再次用合适的惰性气体吹扫反应器的任何反应副产物。根据需要重复这些步骤以使可以为掺杂碳的沉积的氮化硅膜达到预定的厚度。

Description

用于制备含硅和氮的膜的方法
相关申请的交叉引用
本申请要求于2018年10月3日提交的美国临时专利申请第62/740,478号的优先权,其全部内容通过引用并入本文。
发明领域
本发明涉及用于制造电子器件的组合物和方法。更具体地,本发明涉及用于沉积低介电常数(<6.0)和高氧灰化抗性的含硅膜(例如但不限于化学计量的氮化硅、掺碳杂氮化硅膜和掺碳杂氮氧化硅膜)的化合物、组合物和方法。
发明背景
氮化硅膜用于半导体中的各种应用。例如,氮化硅膜用作集成电路的最终钝化和机械保护层,用于硅的选择性氧化的掩模层,用作DRAM电容器或3D NAND闪存芯片的堆叠的氧化物-氮化物-氧化物(ONO)层中的介电材料之一,或用作浅沟槽隔离应用中的CMP停止层。在一个特定的应用中,3D NAND闪存中的O-N-O堆叠需要具有低应力和在磷酸中的高湿式蚀刻速率的氮化硅。
Olsen,“Analysis of LPCVD Process Conditions for the Deposition of LowStress Silicon Nitride”,5Materials Science in Semiconductor Process 51(2002)描述了用于通过低压化学气相沉积优化低应力氮化硅膜的沉积的宽范围工艺条件。结果表明,通过增加气流使折射率提高到超过2.3不会明显降低残余应力,但会对厚度均匀性和沉积速率产生显著的不利影响。
Taylor等,“Hexachlorodisilane as a Precursor in the LPCVD of SiliconDioxide and Silicon Oxynitride Films”,136J.Electrochem.Soc.2382(1989)描述了使用Si2Cl6、N2和NH3的气相混合物通过LPCVD生长二氧化硅和氮氧化硅的膜。二氧化硅和氮氧化硅的膜使用HCDS、N2O和NH3的气相混合物在600-850℃的温度范围内,通过LPCVD生长。沉积的二氧化硅和氮氧化硅膜显示出低氯含量,通常<1%原子百分数。
M.Tanaka等,“Film Properties of Low-k Silicon Nitride Films Formed byHexachlorodisilane and Ammonia”,147J.Electrochem.Soc.2284(2000)描述了一种低温工艺,通过使用六氯乙硅烷(HCD)的低压化学气相沉积(LPCVD)形成的氮化硅(SiN)具有良好的阶梯覆盖率。
JP2000100812描述了一种使用SiCl4和NH3作为源气体沉积膜的方法。可以在沉积之前使用NH3使衬底表面氮化。形成了具有改善的绝缘性能的极薄的膜。该氮化硅膜可用作半导体集成电路的电容器绝缘体膜。
美国专利第6,355,582号描述了一种用于形成氮化硅膜的方法,其中对待形成膜的衬底进行加热,并将四氯化硅和氨气体供应至加热到预定温度的衬底。
美国专利第10,049,882号描述了一种用于制造半导体器件的原子层沉积(ALD)方法,包括在具有高度差的结构上形成介电层的步骤。该方法包括在衬底上形成具有高度差的结构,并在该结构上形成介电层结构。形成介电层结构包括在具有高度差的结构上形成包含氮化硅的第一介电层。形成第一介电层包括将包含作为硅前体的五氯乙硅烷(PCDS)或二异丙基胺五氯乙硅烷(DPDC)的第一气体和包含氮组分的第二气体进料到包含衬底的腔室中,从而第一介电层在具有高度差的结构上原位形成。
PCT公开号WO2018063907公开了一类氯代二硅氮烷、由其合成的硅-杂原子化合物、包含该硅-杂原子化合物的装置、制备该氯代二硅氮烷、该硅-杂原子化合物和该装置的方法;以及该氯代二硅氮烷、该硅杂原子化合物和该装置的用途。
PCT公开号WO2018057677公开了一种用于膜形成的组合物,其包含三氯乙硅烷作为硅前体。该组合物包含硅前体化合物及惰性气体、分子氢、碳前体、氮前体和氧前体中的至少一种。该公开还公开了一种使用该硅前体物化合物在衬底上形成含硅膜的方法和由此形成的含硅膜。
美国专利第9,984,868号公开了在衬底上沉积氮化硅膜的循环方法。在一个实施方式中,这种方法包括将卤硅烷作为硅前体供应到反应器中;向反应器供应吹扫气体;和将离子化的氮前体提供到反应器中以与衬底反应并形成氮化硅膜。
最后,美国专利公开号2009/0155606公开了在衬底上沉积氮化硅膜的循环方法。在一个实施方式中,一种方法包括将氯硅烷供应到反应器中,衬底在该反应器中处理;向反应器供应吹扫气体;并将氨等离子体提供给反应器。该方法允许在低处理温度下和以高沉积速率形成氮化硅膜。所得的氮化硅膜具有相对少的杂质和相对高的质量。另外,可以形成具有高纵横比特征上的良好阶梯覆盖率及薄且均匀的厚度的氮化硅膜。
本领域需要提供一种用于沉积高碳含量(例如,通过X射线光电子能谱(XPS)测量的约10原子%或更高的碳含量)掺杂的含硅膜以用于电子工业中某些应用的组合物及其使用方法。
因此,需要开发一种使用化学气相沉积(CVD)或原子层沉积(ALD)工艺或ALD样工艺(例如但不限于循环化学气相沉积工艺)来形成高质量氮化硅或碳掺杂氮化硅的方法。一种特定的应用,例如,3D NAND闪存中的O-N-O堆叠,需要氮化硅、氮氧化硅或碳氮氧化硅膜,其表现出低应力和/或在磷酸中的高湿式蚀刻速率。此外,可能需要开发在CVD、ALD或ALD样工艺中的低温沉积(例如,在约500℃或更低的一个或多个温度下沉积)以改善一种或多种膜性质,例如但不限于,纯度和/或密度。
先前确定的专利、专利申请和公开的公开内容通过引用并入本文。
本领域需要提供一种组合物和使用该组合物的方法,其用于沉积具有以下特征的氮化硅或碳掺杂氮化硅:a)如通过X射线光电子能谱(XPS)测量的,碳含量为约5原子%或更少、约3原子%或更少、约2原子%或更少、约1原子%或甚至更少,优选化学计量的氮化硅;b)如通过X射线光电子能谱法(XPS)测量的,氧含量为约5原子%或更少、约3原子%或更少、约2原子%或更少、约1原子%或更少;阶梯覆盖率为90%或更高、95%或更高、99%或更高。
发明概述
在一个方面中,通过提供一种用于通过等离子体ALD工艺形成可以为碳掺杂的氮化硅膜的方法来满足上述需求。根据该方法,将包含表面特征的衬底引入反应器中。将反应器加热至最高约600℃的范围的一个或多个温度。反应器可以维持在100托或更低的压力下。将至少一种硅前体引入反应器中,所述硅前体具有一个或两个Si-C-Si键并选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯(pentxachloro)-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷,以在衬底上形成化学吸附膜。
然后用合适的惰性气体吹扫反应器中任何未消耗的前体和/或反应副产物。将包含氮的等离子体引入反应器中以与化学吸附的膜反应而形成可以为碳掺杂的氮化硅膜。
接下来,再次用合适的惰性气体吹扫反应器中的任何反应副产物。根据需要重复引入前体、吹扫(根据需要)、引入等离子体和再次吹扫(根据需要)的步骤,以使所沉积的可以为碳掺杂的氮化硅膜达到预定的厚度。
上述需求和其他需求可以通过经由等离子体ALD工艺形成氮化硅,碳掺杂氮化硅或碳掺杂氮氧化硅膜的方法进一步满足。根据该方法,将包含表面特征的衬底引入反应器中。将反应器加热至最高约600℃范围的一个或多个温度。反应器可以维持在100托或更低的压力下。将至少一种硅前体引入反应器中以在衬底上形成化学吸附膜,所述硅前体具有一个或两个Si-C-Si键并选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷和2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷。
用合适的惰性气体吹扫反应器中任何未消耗的前体和/或反应副产物。将包含氨源的等离子体引入反应器中以与化学吸附膜反应而形成氮化硅或碳掺杂氮化硅膜。
接下来,再次用合适的惰性气体吹扫反应器中的任何反应副产物。根据需要重复引入前体、吹扫(根据需要)、引入等离子体和再次吹扫(根据需要)的步骤,以使氮化硅或碳掺杂氮化硅膜达到预定的厚度。
任选地,将所得的氮化硅或碳掺杂氮化硅膜随后在从大约环境温度至1000℃,优选地从大约100℃至400℃的一个或多个温度下暴露于氧源,以将氮化硅膜转化为氮氧化硅膜,或者将碳掺杂氮化硅膜转化为碳掺杂氮氧化硅膜。
发明详述
在整个说明书中,术语“ALD或ALD样”是指包含但不限于以下过程的工艺:a)将包含硅前体和反应性气体的各种反应物顺序引入到反应器中,例如,单晶片ALD反应器、半批式ALD反应器或批式炉ALD反应器;b)通过将衬底移动或旋转至反应器的不同区段将包含硅前体和反应性气体的各种反应物暴露于衬底,并且各个区段通过惰性气体帘幕分隔开,即空间ALD反应器或卷对卷ALD反应器。
在整个说明书中,术语“包含/包括氨的等离子体”是指通过等离子体发生器原位或远程产生的反应性气体或气体混合物。该气体或气体混合物选自氨、氨和氦的混合物、氨和氖的混合物、氨和氩的混合物、氨和氮的混合物、氨和氢的混合物及其组合。
在整个说明书中,术语“惰性气体等离子体”是指通过等离子体发生器原位或远程产生的反应性的惰性气体或惰性气体混合物。惰性气体或气体混合物选自氦、氖、氩及其组合。
在整个说明书中,术语“灰化”是指在半导体制造过程中使用包含氧源的等离子体(例如,O2/惰性气体等离子体、O2等离子体、CO2等离子体、CO等离子体、H2/O2等离子体或其组合)去除光致抗蚀剂或碳硬掩模的过程。
在整个说明书中,术语“抗损伤性”是指在氧灰化过程后的膜性质。良好或高的抗损伤性定义为氧灰化后的以下膜性质:膜介电常数低于6;本体(在膜中超过
Figure BDA0003056697920000061
深处)中碳含量为灰化前的5at.%以内;通过表面附近(小于
Figure BDA0003056697920000062
的深度)和本体(大于
Figure BDA0003056697920000063
的深度)的膜之间稀HF蚀刻速率的差异观察到小于
Figure BDA0003056697920000064
的膜受到损坏。
在整个说明书中,术语“烷基烃”是指直链或支链的C1-C20烃或环状C6-C20烃。示例性的烃包括但不限于庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷和环癸烷。
在整个说明书中,术语“芳族烃”是指C6-C20芳族烃。示例性的芳族烃包括但不限于甲苯和均三甲苯。
在整个说明书中,如本文所用的术语“阶梯覆盖率”被定义为在具有通孔或沟槽或两者的结构化或特征化衬底中沉积的膜的两个厚度的百分比,其底部阶梯覆盖率是以下比率(%):特征底部处的厚度除以特征顶部处的厚度,以及中间阶梯覆盖率为(%):特征侧壁上的厚度除以特征顶部处的厚度。使用本文描述的方法沉积的膜表现出约80%或更大或者约90%或更大的阶梯覆盖率,这表明该膜是保形的。
在整个说明书中,术语“包含氨的等离子体”是指通过等离子体发生器原位或远程产生的反应性气体或气体混合物。该气体或气体混合物选自氨、氨和氦的混合物、氨和氖的混合物、氨和氩的混合物、氨和氮的混合物、氨和氢的混合物、氮、氮和氦的混合物、氮和氖的混合物、氮和氩的混合物及其组合。
在整个说明书中,术语“包含/包括氮的等离子体”是指通过等离子体发生器原位或远程产生的反应性气体或气体混合物。该气体或气体混合物选自氮、氮和氦的混合物、氮和氖的混合物、氮和氩的混合物、氨和氮的混合物、氮和氢的混合物及其组合。
本文描述了沉积具有以下特征的氮化硅或碳掺杂氮化硅的硅前体组合物和包含这种组合物的方法:a)通过X射线光电子能谱(XPS)测量的碳含量为约5原子%或更少、约3原子%或更少、约2原子%或更少、约1原子%或甚至更少,优选化学计量的氮化硅;b)通过X射线光电子能谱(XPS)测量的氧含量为约5原子%或更少、约3原子%或更少、约2原子%或更少、约1原子%或更少;阶梯覆盖率为90%或更高、95%或更高或者99%或更高。
在一个方面,用于沉积含硅膜的组合物包含:(a)至少一种具有一个或两个Si-C-Si键的硅前体化合物,其选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷;和(b)至少一种溶剂。
表1.具有一个Si-C-Si键的硅前体
Figure BDA0003056697920000081
表2.具有两个Si-C-Si键的硅前体
Figure BDA0003056697920000091
在本文所述的组合物的某些实施方式中,示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳族烃、叔氨基醚、硅氧烷及其组合。在某些实施方式中,具有一个Si-C-Si或两个Si-C-Si键的化合物的沸点与溶剂的沸点之间的差异为40℃或更低。硅前体化合物在溶剂中的重量%可以在1至99重量%或者10至90重量%或者20至80重量%或者30至70重量%或者40至60重量%,至50至50重量%之间变化。在一些实施方式中,可以使用常规的直接液体注射设备和方法经由直接液体注射将组合物递送至用于含硅膜的反应器室中。在本文描述的方法的一个实施方式中,氮化硅或碳掺杂氮化硅膜的碳含量小于5at.%或更少,并使用等离子体增强的ALD工艺沉积。在本实施方式中,该方法包括:
a.将一个或多个包含表面特征的衬底放入反应器中,并将反应器加热至环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有一个或两个Si-C-Si键的硅前体,其选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷;
c.用惰性气体吹扫,从而除去任何未反应的硅前体;
d.将包含氨源的等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂氮化硅膜;和
e.用惰性气体吹扫以除去任何反应副产物;
其中重复步骤b至e,直到沉积所需厚度的膜为止。在某些实施方式中,本文所述的方法还包括:
f.任选地,用400至1000℃温度下的热退火或尖峰退火或者UV光源对氮化硅或碳掺杂氮化硅膜掺杂碳的膜进行沉积后处理。在该实施方式或其他实施方式中,可以在膜沉积期间或一旦沉积完成时进行UV暴露步骤。
g.任选地提供沉积后暴露的步骤,将碳掺杂氮化硅膜暴露于包含氢或惰性气体或氮的等离子体以改善至少一种膜的物理性质。
在本文描述的方法的另一个实施方式中,氮化硅或碳掺杂氮化硅膜的碳含量为5at.%或更少,且使用等离子体增强的ALD工艺沉积。在本实施方式中,该方法包括:
a.将一个或多个包含表面特征的衬底放入反应器(例如,常规的ALD反应器)中,并加热反应器至从环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有一个或两个Si-C-Si键的硅前体,其选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷;
c.用惰性气体吹扫;
d.将包含/包括氨源的等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂氮化硅膜;
e.用惰性气体吹扫以除去反应副产物;
其中重复步骤b至e,直到沉积所需厚度的膜。在某些实施方式中,本文所述的方法还包括:
f.任选地,用400至1000℃的温度下的尖峰退火或者UV光源对氮化硅或碳掺杂氮化硅膜进行沉积后处理。在该实施方式或其他实施方式中,可以在膜沉积期间或一旦沉积完成时进行UV暴露步骤。
g.任选地提供沉积后暴露而将氮化硅或碳掺杂氮化硅膜暴露于包含氢或惰性气体或氮的等离子体,以改善至少一种膜物理性质。
在本文描述的方法的另一实施方式中,碳掺杂氮氧化硅的碳含量为5at.%或更少,且使用等离子体增强ALD工艺沉积。在本实施方式中,该方法包括:
a.将一个或多个包含表面特征的衬底放入反应器(例如,常规的ALD反应器)中,并加热反应器至从环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有一个或两个Si-C-Si键的硅前体,其选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷;
c.用惰性气体吹扫;
d.将包含/包括氨源的等离子体提供到反应器中以与表面反应而形成氮化硅膜;
e.用惰性气体吹扫以除去反应副产物;
其中重复步骤b至e,直到沉积所需厚度的膜。在某些实施方式中,本文所述的方法还包括:
f.在约环境温度至1000℃或约100℃至400℃范围的一个或多个温度下提供用氧源对氮化硅或碳掺杂氮化硅膜进行的沉积后处理,以在原位或在另一个腔室中将氮化硅或碳掺杂氮化硅膜转化为碳掺杂氮氧化硅膜。
在本文描述的方法的又一个实施方式中,使用等离子体增强的ALD工艺沉积具有小于5at.%的碳含量的氮化硅或碳掺杂氮化硅膜。在本实施方式中,该方法包括:
a.将一个或多个包含表面特征的衬底放入反应器中,并将反应器加热至从环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有一个或两个Si-C-Si键的硅前体,其选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷;
c.用惰性气体吹扫,从而除去任何未反应的硅前体;
d.将包含/包括氨源的第一等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂氮化硅膜;
e.用惰性气体吹扫以除去任何反应副产物;
f.向反应器中提供包含/包括氮源的第二等离子体以与表面反应而形成氮化硅或碳掺杂氮化硅膜;
g.用惰性气体吹扫以除去任何反应副产物;和
其中重复步骤b至g,直到沉积所需厚度的膜。在某些实施方式中,本文所述的方法还包括。
在本文描述的方法的又一个实施方式中,氮化硅或碳掺杂氮化硅膜的碳含量小于5at.%或更少,并使用等离子体增强的ALD工艺沉积。在本实施方式中,该方法包括:
a.将一个或多个包含表面特征的衬底放入反应器中,并将反应器加热至从环境温度至约600℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
b.向反应器中引入至少一种具有一个或两个Si-C-Si键的硅前体,其选自于1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷;
c.用惰性气体吹扫,从而除去任何未反应的硅前体;
d.将包含/包括氮源的第一等离子体提供到反应器中以与表面反应而形成氮化硅或碳掺杂氮化硅膜;
e.用惰性气体吹扫以除去任何反应副产物;
f.向反应器中提供包含/包括氨源的第二等离子体以与表面反应而形成氮化硅或碳掺杂氮化硅膜;
g.用惰性气体吹扫以除去任何反应副产物;和
其中重复步骤b至g,直到沉积所需厚度的膜。
在一个实施方式中,衬底包含至少一个特征,其中该特征包括纵横比为1:9或更大,且开口为180nm或更小的图案沟槽。
在又一个实施方式中,用于沉积含硅膜的容器包含一种或多种本文所述的硅前体化合物。在一个特定实施方式中,容器是至少一个可加压容器(优选地具有诸如在美国专利号US7334595;US6077356;US5069244;和US5465766中公开的设计的不锈钢容器,其公开内容通过引用结合于此)。容器可以包含玻璃(硼硅酸盐或石英玻璃)或316、316L、304或304L型不锈钢合金(UNS标识S31600、S31603、S30400、S30403),配备有合适的阀门和配件,以将一种或多种前体输送到反应器中用于CVD或ALD工艺。在该实施方式或其他实施方式中,硅前体在由不锈钢组成的可加压容器中提供,并且该前体的纯度为98重量%或更高或者99.5%或更高,其适用于半导体应用。硅前体化合物优选基本上不含金属离子,例如Al3+离子、Fe2+、Fe3+、Ni2+、Cr3+。如本文所用,当术语“基本上不含”涉及Al、Fe、Ni、Cr时是指通过ICP-MS测定的小于约5ppm(重量),优选通过ICP-MS测定的小于约1ppm,和更优选小于约0.1ppm,和最优选通过ICP-MS测定的约0.05ppm。在某些实施方式中,如果需要,这种容器还可以具有用于将该前体与一种或多种另外的前体混合的装置。在这些或其他实施方式中,可以将容器的内容物与另外的前体预混合。或者,可以将硅前体和/或其他前体保持在单独的容器中,或保持在具有用于在存储期间保持硅前体和其他前体分开的分离装置的单个容器中。
含硅膜沉积在诸如半导体或显示器基板的衬底的至少表面上。在本文所述的方法中,衬底可以由本领域公知的多种材料构成和/或涂覆,包括硅如晶体硅或非晶硅、氧化硅、氮化硅、无定形碳、碳氧化硅、氮氧化硅、碳化硅、锗、锗掺杂硅、硼掺杂硅、金属(如铜、钨、铝、钴、镍、钽)、金属氮化物如氮化钛、氮化钽、金属氧化物、III/V族金属或准金属如GaAs、InP、GaP和GaN、AMOLED(有源矩阵有机发光二极管)柔性衬底(例如塑料衬底)及其组合的膜。这些涂层可以完全涂覆半导体衬底,可以处于各种材料的多层中,并且可以被部分地蚀刻以暴露出下面的材料层。该表面还可以在其上具有光致抗蚀剂材料,该光致抗蚀剂材料用图案曝光并且显影以部分地涂覆衬底。在某些实施方式中,半导体衬底包含选自于孔、通孔、沟槽及其组合的至少一个表面特征。含硅膜的潜在应用包含但不限于用于FinFET或纳米片的低k间隔物,用于自对准图案化过程的牺牲硬掩模(例如SADP、SAQP或SAOP)。
用于形成含硅膜或涂层的沉积方法是沉积工艺。用于本文公开的方法的合适沉积工艺的实例包括但不限于化学气相沉积或原子层沉积工艺。如本文所用,术语“化学气相沉积工艺”是指其中将衬底暴露于一种或多种挥发性前体的任何过程,所述挥发性前体在衬底表面上反应和/或分解以产生所需的沉积。如本文所用,术语“原子层沉积工艺”是指自限性的(例如,在每一个反应循环中沉积的膜材料的量是恒定的)、顺序的表面化学,其将材料的膜沉积到不同组成的衬底上。如本文所用,术语“热原子层沉积工艺”是指在室温至600℃范围的衬底温度下,没有原位或远程等离子体的情况下进行的原子层沉积工艺。尽管本文中使用的前体、试剂和源有时可能被描述为“气态的”,但应理解,前体可以是液体或固体,其可以在有或没有惰性气体的情况下通过直接汽化、鼓泡或升华输送到反应器中。在某些情况下,汽化的前体可以通过等离子体发生器。
在一个实施方式中,使用ALD工艺沉积含硅膜。在另一个实施方式中,使用CCVD工艺沉积含硅膜。在另一个实施方式中,使用热ALD工艺沉积含硅膜。如本文所用,术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或期间分开前体的ALD或循环CVD方法避免前体的预反应。优选使用诸如ALD或CCVD工艺的沉积技术来沉积含硅膜。在一个实施方式中,通过将衬底表面交替地暴露于一种或多种含硅前体、氧源、含氮源或其他前体或试剂,通过ALD工艺在典型的单芯片ALD反应器、半分批ALD反应器或批式炉ALD反应器中沉积膜。膜生长通过表面反应的自限式控制、每一种前体或试剂的脉冲长度以及沉积温度来进行。但是,一旦衬底表面饱和,膜的生长停止。在另一个实施方式中,通过将衬底移动或旋转至反应器的不同区段将包括硅前体和反应性气体的每一种反应物暴露于衬底,并且每一个区段通过惰性气体帘幕分开(即空间ALD反应器或卷对卷ALD反应器),。
在某些实施方式中,根据沉积方法,可以以预定摩尔量(例如,从约0.1至约1000微摩尔)将本文所述的硅前体和任选地其他含硅前体引入反应器中。在该实施方式或其他实施方式中,前体可以在预定时间段内引入反应器中。在某些实施方式中,该时间段在从大约0.001秒到大约500秒的范围内。
在某些实施方式中,将用本文所述的方法沉积的氮化硅或碳掺杂硅膜用氧源、包含氧的试剂或前体(例如,水蒸气)进行处理以将此类膜转化为碳掺杂氮氧化物。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其他前体中。合适的氧源气体可包括,例如,空气、水(H2O)(例如,去离子水、纯化水、蒸馏水、水蒸气、水蒸气等离子体、过氧化氢、氧化水、空气、包含水和其他有机物的组合物)、氧气(O2)、氧等离子体、臭氧(O3)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、一氧化碳(CO)、过氧化氢(H2O2)、包含水的等离子体、包含水和氩的等离子体、过氧化氢、包含氢的组合物、包含氢和氧的组合物、二氧化碳(CO2)、空气及其组合。在某些实施方式中,氧源包含氧源气体,该氧源气体以从约1至约10000标准立方厘米(sccm)或从约1至约1000sccm范围的流速引入反应器中。氧源可以引入的时间为从约0.1秒至约100秒的范围。催化剂选自路易斯碱,例如吡啶、哌嗪、三甲胺、叔丁胺、二乙胺、三甲胺、乙二胺、氨或其他有机胺。
在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且氧源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。
在某些实施方式中,氧源连续地流入反应器中,而同时前体脉冲和等离子体依次引入。前体脉冲可以具有大于0.01秒的脉冲持续时间,而等离子体持续时间可以在0.01秒至100秒的范围内。
在某些实施方式中,含硅膜包含硅和氮。在这些实施方式中,使用本文描述的方法沉积的含硅膜是在含氮源的存在下形成的。含氮源可以以至少一种氮源气体的形式引入到反应器中和/或可以附带地存在于沉积过程中使用的其他前体中。
合适的含氨气体可包含,例如,氨、氨和惰性气体的混合物、氨和氮的混合物、氨和氢的混合物及其组合。
在某些实施方式中,将氮源以约1至约10000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。含氮源可以引入的时间范围为从约0.1至约100秒。在其中使用氮和氧源通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且氮源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒或连续脉冲而没有在其间进行吹扫。
本文公开的沉积方法包括使用吹扫气体从反应器中吹扫不需要的或未反应的材料的一个或多个步骤。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性的吹扫气体包括但不限于,氩气(Ar)、氮气(N2)、氦气(He)、氖气(Ne)、氢气(H2)及其组合。在某些实施方式中,将诸如Ar的吹扫气体以从约10至约10000sccm的流速供应至反应器中持续约0.1至1000秒,从而吹扫可能残留在反应器中的未反应的材料和任何副产物。
供应前体、氧源、含氨源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变用于供应它们的时间来进行以改变由此产生的膜的化学计量组成。
将能量施加到前体、含氨源、还原剂(例如,氢等离子体)、其他前体或其组合中的至少一种,以诱导反应并在衬底上形成膜或涂层。这种能量可以通过,但不限于,热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。
在某些实施方式中,次级RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生的过程可以包括其中直接在反应器中产生等离子体的直接等离子体发生过程,或者可选地,其中在反应器外部产生等离子体并将等离子体供应到反应器中的远程等离子体发生过程。
可以以各种方式将硅前体和/或其他含硅前体输送到反应室,例如CVD或ALD反应器。在一个实施方式中,可以利用液体输送***。在一个可选的实施方式中,可以采用组合的液体输送和闪蒸处理单元,如例如,由Shoreview,MN的MSP公司制造的涡轮汽化器,以使低挥发性物质能够被定量地输送,其导致可重复的输送和沉积而没有前体的热分解。在液体输送方式中,本文所述的前体可以以纯净液体形式输送,或者可选地,可以在溶剂制剂或包含其的组合物中使用。因此,在某些实施方式中,前体制剂可包含具有适当特性的溶剂组分,如在给定的最终用途应用中可能期望的和有利的,以在衬底上形成膜。
在这个或其他实施方式中,应理解,本文描述的方法的步骤可以以各种顺序执行,可以顺序地或同时执行(例如,在另一步骤的至少一部分期间),以及它们的任何组合。供应前体和含氮源气体的相应步骤可以通过改变用于供应他们的持续时间来进行,以改变所得含硅膜的化学计量组成。
在本文描述的方法的再进一步的实施方式中,对膜或如此沉积的膜进行处理步骤。处理步骤可以在沉积步骤的至少一部分期间、在沉积步骤之后及以其组合进行。示例性的处理步骤包括但不限于,通过高温热退火进行的处理;等离子体处理;紫外(UV)光处理;激光;电子束处理及其组合,以影响膜的一种或多种性能。与在相同条件下用先前公开的硅前体沉积的膜相比,用本文所述的具有一个或两个Si-C-Si键的硅前体沉积的膜具有改善的性能,例如但不限于,湿蚀刻速率低于处理步骤之前的膜的湿蚀刻速率或密度高于处理步骤之前的密度。在一个特定实施方式中,在沉积工艺过程中,如此沉积的膜进行间歇处理。这些间歇或沉积中处理可以,例如在每一个ALD循环之后、在一定数量的ALD之后进行,例如但不限于一(1)个ALD循环、两(2)个ALD循环、五(5)个ALD循环或者每十(10)个或更多个ALD循环之后。
在其中用高温退火步骤处理膜的实施方式中,退火温度为至少100℃大于沉积温度。在该实施方式或其他实施方式中,退火温度在约400℃至约1000℃的范围内。在该实施方式或其他实施方式中,退火处理可以在真空(<760托)、惰性环境或含氧环境(例如,臭氧、H2O、H2O2、N2O、NO2或O2)中进行。
在其中膜进行UV处理的实施方式中,膜暴露于宽带UV或可选地波长范围为从约150纳米(nm)至约400nm的UV源。在一个特定的实施方式中,在达到期望的膜厚度之后,将如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中用等离子体处理膜的实施方式中,沉积钝化层如碳掺杂氧化硅以防止在随后的等离子体处理中氯和氮污染渗透膜。可以使用原子层沉积或循环化学气相沉积来沉积钝化层。
在其中用等离子体处理膜的实施方式中,等离子体源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体。氢等离子体降低膜的介电常数,并增强了对后续等离子体灰化过程的破坏抗性,同时仍使本体中的碳含量几乎保持不变。
以下实施例说明了本发明的某些方面,并且不限制所附权利要求的范围。
实施例
在以下实施例中,除非另有说明,否则将从沉积在作为衬底的具有5-20Ω-cm的电阻率的硅晶片上的样品膜来获得性能。使用具有13.56MHz直接等离子体的喷头设计的CN-1反应器进行所有膜沉积。
在典型的工艺条件下,除非另有说明,否则室压力固定在从约1至约5托范围的压力下。另外的惰性气体用于维持室压力。
膜沉积包括用于等离子体增强ALD的表3、4和5中列出的步骤。除非另有说明,否则使用总共100或200或300或500个沉积循环以获得所需的膜厚度。
表3.PEALD氮化硅或碳掺杂氮化硅膜中的沉积步骤
Figure BDA0003056697920000201
表4.PEALD氮化硅或碳掺杂氮化硅膜中的沉积步骤
Figure BDA0003056697920000202
Figure BDA0003056697920000211
表5:PEALD氮化硅或碳掺杂氮化硅膜中的沉积步骤
Figure BDA0003056697920000212
使用椭偏仪测量沉积的膜的折射率(RI)和厚度。膜不均匀度使用标准公式计算:%不均匀度=((最大厚度–最小厚度)/(2*平均(avg)厚度))。使用傅立叶变换红外(FTIR)光谱和X射线光电子能谱(XPS)分析膜的结构和组成。膜的密度通过X射线反射法(XRR)测量。
实施例1:使用1,1,1,3,3-五氯-1,3-二硅杂丁烷和NH3/氩等离子体的ALD氮化硅
将硅晶片装载到配备具有13.56MHz直接等离子体的喷头设计的CN-1反应器中,其具有1托的室压力。使用鼓泡或蒸气抽吸将作为硅前体的1,1,1,3,3-五氯-1,3-二硅杂丁烷以蒸气形式输送到反应器中。
ALD循环由表3中提供的工艺步骤组成,并使用以下工艺参数:
a.在反应器中提供衬底并将衬底加热至约300℃
b.将1,1,1,3,3-五氯-1,3-二硅杂丁烷蒸气引入反应器
氩气流:100sccm通过前体容器
脉冲:2秒
Ar气流:1000sccm
c.吹扫
氩气流:1000sccm
吹扫时间:10秒
d.引入氨等离子体
氩气流:1000sccm
氨气流:300sccm
等离子功率:300W
脉冲:15秒
e.吹扫
氩气流:1000sccm
吹扫时间:5秒
将步骤b至e重复1000个循环以提供32nm的氮化硅,其组成为58.66at.%氮、38.96at.%硅和2.37at.%氧。氯和碳均不可检测。折射率约为1.9。
实施例2:使用1,1,1,3,3-五氯-1,3-二硅杂丁烷和NH3/氩等离子体的ALD氮化硅
将硅晶片装载到配备具有13.56MHz直接等离子体的喷头设计的CN-1反应器中,其具有1托的室压力。使用鼓泡将1,1,1,3,3-五氯-1,3-二硅杂丁烷以蒸气形式输送到反应器中。
ALD循环包含表1中提供的工艺步骤,并使用以下工艺参数:
a)在反应器中提供衬底并将衬底加热至约400℃;
b)将1,1,1,3,3-五氯-1,3-二硅杂丁烷蒸气引入反应器;
氩气流:100sccm通过前体容器
脉冲:2秒
氩气:1000sccm
c)惰性气体吹扫
氩气流:1000sccm
吹扫时间:15秒
d)引入氨等离子体
氩气流:1000sccm
氨气流:50sccm
等离子功率:300W
脉冲:10秒
e)吹扫
氩气流:1000sccm
吹扫时间:10秒
将步骤b至e重复1000个循环以提供26nm的氮化硅,其组成为58.30at.%氮、39.15at.%硅、2.55at.%氧。通过XPS测量,氯和碳不可检测。在该工作实施例中所得膜的组成接近化学计量的氮化硅。折射率约为1.9。
尽管以上参考某些特定实施方式和工作实施例说明和描述了本发明,但是本发明并不旨在限于所示出的细节。而是,可以在权利要求的等同物的界限和范围内在细节上进行各种修改,而不背离本发明的精神。明确地意图是,例如,在本文中广泛地述及的所有范围在其界限内包含落入该较宽范围内的所有较窄范围。

Claims (24)

1.一种用于通过等离子体增强的ALD工艺形成氮化硅或碳掺杂氮化硅的方法,该方法包括:
a)在反应器中提供包含表面特征的衬底,并将反应器加热至高达约600℃的一个或多个温度,并且任选地将所述反应器保持在100托或更低的压力下;
b)将至少一种具有一个或两个Si-C-Si键并且选自1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷、2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷的硅前体引入所述反应器中,从而使所述硅前体在所述衬底的表面特征的至少一部分上反应以提供化学吸附层;
c)使用惰性气体吹扫所述反应器中任何未反应的硅前体和/或反应副产物;
d)向所述反应器中提供含有氨源的等离子体以与所述化学吸附层反应而形成氮化硅膜;和
e)用惰性气体吹扫所述反应器中任何进一步的反应副产物;
其中重复步骤b至e,直到沉积所需厚度的所述氮化硅膜。
2.根据权利要求1所述的方法,其中所述氮化硅膜是碳掺杂氮化硅膜。
3.根据权利要求1所述的方法,还包括:
在400到1000℃范围的温度下用尖峰退火处理所述氮化硅膜。
4.根据权利要求1所述的方法,还包括:
在所述氮化硅膜的沉积期间或之后,将所述氮化硅膜暴露于UV光源。
5.根据权利要求1所述的方法,还包括:
将所述氮化硅膜暴露于包含选自氢气、惰性气体、氮气及其组合的一种或多种气体的等离子体。
6.根据权利要求1所述的方法,还包括:
在环境温度至1000℃范围的一个或多个温度下,原位或在与所述反应器不同的室中,用氧源处理所述氮化硅膜以将所述氮化硅转化为氮氧化硅膜。
7.根据权利要求6所述的方法,其中所述氮化硅膜是碳掺杂氮化硅膜,并且其中用氧源处理所述氮化硅膜的步骤将所述碳掺杂氮化硅转换为碳掺杂氮氧化硅膜。
8.根据权利要求1所述的方法形成的膜,其具有约6或更小的介电常数(k)和通过X射线光电子能谱测量的约5原子量%或更少的碳含量。
9.根据权利要求8所述的膜,其具有通过X射线光电子能谱测量的约5原子量百分比或更少的碳含量。
10.根据权利要求9所述的膜,其具有通过X射线光电子能谱测量的约3原子量百分比或更少的碳含量。
11.根据权利要求10所述的膜,其具有通过X射线光电子能谱测量的约2原子量百分比或更少的碳含量。
12.根据权利要求11所述的膜,其具有通过X射线光电子能谱测量的约1原子量百分比或更少的碳含量。
13.根据权利要求1所述的方法,其进一步包括在约300至约1000℃的温度下对所述氮化硅或碳掺杂氮化硅膜进行热退火。
14.根据权利要求1所述的方法,还包括在约25℃至约600℃范围的温度下,用惰性气体等离子体或氢/惰性等离子体或氮等离子体对所述氮化硅膜进行等离子体处理。
15.根据权利要求2所述的方法,进一步包括在约25℃至约600℃范围的温度下,用惰性气体等离子体或氢/惰性等离子体或氮等离子体对所述碳掺杂氮化硅膜进行等离子体处理。
16.根据权利要求6所述的方法,进一步包括在约25℃至约600℃范围的温度下,用惰性气体等离子体或氢/惰性等离子体或氮等离子体对所述氮氧化硅膜进行等离子体处理。
17.根据权利要求7所述的方法,进一步包括在约25℃至约600℃范围的温度下,用惰性气体等离子体或氢/惰性等离子体或氮等离子体对所述碳掺杂氮氧化硅膜进行等离子体处理。
18.一种用于通过等离子体增强的ALD工艺形成氮化硅或碳掺杂氮化硅的方法,所述方法包括:
a)在反应器中提供包含表面特征的衬底;
b)向反应器中引入至少一种具有一个或两个Si-C-Si键并且选自1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷和2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷的硅前体,从而使所述硅前体在所述衬底的表面特征的至少一部分上反应以提供化学吸附层;
c)使用惰性气体吹扫所述反应器中任何未反应的硅前体和/或任何反应副产物;
d)向所述反应器中提供第一等离子体源以与所述化学吸附层反应而形成任选地碳掺杂的氮化硅膜;
e)使用惰性气体吹扫所述反应器中任何进一步的反应副产物;
f)向所述反应器中提供第二等离子体源以进一步反应并形成任选地碳掺杂的氮化硅膜;
g)使用惰性气体吹扫所述反应器中任何进一步的反应副产物;
其中重复步骤b至g,直到任选地碳掺杂的所述氮化硅膜达到所需的厚度,并且其中所述反应器维持在约25℃至约600℃范围的一个或多个温度下。
19.根据权利要求18所述的方法,其中所述等离子体是包含氨源的等离子体,并且所述第二等离子体是包含氮源的等离子体。
20.根据权利要求18所述的方法,其中所述第一等离子体是包含氮源的等离子体,并且所述第二等离子体是包含氨源的等离子体。
21.一种不锈钢容器,其容纳包含至少一种硅前体的组合物,所述硅前体具有一个或两个Si-C-Si键并且选自1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3-五氯-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂丁烷、1,1,1,3,3-五氯-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2,2-二甲基-1,3-二硅杂戊烷、1,1,1,3,3-五氯-2-乙基-1,3-二硅杂戊烷、1,1,1,3,3,5,5-七氯-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-3,3-二甲基-1,3,5-三硅杂己烷、1,1,1,5,5-五氯-1,3,5-三硅杂己烷和2,2,4,6,6-五氯-4-甲基-2,4,6-三硅杂庚烷。
22.根据权利要求21所述的不锈钢容器,其进一步容纳选自氦气、氩气、氮气及其组合的惰性顶空气体。
23.一种适用于半导体工业或显示器应用并使用根据权利要求1所述的方法沉积的氮化硅或碳掺杂氮化硅膜。
24.一种适用于半导体工业或显示器应用并使用根据权利要求18所述的方法沉积的氮化硅或碳掺杂氮化硅膜。
CN201980073853.9A 2018-10-03 2019-10-02 用于制备含硅和氮的膜的方法 Pending CN112969818A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862740478P 2018-10-03 2018-10-03
US62/740,478 2018-10-03
PCT/US2019/054268 WO2020072625A1 (en) 2018-10-03 2019-10-02 Methods for making silicon and nitrogen containing films

Publications (1)

Publication Number Publication Date
CN112969818A true CN112969818A (zh) 2021-06-15

Family

ID=70055085

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980073853.9A Pending CN112969818A (zh) 2018-10-03 2019-10-02 用于制备含硅和氮的膜的方法

Country Status (8)

Country Link
US (1) US20210398796A1 (zh)
EP (1) EP3844318A4 (zh)
JP (2) JP7421551B2 (zh)
KR (1) KR20210055098A (zh)
CN (1) CN112969818A (zh)
SG (1) SG11202103231VA (zh)
TW (2) TWI767661B (zh)
WO (1) WO2020072625A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220037144A1 (en) * 2018-09-24 2022-02-03 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN115505901A (zh) * 2022-09-27 2022-12-23 江苏舜大新能源科技有限公司 一种异质结太阳能电池镀膜方法及其设备

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009060125A1 (en) * 2007-11-06 2009-05-14 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
CN101939465A (zh) * 2008-03-26 2011-01-05 Jsr株式会社 化学气相沉积法用材料和含硅绝缘膜及其制造方法
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
CN103890910A (zh) * 2011-09-23 2014-06-25 诺发***公司 等离子体活化保形电介质膜沉积
AU2010321034B2 (en) * 2009-11-18 2016-03-31 Evonik Degussa Gmbh Method for producing silicon layers
CN105862010A (zh) * 2015-02-06 2016-08-17 诺发***公司 碳化硅膜的共形沉积
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
CN107430992A (zh) * 2015-03-17 2017-12-01 应用材料公司 用于膜沉积的脉冲化等离子体
KR20180010994A (ko) * 2016-07-22 2018-01-31 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
CN107923040A (zh) * 2015-07-31 2018-04-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
WO1998027247A1 (en) 1996-12-17 1998-06-25 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
JP4209563B2 (ja) 1999-09-17 2009-01-14 東京エレクトロン株式会社 窒化シリコン膜の形成方法
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US8951342B2 (en) * 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP4451457B2 (ja) * 2007-02-26 2010-04-14 富士通株式会社 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
CN102187011B (zh) * 2008-10-20 2014-04-09 陶氏康宁公司 Cvd前体
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5781350B2 (ja) * 2011-03-30 2015-09-24 リンテック株式会社 ガスバリア積層体、その製造方法、電子デバイス用部材及び電子デバイス
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
TWI449802B (zh) * 2012-06-06 2014-08-21 Univ Nat Chiao Tung 掺碳氮化矽薄膜及其製造方法與裝置
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
TWI634073B (zh) * 2013-09-05 2018-09-01 道康寧公司 2,2,4,4-四矽基五矽烷及其組成物、方法及用途
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) * 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI659035B (zh) * 2014-07-10 2019-05-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 經烷胺基取代之碳矽烷前驅物
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
WO2017007986A1 (en) * 2015-07-09 2017-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR102349808B1 (ko) 2016-09-26 2022-01-12 나타 세미컨덕터 머티리얼스 컴퍼니, 리미티드 트라이클로로다이실란
WO2018063907A1 (en) 2016-09-28 2018-04-05 Dow Corning Corporation Chlorodisilazanes
US11598002B2 (en) * 2016-10-12 2023-03-07 Ddp Specialty Electronic Materials Us 9, Llc Thio(di)silanes
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009060125A1 (en) * 2007-11-06 2009-05-14 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
CN101939465A (zh) * 2008-03-26 2011-01-05 Jsr株式会社 化学气相沉积法用材料和含硅绝缘膜及其制造方法
AU2010321034B2 (en) * 2009-11-18 2016-03-31 Evonik Degussa Gmbh Method for producing silicon layers
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
CN103890910A (zh) * 2011-09-23 2014-06-25 诺发***公司 等离子体活化保形电介质膜沉积
CN105862010A (zh) * 2015-02-06 2016-08-17 诺发***公司 碳化硅膜的共形沉积
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
CN107430992A (zh) * 2015-03-17 2017-12-01 应用材料公司 用于膜沉积的脉冲化等离子体
CN107923040A (zh) * 2015-07-31 2018-04-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
KR20180010994A (ko) * 2016-07-22 2018-01-31 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Also Published As

Publication number Publication date
EP3844318A4 (en) 2022-06-01
WO2020072625A1 (en) 2020-04-09
KR20210055098A (ko) 2021-05-14
SG11202103231VA (en) 2021-04-29
JP2022504261A (ja) 2022-01-13
TWI728487B (zh) 2021-05-21
EP3844318A1 (en) 2021-07-07
TW202134253A (zh) 2021-09-16
TWI767661B (zh) 2022-06-11
US20210398796A1 (en) 2021-12-23
TW202028216A (zh) 2020-08-01
JP7421551B2 (ja) 2024-01-24
JP2024032775A (ja) 2024-03-12

Similar Documents

Publication Publication Date Title
CN110872703B (zh) 用于制备含硅和氮的膜的方法
US11742200B2 (en) Composition and methods using same for carbon doped silicon containing films
CN110872700B (zh) 制备具有高碳含量的含硅膜的方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
CN112805405B (zh) 用于制备含硅和氮的膜的方法
CN112969817B (zh) 含硅膜的高温原子层沉积
US20220213597A1 (en) Compositions and methods using same for thermal deposition silicon-containing films
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法
Si I4 PCl I

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination