JP6896682B2 - 基板処理装置および半導体装置の製造方法 - Google Patents

基板処理装置および半導体装置の製造方法 Download PDF

Info

Publication number
JP6896682B2
JP6896682B2 JP2018165213A JP2018165213A JP6896682B2 JP 6896682 B2 JP6896682 B2 JP 6896682B2 JP 2018165213 A JP2018165213 A JP 2018165213A JP 2018165213 A JP2018165213 A JP 2018165213A JP 6896682 B2 JP6896682 B2 JP 6896682B2
Authority
JP
Japan
Prior art keywords
processing
gas
processing module
exhaust
valve group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018165213A
Other languages
English (en)
Other versions
JP2020038904A (ja
Inventor
賢治 白子
賢治 白子
谷山 智志
智志 谷山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP2018165213A priority Critical patent/JP6896682B2/ja
Priority to CN201910803261.XA priority patent/CN110872701B/zh
Priority to US16/555,755 priority patent/US10590531B1/en
Priority to KR1020190106458A priority patent/KR102271228B1/ko
Publication of JP2020038904A publication Critical patent/JP2020038904A/ja
Application granted granted Critical
Publication of JP6896682B2 publication Critical patent/JP6896682B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements

Description

本開示は、基板処理装置および半導体装置の製造方法に関する。
基板処理装置は、縦方向に配置された複数の基板を処理する処理炉を有する処理モジュールを備える。この種の基板処理装置において、複数の処理モジュールを備える基板処理装置が提案されている(特開2016−9724号公報、米国特許第6902624号明細書)。
特開2016−9724号公報 米国特許第6902624号明細書
第1の処理モジュールと第2の処理モジュールとを備える基板処理装置において、それぞれの処理モジュールにより基板上に同じ膜を生成した場合、複数の処理モジュール間において生成された膜の品質が異なる場合があった。
本開示の課題は、第1および第2の処理モジュールにおいて、同じ膜を生成する場合、第1および第2の処理モジュール間において、生成された膜の品質を同等にすることが可能な技術を提供することにある。
その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
本開示のうち代表的なものの概要を簡単に説明すれば下記の通りである。
一態様によれば、
縦方向に配置された複数の基板を処理する第1の処理容器を有する第1の処理モジュールと、
前記第1の処理容器に隣接して配置され、縦方向に配置された複数の基板を処理する第2の処理容器を有する第2の処理モジュールと、
前記第1の処理容器内を排気する第1の排気系が収納された第1の排気ボックスと、
前記第2の処理容器内を排気する第2の排気系が収納された第2の排気ボックスと、
前記第1及び第2の処理容器内に供給する複数の処理ガスの流路もしくは流量の少なくとも一方を制御する共通供給ボックスと、
前記共通供給ボックスからのガス管を、前記第1の処理容器へ、連通状態を制御可能に接続する第1のバルブ群と、
前記共通供給ボックスからのガス管を、前記第2の処理容器へ、連通状態を制御可能に接続する第2のバルブ群と、を備え、
前記第1及び第2の処理モジュールにおいて、同じ膜を生成させるために、実質的に同じガス供給シーケンスを繰り返す処理を、時間をずらして並行して行い、
前記ずらす時間は、前記複数の処理ガスの内の特定のガスの供給タイミングが、先に処理を開始した前記第1及び第2の処理モジュールの一方のガス供給シーケンスと重ならないように、後に処理を開始する前記第1及び第2の処理モジュールの他方のガス供給シーケンスを遅らせる方法によって決定される技術が提供される。
本開示によれば、第1および第2の処理モジュール間において、生成された膜の品質を同等にすることができる。
実施形態で好適に用いられる基板処理装置の一例を概略的に示す上面図である。 実施形態で好適に用いられる基板処理装置の一例を概略的に示す縦断面図である。 実施形態で好適に用いられる基板処理装置の一例を概略的に示す縦断面図である。 実施形態で好適に用いられる処理炉の一例を概略的に示す縦断面図である。 実施形態で好適に用いられる処理モジュールの一例を概略的に示す横断面図である。 コントローラによるレシピの制御例を説明する図である。 コントローラによるレシピの制御例を説明する図である。 コントローラによるレシピの制御例を説明する図である。 コントローラによるレシピの他の制御例を説明する図である。 ずれ量を決定する処理フローを示す図である。 変形例1に係る基板処理装置の一例を概略的に示す上面図である。 変形例2に係る基板処理装置の一例を概略的に示す上面図である。 変形例3に係るガス供給系を示す図である。
以下、図面を参照しながら、本発明の限定的でない例示の実施形態について説明する。全図面中、同一または対応する構成については、同一または対応する参照符号を付し、重複する説明を省略する。また、後述する収納室9側を正面側(前側)、後述する搬送室6A、6B側を背面側(後ろ側)とする。さらに、後述する処理モジュール3A、3Bの境界線(隣接面)に向う側を内側、境界線から離れる側を外側とする。
本実施形態において、基板処理装置2は、半導体装置(デバイス)の製造方法における製造工程の一工程として熱処理等の基板処理工程を実施する縦型基板処理装置(以下、処理装置と称する)2として構成されている。
図1、図2に示すように、処理装置2は隣接する2つの処理モジュール3A、3Bを備えている。処理モジュール3Aは、処理炉4Aと搬送室6Aにより構成される。処理モジュール3Bは、処理炉4Bと搬送室6Bにより構成される。処理炉4A、4Bの下方には、搬送室6A、6Bがそれぞれ配置されている。搬送室6A、6Bの正面側に隣接して、ウエハWを移載する移載機7を備える移載室8が配置されている。移載室8の正面側には、ウエハWを複数枚収納するポッド(フープ)5を収納する収納室9が連結されている。収納室9の全面にはI/Oポート22が設置され、I/Oポート22を介して処理装置2内外にポッド5が搬入出される。
搬送室6A、6Bと移載室8との境界壁(隣接面)には、ゲートバルブ90A、90Bがそれぞれ設置される。移載室8内および搬送室6A、6B内には圧力検知器がそれぞれに設置されており、移載室8内の圧力は、搬送室6A、6B内の圧力よりも低くなるように設定されている。また、移載室8内および搬送室6A、6B内には酸素濃度検知器がそれぞれに設置されており、移載室8A内および搬送室6A、6B内の酸素濃度は大気中における酸素濃度よりも低く維持されている。図3に示すように、移載室8の天井部には、移載室8内にクリーンエアを供給するクリーンユニット62Cが設置されており、移載室8内にクリーンエアとして、例えば、不活性ガスを循環させるように構成されている。移載室8内を不活性ガスにて循環パージすることにより、移載室8内を清浄な雰囲気とすることができる。このような構成により、移載室8内に搬送室6A、6B内のパーティクル等が混入することを抑制することができ、移載室8内および搬送室6A、6B内でウエハW上に自然酸化膜が形成されることを抑制することができる。
処理モジュール3Aおよび処理モジュール3Bは同一の構成を備えるため、以下においては、代表して処理モジュール3Aについてのみ説明する。
図4に示すように、処理炉4Aは、円筒形状の反応管10Aと、反応管10Aの外周に設置された加熱手段(加熱機構)としてのヒータ12Aとを備える。反応管は、例えば石英やSiCにより形成される。反応管10Aの内部には、基板としてのウエハWを処理する処理室14Aが形成される。反応管10Aには、温度検出器としての温度検出部16Aが設置される。温度検出部16Aは、反応管10Aの内壁に沿って立設されている。
基板処理に使用されるガスは、ガス供給系としてのガス供給機構34によって処理室14A内に供給される。ガス供給機構34が供給するガスは、成膜される膜の種類に応じて換えられる。ここでは、ガス供給機構34は、原料ガス供給部、反応ガス供給部および不活性ガス供給部を含む。ガス供給機構34は後述する供給ボックス72に収納されている。なお、供給ボックス72は、処理モジュール3A、3Bに対して共通に設けられるので、共通供給ボックスと見做される。
第1のガス供給部である原料ガス供給部は、ガス供給管36aを備え、ガス供給管36aには、上流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)38aおよび開閉弁であるバルブ41a、40aが設けられている。ガス供給管36aはマニホールド18の側壁を貫通するノズル44aに接続される。ノズル44aは、反応管10A内に上下方向に沿って立設し、ボート26に保持されるウエハWに向かって開口する複数の供給孔が形成されている。ノズル44aの供給孔を通してウエハWに対して原料ガスが供給される。
以下、同様の構成にて、第2のガス供給部である反応ガス供給部からは、供給管36b、MFC38b、バルブ41b、バルブ40bおよびノズル44bを介して、反応ガスがウエハWに対して供給される。不活性ガス供給部からは、供給管36c、36d、MFC38c、38d、バルブ41c、41d、バルブ40c、40dおよびノズル44a、44bを介して、ウエハWに対して不活性ガスが供給される。ノズル44bは、反応管10A内に上下方向に沿って立設し、ボート26に保持されるウエハWに向かって開口する複数の供給孔が形成されている。ノズル44bの供給孔を通してウエハWに対して原料ガスが供給される。
また、ガス供給機構34には、反応ガス、原料ガス、または、基板処理に寄与しない不活性ガスやクリーニングガスをウエハWに対して供給するため、第3のガス供給部も設けられている。第3のガス供給部からは、供給管36e、MFC38e、バルブ41e、バルブ40eおよびノズル44cを介して、反応ガスがウエハWに対して供給される。不活性ガス供給部からは、供給管36f、MFC38f、バルブ41f、バルブ40fおよびノズル44cを介して、ウエハWに対して不活性ガスまたはクリーニングガスが供給される。ノズル44cは、反応管10A内に上下方向に沿って立設し、ボート26に保持されるウエハWに向かって開口する複数の供給孔が形成されている。ノズル44cの供給孔を通してウエハWに対して原料ガスが供給される。
反応管10A内には、3つのノズル44a、44b、44cが設けられており、反応管10A内に、3種類の原料ガスを所定の順序かつ、または、所定の周期で供給することが可能に構成されている。反応管10A内のノズル44a、44b、44cに接続されるバルブ40a、40b、40c、40d、40e、40fは、最終バルブとされ、後述される最終バルブ設置部75Aに設けられている。同様に、反応管10B内には、3つのノズル44a、44b、44cが設けられており、反応管10B内に、3種類の原料ガスを所定の順序かつ、または、所定の周期で供給することが可能に構成されている。反応管10B内のノズル44a、44b、44cに接続されるバルブ40a、40b、40c、40d、40e、40fは、最終バルブとされ、後述される最終バルブ設置部75Bに設けられている。
バルブ41a〜41fの出力側の複数の複数のガス管35は、バルブ41a〜41fとバルブ40a〜40fの間において、反応管10Aのバルブ40a、40b、40c、40d、40e、40fのそれぞれに接続される複数のガス分配管35Aと、反応管10Bのバルブ40a、40b、40c、40d、40e、40fのそれぞれに接続される複数のガス分配管35Bと、に分岐される。複数のガス管35は、反応管10A、10Bに対する共通のガス管と見做すことができる。
マニホールド18Aには、排気管46Aが取り付けられている。排気管46Aには、処理室14A内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ48Aおよび圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ50Aを介して、真空排気装置としての真空ポンプ52Aが接続されている。このような構成により、処理室14A内の圧力を処理に応じた処理圧力とすることができる。主に、排気管46A、APCバルブ50A、圧力センサ48Aにより、排気系Aが構成される。排気系Aは後述する排気ボックス74Aに収納されている。真空ポンプ52Aは、処理モジュール3Aと3Bに共通して1つ設置されても良い。
処理室14Aは、複数枚、例えば25〜150枚のウエハWを垂直に棚状に支持する基板保持具としてのボート26Aを内部に収納する。ボート26Aは、蓋部22Aおよび断熱部24Aを貫通する回転軸28Aにより、断熱部24Aの上方に支持される。回転軸28Aは蓋部22Aの下方に設置された回転機構30Aに接続されており、回転軸28Aは反応管10Aの内部を気密にシールした状態で回転可能に構成される。蓋部22Aは昇降機構としてのボートエレベータ32Aにより上下方向に駆動される。これにより、ボート26Aおよび蓋部22Aが一体的に昇降され、反応管10Aに対してボート26Aが搬入出される。
ボート26AへのウエハWの移載は搬送室6Aで行われる。図1に示すように、搬送室6A内の一側面(搬送室6Aの外側側面、搬送室6Bに面する側面と反対側の側面)には、クリーンユニット60Aが設置されており、搬送室6A内にクリーンエア(例えば、不活性ガス)を循環させるように構成されている。搬送室6A内に供給された不活性ガスは、ボート26Aを挟んでクリーンユニット60Aと対面する側面(搬送室6Bに面する側面)に設置された排気部62Aによって搬送室6A内から排気され、クリーンユニット60Aから搬送室6A内に再供給される(循環パージ)。搬送室6A内の圧力は移載室8内の圧力よりも低くなるように設定されている。また、搬送室6A内の酸素濃度は、大気中における酸素濃度よりも低くなるように設定されている。このような構成により、ウエハWの搬送作業中にウエハW上に自然酸化膜が形成されることを抑制することができる。
回転機構30A、ボートエレベータ32A、ガス供給機構34AのMFC38a〜fおよびバルブ41a〜f、40a〜f、APCバルブ50Aには、これらを制御するコントローラ100が接続される。コントローラ100は、例えば、CPUを備えたマイクロプロセッサ(コンピュータ)からなり、処理装置2の動作を制御するよう構成される。コントローラ100には、例えばタッチパネル等として構成された入出力装置102が接続されている。コントローラ100は、処理モジュール3Aと処理モジュール3Bとで夫々に1つずつ設置されても良いし、共通して1つ設置されても良い。
記憶部104は、コントローラ100に内蔵された記憶装置(ハードディスクやフラッシュメモリ)であってもよいし、可搬性の外部記録装置(磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)であってもよい。また、コンピュータへのプログラムの提供は、インターネットや専用回線等の通信手段を用いて行ってもよい。プログラムは、必要に応じて、入出力装置102からの指示等にて記憶部104から読み出され、読み出されたレシピに従った処理をコントローラ100が実行することで、処理装置2は、コントローラ100の制御のもと、所望の処理を実行する。コントローラ100は、コントローラボックス76(76A、76B)に収納される。コントローラ100が処理モジュール3Aと処理モジュール3Bとで夫々に1つずつ設置される場合、コントローラボックス76Aに、処理モジュール3Aを制御するコントローラ100(A)が設置され、コントローラボックス76Bに、処理モジュール3Bを制御するコントローラ100(B)が設置される。
次に、上述の処理装置2を用い、基板上に膜を形成する処理(成膜処理)について説明する。ここでは、ウエハWに対して、原料ガスとして第1の処理ガス(原料ガス)としてヘキサクロロジシラン(SiCl、略称:HCDS)ガスと、反応ガスとして第2の処理ガス(反応ガス)としてアンモニア(NH)ガスとを供給することで、ウエハW上にシリコン窒化(SiN)膜を形成する例について説明する。なお、以下の説明において、処理装置2を構成する各部の動作はコントローラ100により制御される。
本実施形態における成膜処理では、処理室14A内のウエハWに対してHCDSガスを供給する工程と、処理室14A内からHCDSガス(残留ガス)を除去する工程と、処理室14A内のウエハWに対してNHガスを供給する工程と、処理室14A内からNHガス(残留ガス)を除去する工程と、を所定回数(1回以上)繰り返すことで、ウエハW上にSiN膜を形成する。本明細書では、この成膜シーケンスを、便宜上、以下のように表記する。
(HCDS→NH)×n ⇒ SiN
(ウエハチャージおよびボートロード)
ゲートバルブ90Aを開き、ボート20Aに対してウエハWを搬送する。複数枚のウエハWがボート26Aに装填(ウエハチャージ)されると、ゲートバルブ90Aが閉じられる。ボート26Aは、ボートエレベータ32Aによって処理室14内に搬入(ボートロード)され、反応管10Aの下部開口は蓋部22Aによって気密に閉塞(シール)された状態となる。
(圧力調整および温度調整)
処理室14A内が所定の圧力(真空度)となるように、真空ポンプ52Aによって真空排気(減圧排気)される。処理室14A内の圧力は、圧力センサ48Aで測定され、この測定された圧力情報に基づきAPCバルブ50Aが、フィードバック制御される。また、処理室14A内のウエハWが所定の温度となるように、ヒータ12Aによって加熱される。この際、処理室14Aが所定の温度分布となるように、温度検出部16Aが検出した温度情報に基づきヒータ12Aへの通電具合がフィードバック制御される。また、回転機構30Aによるボート26AおよびウエハWの回転を開始する。
(成膜処理)
[原料ガス供給工程]
処理室14A内の温度が予め設定された処理温度に安定すると、処理室14A内のウエハWに対してHCDSガスを供給する。HCDSガスは、MFC38aにて所望の流量となるように制御され、ガス供給管36a、バルブ41a、40aおよびノズル44aを介して処理室14A内に供給される。
[原料ガス排気工程]
次に、HCDSガスガスの供給を停止し、真空ポンプ52Aにより処理室14A内を真空排気する。この時、不活性ガス供給部から不活性ガスとしてNガスを処理室14A内に供給しても良い(不活性ガスパージ)。
[反応ガス供給工程]
次に、処理室14A内のウエハWに対してNHガスを供給する。NHガスは、MFC38bにて所望の流量となるように制御され、ガス供給管36b、バルブ41b、40bおよびノズル44bを介して処理室14A内に供給される。
[反応ガス排気工程]
次に、NHガスの供給を停止し、真空ポンプ52Aにより処理室14A内を真空排気する。この時、不活性ガス供給部からNガスを処理室14A内に供給しても良い(不活性ガスパージ)。上述した4つの工程を行うサイクルを所定回数(1回以上)行うことにより、ウエハW上に、所定組成および所定膜厚のSiN膜を形成することができる。
(ボートアンロードおよびウエハディスチャージ)
所定膜厚の膜を形成した後、不活性ガス供給部からNガスが供給され、処理室14A内がNガスに置換されると共に、処理室14Aの圧力が常圧に復帰される。その後、ボートエレベータ32Aにより蓋部22Aが降下されて、ボート26Aが反応管10Aから搬出(ボートアンロード)される。その後、処理済ウエハWはボート26Aより取出される(ウエハディスチャージ)。
その後、ウエハWはポッド5に収納され処理装置2外に搬出されても良いし、処理炉4Bへ搬送され、例えば、アニール等の基板処理が連続して行われても良い。処理炉4AでのウエハWの処理後に連続して処理炉4BでウエハWの処理を行う場合、ゲートバルブ90Aおよび90Bを開とし、ボート26Aからボート26BへウエハWが直接搬送される。その後の処理炉4B内へのウエハWの搬入出は、上述の処理炉4Aによる基板処理と同様の手順にて行われる。また、処理炉4B内での基板処理は、例えば、上述の処理炉4Aによる基板処理と同様の手順にて行われる。
ウエハWにSiN膜を形成する際の処理条件としては、例えば、下記が例示される。
処理温度(ウエハ温度):100℃〜800℃、
処理圧力(処理室内圧力)5Pa〜4000Pa、
HCDSガス供給流量:1sccm〜2000sccm、
NHガス供給流量:100sccm〜30000sccm、
2ガス供給流量:1sccm〜50000sccm、
それぞれの処理条件を、それぞれの範囲内の値に設定することで、成膜処理を適正に進行させることが可能となる。
次に、処理装置2の背面構成について説明する。
例えば、ボート26が破損した場合には、ボート26を交換する必要がある。また、反応管10が破損した場合や、反応管10のクリーニングが必要な場合は、反応管10を取り外す必要がある。このように、搬送室6や処理炉4におけるメンテナンスを実施する場合には、処理装置2の背面側のメンテナンスエリアA、Bからメンテナンスを行う。
図1に示すように、搬送室6A、6Bの背面側には、メンテナンス口78A、78Bがそれぞれ形成されている。メンテナンス口78Aは搬送室6Aの搬送室6B側に形成され、メンテナンス口78Bは搬送室6Bの搬送室6A側に形成される。メンテナンス口78A、78Bはメンテナンス扉80A、80Bにより開閉される。メンテナンス扉80A、80Bはヒンジ82A、82Bを基軸として回動可能に構成される。ヒンジ82Aは搬送室6Aの搬送室6B側に設置され、ヒンジ82Bは搬送室6Bの搬送室6A側に設置される。すなわち、ヒンジ82A、82Bは搬送室6A、6Bの背面側の隣接面に位置する内側角部付近に互いに隣接するように設置される。メンテナンスエリアは処理モジュール3A背面における処理モジュール3B側と処理モジュール3B背面における処理モジュール3A側とに形成されている。
想像線で示すように、メンテナンス扉80A、80Bがヒンジ82A、82Bを中心にして搬送室6A、6Bの背面側後方に水平に回動されることにより、背面メンテナンス口78A、78Bが開かれる。メンテナンス扉80Aは、搬送室6Aに向かって左開きに180°まで開放可能なように構成される。メンテナンス扉80Bは、搬送室6Bに向かって右開きに180°まで開放可能なように構成される。すなわち、搬送室6Aに向かって、メンテナンス扉80Aは時計回りに回動し、メンテナンス扉80Bは反時計回りに回動する。言い換えれば、メンテナンス扉80A、80Bは、互いに反対方向に回動される。メンテナンス扉80A、80Bは取外し可能に構成されており、取り外してメンテナンスを行っても良い。
搬送室6A、6Bの背面近傍には、ユーティリティ系70が設置されている。ユーティリティ系70はメンテナンスリアA、Bの間に配置される。ユーティリティ系70のメンテナンスを行う際は、メンテナンスエリアA、Bから行う。
ユーティリティ系70は、最終バルブ設置部75A,75B、排気ボックス74A、74B、供給ボックス72、コントローラボックス76A、76Bを含む。
ユーティリティ系70は、筐体側(搬送室6A、6B側)から順に、排気ボックス74A、74B、供給ボックス72、コントローラボックス76A、76Bで構成されている。最終バルブ設置部75A,75Bは、排気ボックス74A、74Bの上方に設けられる。ユーティリティ系70の各ボックスのメンテナンス口はそれぞれメンテナンスエリアA、B側に形成されている。供給ボックス72は、排気ボックス74Aの搬送室6Aに隣接する側と反対側および供給ボックス72Bは、排気ボックス74Bの搬送室6Bに隣接する側に隣接して配置される。
図3に示すように、処理モジュール3Aにおいて、ガス供給機構34のファイナルバルブ(ガス供給系の最下段に位置するバルブ40a、40b、40c)が設置される最終バルブ設置部75Aは、排気ボックス74Aの上方に配置されている。好ましくは、排気ボックス74Aの真上(直上)に配置されている。このような構成により、供給ボックス72を筐体側から離れたところに設置しても、ファイナルバルブから処理室内への配管長を短くすることができるため、成膜の品質を向上させることができる。図3には図示されないが、バルブ40a、40b、40cの他、バルブ40d、40e、40fも、最終バルブ設置部75Aに配置される。
また、図示されないが、処理モジュール3Bにおいて、ガス供給機構34のファイナルバルブ(ガス供給系の最下段に位置するバルブ40a、40b、40c)が設置される最終バルブ設置部75Bは、排気ボックス74Bの上方に配置されている。好ましくは、排気ボックス74Bの真上(直上)に配置されている。このような構成により、供給ボックス72を筐体側から離れたところに設置しても、ファイナルバルブから処理室内への配管長を短くすることができるため、成膜の品質を向上させることができる。バルブ40a、40b、40cの他、バルブ40d、40e、40fも、最終バルブ設置部75Bに配置される。
図5に示すように、処理モジュール3A、3Bおよびユーティリティ系70の各構成は、処理モジュール3A、3Bの隣接面Sに対して面対称に配置されている。また、反応管10A、10Bも、処理モジュール3A、3Bの隣接面Sに対して面対称に配置されている。これにより、処理モジュール3A、3Bから排気ボックス74A、74Bまでの排気管46A、46Bの配管長が、処理モジュール3A、3Bで略同じ長さとなるように配管が配置されている。また、最終バルブ設置部75A、75Bに設置されたファイナルバルブ40A、40Bからノズル44A、44Bまでの配管長が、処理モジュール3A、3Bで略同じ長さとなるように配管(ガスパイプ)が配置されている。
図5において、ファイナルバルブ40Aは、処理モジュール3Aのバルブ40a〜40fを示すものであり、ファイナルバルブ40Bは、処理モジュール3Bのバルブ40a〜40fを示すものである。また、ノズル44Aは、処理モジュール3Aのノズル44a〜44cを示すものであり、ノズル44Bは、処理モジュール3Bのノズル44a〜44cを示すものである。たとえば、配管10Aaが処理モジュール3Aのバルブ40aと処理モジュール3Aのノズル44aとの間の配管に対応し、配管10Baが処理モジュール3Bのバルブ40aと処理モジュール3Bのノズル44aとの間の配管に対応する場合、配管10Aaと配管10Baとは、配管長が略同じ長さである。また、配管10Abが処理モジュール3Aのバルブ40bと処理モジュール3Aのノズル44bとの間の配管に対応し、配管10Bbが処理モジュール3Bのバルブ40bと処理モジュール3Bのノズル44bとの間の配管に対応する場合、配管10Abと配管10Bbとは、配管長が略同じ長さである。これにより、供給ボックス72から同じガスを、処理モジュール3Aのバルブ40aおよび配管10Aaを介して処理モジュール3Aのノズル44aへ供給する場合と、処理モジュール3Bのバルブ40aおよび配管10Baを介して処理モジュール3Bのノズル44aへ供給する場合とで、同一の到達時間とできる。したがって、コントローラ100による処理モジュール3A、3Bのレシピ管理が容易化できる。さらに、図5中の矢印で示すように、ウエハWの回転方向も処理炉4A、4Bにおいて互いに反対方向となるように構成される。
なお、反応管10A、10Bの配置形態は、図5に限定されない。ノズル44A、44Bのそれぞれが最終バルブ設置部75A、75Bに対応するように設置してもよい。また、排気ボックス74A、74Bまでの排気管46A、46Bが最短長になるように、反応管10A、10Bを配置してもよい。ただし、反応管10A、10Bは処理モジュール3A、3Bの隣接面Sに対して面対称に配置するのが良い。
処理モジュール3A,3Bに対して、共通の供給ボックス72を設け、供給ボックス72から最終バルブ40A、40Bまでのガス管を共有するので、基板処理装置の省スペース化が可能である。
また、基板処理装置2が必要とするフットプリントが低下し、必要な生産量に対して、クリーンルームの使用面積を抑えることが可能となり、経済性において非常に有利である。
図6A、図6B、図6Cは、コントローラによるレシピの制御例を説明する図である。レシピとは、反応ガスや原料ガス等の各プロセスガスの供給量、目標真空度(もしくは排気速度)、処理室温度などを、時系列に記述したものであり、一定の周期で反復されるパターンを含みうる。レシピという用語は、狭義にはこの反復パターンの1サイクルを示す場合がある。作成されたレシピは、コントローラ100が実行することで、処理装置2は、コントローラ100の制御のもと、所望の処理を実行する。処理装置2が処理モジュール3A、3Bを備えた場合、レシピ開始のタイミング次第では同じガスを、処理モジュール3A、3B間で使用する可能性がある。
本実施形態では、レシピを管理するコントローラ100は、同一のプロセスガスを、処理モジュール3A、3Bの反応管10A、10Bへ、同時に流せないように、相互間監視の機能を有している。監視すべき対象のガス及びバルブをコントローラ内のパラメータもしくはレシピに登録することによって、コントローラ100は登録されたガス及びバルブをもとに、処理モジュール3A、3Bに対するレシピの相互監視を行い、同一のプロセスガスを処理モジュール3A、3Bに同時に流さないようにレシピの開始時間等を最適化する制御を実施する。レシピの開始時間等の最適化は、反応管10A、10B内を真空にするための真空ポンプ(52A)の真空引き時間、または、反応管10A、10B内をNガスでパージするパージ時間などを用いて、調整することができる。相互監視及び制御には、バルブレベルのものと、レシピレベルのものが含まれる。
図6Aには、処理モジュール3A、3Bのそれぞれで実行されるレシピRC1、RC2の一例が示される。レシピRC1、RC2は、同一のレシピであり、3つの処理ガスA、B、Cを用いる。反応管10A、10B内のそれぞれの基板の上に同じ膜を生成させるために、実質的に同じガス供給シーケンスのレシピRC1、RC2が複数サイクル繰り返し実行される。レシピRC1、RC2の各々は、実質的に同じガス供給シーケンスであるプロセスステップPS1〜PS9を含む。プロセスステップPS1は、反応管10Aまたは10B内に、処理ガスAを供給する処理(A)である。プロセスステップPS4は、反応管10Aまたは10B内に、処理ガスBを供給する処理(B)である。プロセスステップPS7は、反応管10Aまたは10B内に、処理ガスCを供給する処理(C)である。それぞれのプロセスステップPS1、PS4、PS7の後には、プロセスステップPS2、PS5、PS8が実行される。プロセスステップPS2、PS5、PS8は、目標真空度を比較的低圧(例えば、10〜100Pa)に設定して、反応管10Aまたは10B内を真空引きする処理(V)である。それぞれのプロセスステップPS2、PS5、PS8の後には、プロセスステップPS3、PS6、PS9が実行される。プロセスステップPS3、PS6,PS9は、反応管10A、10B内にパージガス(Nガス)を流しながら、反応管10A、10B内を真空引きする処理(P)である。
図6Aに示すように、レシピRC1、RC2において、レシピRC1、RC2が、時間Tに対して、短時間の差で開始された場合、同時に同じ処理ガスA、B、Cが使用されることが想定される。つまり、処理モジュール3AのプロセスステップPS1、PS4、PS7と処理モジュール3BのプロセスステップPS1、PS4、PS7とが同時に実行される場合がある。しかし、その処理ガスA、B、Cに対応するマスフローコントローラ(MFC)は1つしかない。図4に示すように、供給ボックス72に収納されたガス供給機構34において、たとえば、処理ガスAに対するマスフローコントローラはMFC38aの1つであり、処理ガスBに対するマスフローコントローラはMFC38bの1つであり、処理ガスCに対するマスフローコントローラはMFC38cの1つである。このため、処理モジュール3A、3Bにおいて、同時に同じ処理ガス(A、B、または、C)を使用した場合、各処理モジュール3A、3Bへの流量を、従来と同等の精度で制御できず、処理モジュール3A、3B間でレシピが異なってしまうことになる。処理モジュール3A、3B間でレシピが異なってしまうことは、処理モジュール3A、3Bで生成される膜の品質に影響する。このため、処理モジュール3A、3Bにおいて、同時に同じ処理ガス(A、B、または、C)を使用することは、避けることが好ましい。
バルブレベルの制御では、処理モジュール3A、3Bのコントローラ100は、処理モジュール3Aのバルブ40a〜40cの開閉状態と処理モジュール3Bのバルブ40a〜40cの開閉状態を、処理モジュール3A、3Bの間で相互に監視する。このバルブレベルの制御はインターロックとも呼ばれる。
たとえば、処理モジュール3Aのコントローラ100は、相手側の処理モジュール3Bの対応する(つまり同じ分配配管で接続されている)ファイナルバルブが閉じていれば、レシピ通りに自己の処理モジュール(3A)のファイナルバルブを開ける。一方、相手側の処理モジュール(3B)の対応する(つまり同じ分配配管で接続されている)ファイナルバルブが開いていれば、そのファイナルバルブが閉じるまで、自己の処理モジュール(3A)のレシピを中断するように制御する。また、処理モジュール3Bのコントローラ100は、相手側の処理モジュール(3A)の対応する(つまり同じ分配配管で接続されている)ファイナルバルブが閉じていれば、レシピ通りに自己の処理モジュール(3B)のファイナルバルブを開ける。一方、相手側の処理モジュール(3A)の対応する(つまり同じ分配配管で接続されている)ファイナルバルブが開いていれば、そのファイナルバルブが閉じるまで、自己の処理モジュール(3B)のレシピを中断するように制御する。
一方、プロセスレシピレベルの制御において、コントローラ100はレシピ(RC1、RC2)開始時、ボートロード前等の各タイミングでレシピ(RC1、RC2)の進捗具合を監視し、使用されるガスA、B、Cの流れるシーケンスのタイミングを予測する。処理モジュール3A、3Bにおいて、同じ処理ガス(A、B、または、C)が同じタイミングで流れない場合、レシピ(RC1、RC2)はそのまま進行する。一方、処理モジュール3A、3Bにおいて、同じ処理ガス(A、B、または、C)が同じタイミングで流れることが予測された場合、コントローラ100は、同時に同じプロセスガスが流れないシーケンスを算出し、使用されるガスの供給するタイミングを、時間的にずらす制御を実施する。
つまり、処理モジュール3A、3Bにおいて、同じ膜を生成させるために、実質的に同じガス供給シーケンスを繰り返す処理を、時間をずらして並行して行なう。このずらす時間は、複数の処理ガス(A、B、C)の内の特定のガスの供給タイミングが、先に処理を開始した処理モジュール3A、3Bの一方のガス供給シーケンスと重ならないように、後に処理を開始する処理モジュール3A、3Bの他方のガス供給シーケンスを遅らせる方法によって決定される。
たとえば、図6Aに示すように、コントローラ100がレシピ(RC1、RC2)開始時、使用される処理ガスA、B、Cの流れるガス供給シーケンスのタイミングを予測したとする。つまり、同じ処理ガス(A、B、または、C)が同じタイミングで処理モジュール3A、3Bに流れることが予測されたとする。この場合、コントローラ100は、同時に同じプロセスガスが流れないシーケンスを算出し、使用されるガスの供給するタイミングを、時間的にずらす制御を実施する。つまり、コントローラ100は、レシピ(RC1、RC2)開始前に、処理モジュール3A、3Bにおいて、同じ処理ガス(A、B、C)が同じタイミングで流れないようにガス供給シーケンスのタイミングが時間的にずらされたレシピRC2を生成する。図6Bに示すように、処理モジュール3Bで実施されるレシピRC2において、プロセスステップPSA1がプロセスステップPS1の前に、コントローラ100によって自動的に追加される。プロセスステップPSA1は、たとえば、反応管10B内にパージガス(Nガス)を流しながら、反応管10B内を真空引きする処理(P)である。なお、プロセスステップPSA1は、レシピRC2(PS1〜PS9)が複数サイクル実行される場合において、1回目のサイクルの先頭のプロセスステップPS1の前にのみ追加される。プロセスステップPSA1は、レシピRC2(PS1〜PS9)の2回目以降のサイクルにおいて、プロセスステップPS1の前には、追加されない。つまり、レシピRC2(PS1〜PS9)の1回目のサイクルの最後のプロセスステップPS9の実施後、レシピRC2(PS1〜PS9)の2回目のサイクルの最初のプロセスステップPS1が実施される。同様に、レシピRC2(PS1〜PS9)の2回目のサイクルの最後のプロセスステップPS9の実施後、レシピRC2(PS1〜PS9)の3回目のサイクルの最初のプロセスステップPS1が実施される。
図6Bの例では、同時に流すことのできない処理ガスA、B,Cの内、レシピ(RC1,RC2)の1サイクルにおける供給時間が最も長いもの(tmax)を選択(ここでは、PS7)し、処理モジュール3A、3Bの間のレシピの時差tdiffを、tmax + n * tcycleに一致させるよう、どちらかのレシピ(RC1、RC2)のプロセスステップPS1の開始時間を遅らせることで調整する。図6Bの例では、レシピRC2におけるPS1の開始時間が、レシピRC1におけるPS1の開始時間と比べて、プロセスステップPSA1を追加した時間だけ、遅らせる。つまり、調整後の時差tdiff_adj = tmax + n * tcycle、(ここでnは任意の整数、tcycleはレシピの1サイクルの時間:PS1の開始時間からPS7の終了時間までの時間)である。なお、tmax≦tcycle/2を前提とする。
遅らせる時間を少なくすることが好ましい場合は、現在の時差(処理モジュール3AのレシピRC1を基準としたときの現在の処理モジュール3BのレシピRC2の進み時間)tdiffに依存して、

if (tmax ≦ (|tdiff| % tcycle) < tmax + tcycle/2) then 進んでいる方の処理モジュールを(|tdiff | % tcycle) - tmax遅らせる(つまりtdiff_adj = tdiff - ((|tdiff | % tcycle) - tmax))
else if ((|tdiff| % tcycle) < tmax) then遅れている方のPMを(|tdiff | % tcycle) - tmax遅らせる
Else 遅れている方の処理モジュールをtcycle -(|tdiff | % tcycle) - tmax遅らせる

ここで、%は最小非負剰余の演算子であり、0 < (tdiff % tcycle) < tcycle/2のとき、処理モジュール3Aが進んでおり、それ以外のときは処理モジュール3Bが進んでいる。
また、コントローラ100は、処理室10A、10Bの熱履歴を同じにする調整機能も有する。決められた時間を設定し、同時進行のレシピだけでなく、バッチ間での履歴を設定したパージ時間で待機した分の時間を自動で調整し合わせることができる。つまり、図6Bに示す処理モジュール3A、3BのレシピRC1、RC2を複数サイクル繰り返し実行した最後のサイクルでは、処理モジュール3AのレシピRC1のプロセスステップPS9は、処理モジュール3BのレシピRC2のプロセスステップPS9に比べて、時間的に早く終了することになる。したがって、処理室10Aの熱履歴と処理室10Bの熱履歴とが異なってしまう。
図6Cに示すように、処理モジュール3AのレシピRC1の最終のサイクルにおいて、PSA1と同じ時間のプロセスステップPSA2がプロセスステップPS9の後に、コントローラ100によって自動的に追加される。これにより、処理室10Aの熱履歴と処理室10Bの熱履歴とを同じにすることができる。プロセスステップPSA2は、たとえば、反応管10A内にパージガス(Nガス)を流しながら、反応管10A内を真空引きする処理(P)である。
なお、処理モジュール3Aと処理モジュール3Bとは、基本的に非同期で動作しており、処理モジュール3Aと処理モジュール3Bとの間の依存性が少ない。このため、処理モジュール3Aと処理モジュール3Bの一方が故障などにより停止したとても、処理モジュール3Aと処理モジュール3Bの他方が処理続行可能である。
図7は、コントローラによるレシピの他の制御例を説明する図である。図7には、処理モジュール3Bで実施されるレシピRC2において、プロセスステップPS1の前に追加されるプロセスステップ(PSA1〜PSA4)の処理時間が異なる4つの例を示している。
図7に示すレシピRC21は、図6Bに示すレシピRC2と同じであり、1サイクルにおける供給時間が最も長い処理ガスCの供給時間分、レシピRC21の進行時間をずらすルールに基づく。このため、レシピRC21において、プロセスステップPS1の前に、プロセスステップPSA1が追加される。これにより、処理モジュール3AへのガスCの供給するプロセスステップPS7の終了後、それに続いて処理モジュール3BへのガスCの供給するプロセスステップPS7が開始される。あるいは、レシピRC21は、処理ガスA及びBと、処理ガスCの排気タイミングが重ならないようにするルールに基づいているともいえる。このルールによればて、処理ガスCが、処理ガスA及びBと気相反応する場合に、共通の真空ポンプ52の上流において望ましくない固形物の生成を抑制することができる。あるいは、レシピRC21は、処理ガスA、B及びCのパージ工程の終了と、何らかのガスの排気工程とが、重ならないようにするルールに基づいているともいえる。このルールによって、パージ終了時点における残留ガス濃度の増加を防ぐことができる。
図7に示すレシピRC22では、プロセスステップPS1の前に、プロセスステップPSA2が追加される。これにより、処理モジュール3Aにて、処理ガスA、Bを使用した後(プロセスステップPS4の終了後)に、処理モジュール3Bにて、処理ガスAを使用するプロセスステップPS1が開始される。
図7に示すレシピRC23では、プロセスステップPS1の前に、プロセスステップPSA3が追加される。処理モジュール3A、3Bの間で、レシピの位相を単純に反転させるルール(つまり時間差をtdiff_adj =tcycle/2 に設定する)に基づく。このルールは、その時間的な対称性により、ガス供給系34と最終バルブ配置部75A、Bの間にバッファタンクを設けた場合でも、各処理モジュール3A、Bに均等に同一条件でガスを供給できる。あるいはレシピRC23は、処理ガスA、B及びCの排気タイミングが互いに重ならないようにするルールに基づいているともいえる。これは、固形物を生成を抑制1つのガスについての連続する供給、排気およびパージの工程の合計時間が、tcycle/2以上である場合に好適である。
図7に示すレシピRC24では、プロセスステップPS1の前に、プロセスステップPSA4が追加される。これにより、処理モジュール3Bへの処理ガスCの供給の終了後(プロセスステップPS7の終了後)、それに続いて、2サイクル目の処理モジュール3Aへの処理ガスCの供給が開始される(2サイクル目のプロセスステップPS7)。処理モジュール3A、3Bの間を区別しない(先後を問題としない)場合、処理モジュール3BのレシピRC21と等価である。
図7のレシピRC1〜RC4に示すように、処理モジュール3Bにおいて処理ガスAを使用するプロセスステップPS1の開始タイミングは、設定パラメータと予測されたシーケンスにより最適に制御可能である。
しかしながら、図7のレシピRC1、RC21〜RC24のいずれも、任意のレシピに対して、全てガスの供給タイミングが重ならないことは、保証されていないともいえる。
図8は、供給タイミングが重ならないずれ量を決定する処理フローを示す図である。図8の処理フローは、処理モジュール3A、3Bのレシピのサイクル間の時差tadjが0の状態から開始して、必要なずれ量を算出するものである。
ステップS1:処理モジュール3Bのレシピを現在の時差tadjよりも更に遅らせるべき時間を示す変数tadj_addに、0を代入する。
ステップS2:処理ガスの中から順次1つ(ガスx)を選択することで、処理ガスのそれぞれについて以下の処理(ステップS21〜S23)を行う。
ステップS21:処理モジュール3Aにおけるレシピの特定の1サイクルの中で、ガスxの供給区間を、先頭から順次選択し、その開始時間t1xi_startとその終了時間t1xi_endを特定する。ここで、iはnx個存在する供給区間のインデックスである。
ステップS22:処理モジュール3Aとtadjの時差のある処理モジュール3Bにおけるレシピの任意の1サイクルの中に、開始時間t1xi_startから終了時間t1xi_endの間に開始されるガスxの供給がないか検査し、供給区間の重なりの解消に必要な遅れ時間の最大値を更新する。具体的には、t1xi_start ≦t2xj_start<t1xi_endを満たすt2xj_startを、サイクル供給区間j=1.. nxのすべての中から探し、tadj_add < t2xj_start - t1xi_start であればtadj_add に t2xj_start - t1xi_startを代入する。
ステップS23:インデックスiがnxに達していなければ、ステップS21に戻り、達していれば、次の処理(ステップS3)に進む。
ステップS3:保持されている変数tadj_addが0であれば、現在の時差tadj に確定して(つまり、tadj をtdiff_adjもしくはtmaxとして決定して)処理を終了する。
ステップ3で非0だった場合、ステップS4として、tcycle < tdiff_adj + tadjであれば、重なりの解消は不可能なので処理を中断する。
ステップS4で、tcycle < tdiff_adj + tadjでなければ、ステップS5として、tdiff_adjに tdiff_adj - tadjを代入して、ステップS1に戻る。
以上纏めると、以下になる。
基板処理装置(2)は、
縦方向に配置された複数の基板(W)を処理する第1の処理容器(反応管10A)を有する第1の処理モジュール(3A)と、
前記第1の処理容器(10A)に隣接して配置され、縦方向に配置された複数の基板を処理する第2の処理容器(反応管10B)を有する第2の処理モジュール(3A)と、
前記第1の処理容器(10A)内を排気する第1の排気系が収納された第1の排気ボックス(74A)と、
前記第2の処理容器(10B)内を排気する第2の排気系が収納された第2の排気ボックス(74B)と、
前記第1及び第2の処理容器(10A、10B)内に供給する複数の処理ガス(A、B、C)の流路もしくは流量の少なくとも一方を制御する共通供給ボックス(72)と、
前記共通供給ボックス(72)からのガス管を、前記第1の処理容器(10A)へ、連通状態を制御可能に接続する第1のバルブ群(40A、40a〜40f)と、
前記共通供給ボックスからのガス管を、前記第2の処理容器(10B)へ、連通状態を制御可能に接続する第2のバルブ群(40B、40a〜40f)と、を備え、
前記第1及び第2の処理モジュール(3A、3B)において、同じ膜を生成させるために、実質的に同じガス供給シーケンス(レシピRC1、RC2)を繰り返す処理を、時間をずらして並行して行い、
前記ずらす時間は、前記複数の処理ガス(A、B、C)の内の特定のガス(C)の供給タイミング(PS7)が、先に処理を開始した前記第1及び第2の処理モジュール(3A、3B)の一方(3A)のガス供給シーケンス(レシピRC1のPS7)と重ならないように、後に処理を開始する前記第1及び第2の処理モジュール(3A、3B)の他方(3B)のガス供給シーケンス(レシピRC2のPS7)を遅らせる方法(レシピRC2に対するPSA1の挿入)によって決定される。
また、基板処理装置(2)において、
前記第1及び第2の処理モジュール(3A、3B)が隣接する面(S、S2)を基準として、前記第1及び第2の処理モジュール(3A、3B)、前記第1及び第2の排気ボックス(74A,74B)、前記第1及び第2のバルブ群(40A、40B)のそれぞれが、互いに面対称に構成され、且つ、配置され、
前記第1のバルブ群(40A)と前記第1の処理モジュール(3A)との間の複数のガスパイプ(10Aa、10Ab)の長さは、前記第2のバルブ群(40B)と前記第2の処理モジュール(3B)との間の対応するガスパイプ(10Ba、10Bb)の長さと等しい。
また、基板処理装置(2)において、
前記複数の処理ガスは、3種類の原料ガスを含み、
前記ガス供給シーケンス(レシピRC1、RC2)は、1つの処理容器に対して、3種類の処理ガス(A、B、C)を、時間的な間隔を開けて周期的に供給するものであり、
前記第1及び第2の処理モジュール(3A、3B)において並行して行う間、前記3種類の処理ガス(A、B、C)のそれぞれは、前記第1及び第2の処理容器(3A、3B)のいずれにも供給されないタイミング(図6Bにおいて、RC1のPS2、PS3とRC2のPSA1、RC1のPS5、PS6とRC2のPS2、PS3)が存在する。
基板処理装置(2)において、
前記第1の処理モジュール(3A)、前記第1の排気ボックス(74A)及び前記第1のバルブ群(40A)を制御する第1のプロセス制御器(コントローラ100(A))と、
前記第2の処理モジュール(3B)、前記第2の排気ボックス(74B)及び前記第2のバルブ群(40B)を制御する第2のプロセス制御器(コントローラ100(B))と、
前記第1及び第2のプロセス制御器(100(A)、100(B))は、それぞれが制御する前記第1及び前記第2のバルブ群(40A、40B)の流通状態を実質的に表す情報を、他のプロセス制御器(100(A)、100(B))に伝達し、前記第1及び前記第2のバルブ群(40A、40B)で同一のガスのバルブの同時供給を禁止している間を除き、前記第1及び前記第2の処理モジュール(3A、B)は、非同期で運用される。
(変形例)
以下いくつかの変形例を説明する。
(変形例1)
図9は、変形例1に係る基板処理装置の一例を概略的に示す上面図である。
図9に示すように、ユーティリティ系70は、供給ボックス72、排気ボックス74A、74B、コントローラボックス76A、76Bで構成されている。供給ボックス72、排気ボックス74A、74B、コントローラボックス76A、76Bは、搬送室6A、6Bの隣接面Sに対して面対称に配置されている。排気ボックス74Aは、搬送室6Aの背面における搬送室6Bとは反対側に位置する外側角部に配置される。排気ボックス74Bは、搬送室6Bの背面における搬送室6Aとは反対側に位置する外側角部に配置される。すなわち、排気ボックス74A、74Bは、搬送室6A、6Bの外側側面と排気ボックス74A、74Bの外側側面とが平面に接続するように、平坦に(なめらかに)設置される。
供給ボックス72は、排気ボックス74A、74Bの間において、排気ボックス74A、74Bと離間して中央に配置される。供給ボックス72の前面は、搬送室6A、6Bの背面に接するように配置される。最終バルブ設置部75A、75Bは、処理炉4A、4Bの背面と接するように設置される。最終バルブ設置部75A、75Bの側面の接する部分は、供給ボックス72の前面の上側に設けられている。最終バルブ設置部75A、75Bと供給ボックス72との重なる部分において、供給ボックス72から最終バルブ設置部75A、75Bへ複数の配管が配置される。コントローラボックス76A、76Bは、供給ボックス72の背面に接して設けられる。
このような構成においても、図5で説明されたと同様に、供給ボックス72から同じガスを、処理モジュール3Aのバルブ40aおよび配管10Aaを介して処理モジュール3Aのノズル44aへ供給する場合と、処理モジュール3Bのバルブ40aおよび配管10Baを介して処理モジュール3Bのノズル44aへ供給する場合とで、同一の到達時間とできる。
(変形例2)
図10は、変形例2に係る基板処理装置の一例を概略的に示す上面図である。図10が、図9と異なる点は、コントローラボックス76A、76Bが排気ボックス74A、74Bの背面に設けられた点と、供給ボックス72がフロアー全面に設けられた点である。他の構成は、図10と同じである。なお、供給ボックス72から最終バルブ設置部75A、75Bへ複数の配管は、四角形の点線BBで示す位置に配置することができる。
このような構成においても、図5で説明されたと同様に、供給ボックス72から同じガスを、処理モジュール3Aのバルブ40aおよび配管10Aaを介して処理モジュール3Aのノズル44aへ供給する場合と、処理モジュール3Bのバルブ40aおよび配管10Baを介して処理モジュール3Bのノズル44aへ供給する場合とで、同一の到達時間とできる。
(変形例3)
図11は、変形例3に係るガス供給系を示す図である。
図11には、例示的に、窒素ガス(N)、アンモニアガス(NH)、HCDSガス、およびクリーニングガス(GCL)を供給するガス供給系34について説明する。なお、最終バルブ設置部75Aの構成と最終バルブ設置部75Bの構成は同じであり、最終バルブ設置部75Bの構成の記載は省略する。
HCDSガスは、バルブ42a、MFC38a、バルブ41a、最終バルブ設置部75A、75Bのバルブ40aを介して、反応管10A、10Bのノズル44aへ供給可能にされている。
アンモニアガス(NH)は、バルブ42b、MFC38b、バルブ41b、最終バルブ設置部75A、75Bのバルブ40bを介して、反応管10A、10Bのノズル44bへ供給可能にされている。アンモニアガス(NH)は、また、バルブ41b2、最終バルブ設置部75A、75Bのバルブ40fを介して、反応管10A、10Bのノズル44cへも供給可能にされている。
窒素ガス(N)は、バルブ42d、MFC38c、バルブ41c、最終バルブ設置部75A、75Bのバルブ40cを介して、反応管10A、10Bのノズル44aへ供給可能にされている。また、窒素ガス(N)は、バルブ42d、MFC38d、バルブ41d、最終バルブ設置部75A、75Bのバルブ40dを介して、反応管10A、10Bのノズル44bへも供給可能にされている。さらに、窒素ガス(N)は、バルブ42d、MFC38f、バルブ41f、最終バルブ設置部75A、75Bのバルブ40fを介して、反応管10A、10Bのノズル44cへも供給可能にされている。
クリーニングガスGCLは、バルブ42g、MFC38g、バルブ41g、最終バルブ設置部75A、75Bのバルブ40g、40g2、40g3を介して、反応管10A、10Bの全ノズル44a、40b、40cへ供給可能にされている。
また、MFC38cの下流のバルブ41a2、MFC38bの下流の41b3、MFC38bの下流のバルブ41g2は、排気系ESへ接続されている。
図11に示すように、ガス供給系34の下流側の分配配管である複数のガス管35は、最終バルブ設置部75Aへ接続される複数のガス分配管35Aと、最終バルブ設置部75Bへ接続される複数のガス管35Bと、に分岐される。分岐後の複数のガス分配管35Aと複数のガス管35Bは、互いに等しい長さを有する。複数のガス管35には、適宜、ヒータ、フィルタ、チェック弁(逆止弁)、バッファタンク等が設けられうる。
処理モジュール3Aのファイナルバルブ群であるバルブ40a〜、40d、40f、40g、40g2、40g3は、処理モジュール3Aの反応管10Aが有する3本のノズル(インジェクタともいう)44a、44b、44cの手前に設けられ、インジェクタへのガス供給をコントローラ100により直接的に操作することが可能である。図11のファイナルバルブ群(バルブ40a〜、40d、40f、40g、40g2、40g3)は、1つのインジェクタ(44a、44b、44c)に対し、複数のガスを同時に(つまり混合して)供給できる。また、1つの分配配管からのクリーニングガスGCLは、すべてのインジェクタ(44a、44b、44c)に供給できるように構成される。処理モジュール3Bのファイナルバルブ群であるバルブ40a〜、40d、40f、40g、40g2、40g3は、処理モジュール3Aのファイナルバルブ群(バルブ40a〜、40d、40f、40g、40g2、40g3)と同一の構成を有する。
本実施形態によれば、以下の1また複数の効果を得ることができる。
1)複数の処理モジュール(3A,3B)間において、生成された膜の品質を同等にすることができる。
2)複数の処理モジュール(3A,3B)間において、熱履歴を同等にすることができる。
3)複数の処理モジュール(3A,3B)に対して、共通の供給ボックスを設け、供給ボックスから最終バルブまでのガス管を共有するので、基板処理装置の省スペース化が可能である。
4)上記3)により、基板処理装置が必要とするフットプリントが低下し、必要な生産量に対して、クリーンルームの使用面積を抑えることが可能となり、経済性において非常に有利である。
例えば、上述の実施形態では、原料ガスとしてHCDSガスを用いる例について説明したが、本発明は、このような態様に限定されない。例えば、原料ガスとしては、HCDSガスの他、DCS(Si4Cl:ジクロロジシラン)ガス、MCS(SiHCl:モノクロロシラン)ガス、TCS(SiHCl:トリクロロシラン)ガス等の無機系ハロシラン原料ガスや、3DMAS(Si[N(CHH:トリスジメチルアミノシラン)ガス、BTBAS(SiH[NH(C)]:ビスターシャリブチルアミノシラン)ガス等のハロゲン基非含有のアミノ系(アミン系)シラン原料ガスや、MS(SiH:モノシラン)ガス、DS(Si:ジシラン)ガス等のハロゲン基非含有の無機系シラン原料ガスを用いることができる。
例えば、上述の実施形態では、SiN膜を形成する例について説明した。しかしながら、本発明は、このような態様に限定されない。例えば、これらの他、もしくは、これらに加え、アンモニア(NH)ガス等の窒素(N)含有ガス(窒化ガス)、プロピレン(C)ガス等の炭素(C)含有ガス、三塩化硼素(BCl)ガス等の硼素(B)含有ガス等を用い、SiO2膜、SiON膜、SiOCN膜、SiOC膜、SiCN膜、SiBN膜、SiBCN膜等を形成することができる。これらの成膜を行う場合においても、上述の実施形態と同様な処理条件にて成膜を行うことができ、上述の実施形態と同様の効果が得られる。
上述の実施形態では、ウエハW上に膜を堆積させる例について説明したが、本発明は、このような態様に限定されない。例えば、ウエハWやウエハW上に形成された膜等に対して、酸化処理、拡散処理、アニール処理、エッチング処理等の処理を行う場合にも、好適に適用可能である。
以上、本発明者によってなされた発明を実施例に基づき具体的に説明したが、本発明は、上記実施形態および実施例に限定されるものではなく、種々変更可能であることはいうまでもない。
たとえば、1つのガス供給ユニットに対して、3つ以上の複数の処理モジュールの反応室を配置し、長さの等しい供給管によってガスを供給するように構成することも可能である。また、当業者であれば、使用するガスの全部ではなく一部(例えばSi原料ガス)が共通する2つの同一時間のレシピを所定の時差で並列に実行する装置にも、容易に適用しうる。
〈本開示の好ましい態様〉
以下に、付記として本開示の態様を記す。
(付記1)
縦方向に配置された複数の基板を処理する第1の処理容器を有する第1の処理モジュールと、
前記第1の処理容器に隣接して配置され、縦方向に配置された複数の基板を処理する第2の処理容器を有する第2の処理モジュールと、
前記第1の処理容器内を排気する第1の排気系が収納された第1の排気ボックスと、
前記第2の処理容器内を排気する第2の排気系が収納された第2の排気ボックスと、
前記第1及び第2の処理容器内に供給する複数の処理ガスの流路もしくは流量の少なくとも一方を制御する共通供給ボックスと、
前記共通供給ボックスからのガス管を、前記第1の処理容器へ、連通状態を制御可能に接続する第1のバルブ群と、
前記共通供給ボックスからのガス管を、前記第2の処理容器へ、連通状態を制御可能に接続する第2のバルブ群と、を備え、
前記第1のバルブ群に含まれる複数のバブルと、前記第2のバルブ群に含まれる複数のバブルは、それぞれガス分配管を介して、前記共通供給ボックスからの同じガス管の分岐点に接続され、互いに流体連通可能であり、
前記第1及び第2の処理モジュールにおいて、同じ膜を生成させるために、実質的に同じガス供給シーケンスを繰り返す処理を、時間をずらして並行して行い、
前記ずらす時間は、前記複数の処理ガスの内の特定のガスの供給タイミングが、先に処理を開始した前記第1及び第2の処理モジュールの一方のガス供給シーケンスと重ならないように、後に処理を開始する前記第1及び第2の処理モジュールの他方のガス供給シーケンスを遅らせる方法によって決定される、基板処理装置。
(付記2)
付記1において、
前記複数の処理ガスは、3種類の原料ガスを含み、
前記ガス供給シーケンスは、1つの処理容器に対して、前記3種類の原料ガスを、時間的な間隔を開けて周期的に供給するものであり、
前記ガス供給シーケンスは、少なくとも前記時間的な間隔の間、第1又は第2の排気系による排気が行われる。
(付記3)
付記2において、
前記排気は、第1又は第2の排気系による排気が行われる排気工程と、排気工程の後にパージガスを流しながら第1又は第2の排気系による排気を行うパージ工程と、を含む、基板処理装置。
(付記4)
付記2において、
前記ずらす時間は、前記ガス供給シーケンスにおいて、前記3種類の原料ガスのうち供給時間が最も長い原料ガスの供給時間と同じ時間である。
(付記5)
付記2において、
前記ずらす時間は、前記3種類の原料ガスに含まれる第1ガスと第2ガスの排気タイミングが、第1の処理モジュールと第2の処理モジュールの間で重ならないようにするルールに基づいて、更に制限される基板処理装置。
(付記6)
付記2において、
前記ずらす時間は、前記3種類の原料ガスに含まれる第1ガスのパージ工程の終了と、第2ガスの排気工程とが、第1の処理モジュールと第2の処理モジュールの間で重ならないようにするルールに基づいて、更に制限される基板処理装置。
3:処理モジュール
4:反応管
72:供給ボックス
74:排気ボックス
76:コントローラボックス
100:コントローラ

Claims (7)

  1. 縦方向に配置された複数の基板を処理する第1の処理容器を有する第1の処理モジュールと、
    前記第1の処理容器に隣接して配置され、縦方向に配置された複数の基板を処理する第2の処理容器を有する第2の処理モジュールと、
    前記第1の処理容器内を排気する第1の排気系と、
    前記第2の処理容器内を排気する第2の排気系と、
    前記第1及び第2の処理容器内に供給する複数の処理ガスの流路もしくは流量の少なくとも一方を制御する共通供給ボックスと、
    前記共通供給ボックスからのガス管を、前記第1の処理容器へ、連通状態を制御可能に接続する第1のバルブ群と、
    前記共通供給ボックスからのガス管を、前記第2の処理容器へ、連通状態を制御可能に接続する第2のバルブ群と、
    前記第1の処理モジュール、前記第1の排気系及び前記第1のバルブ群を制御する第1のプロセス制御器と、
    前記第2の処理モジュール、前記第2の排気系及び前記第2のバルブ群を制御する第2のプロセス制御器と、を備え、
    前記第1及び第2の処理モジュールにおいて、同じ膜を生成させるために、実質的に同じガス供給シーケンスを繰り返す処理を、時間をずらして並行して行い、
    前記第1及び第2のプロセス制御器は、それぞれが制御する前記第1及び前記第2のバルブ群の流通状態を実質的に表す情報を、他のプロセス制御器に伝達し、前記第1及び前記第2のバルブ群で同一のガスのバルブの同時供給を禁止している間を除き、前記第1及び前記第2の処理モジュールは、非同期で運用され、
    前記ずらす時間は、前記複数の処理ガスの内の特定のガスの供給タイミングが、先に処理を開始した前記第1及び第2の処理モジュールの一方のガス供給シーケンスと重ならないように、後に処理を開始する前記第1及び第2の処理モジュールの他方のガス供給シーケンスを遅らせる方法によって決定される、基板処理装置。
  2. 請求項1において、
    前記共通供給ボックスと前記第1のバルブ群との間の複数のガス分配管の少なくとも1つと、前記共通供給ボックスと前記第2のバルブ群との間の対応するガス分配管には、バッファタンクが設けられる、基板処理装置。
  3. 請求項1において、
    前記複数の処理ガスは、3種類の原料ガスを含み、
    前記ガス供給シーケンスは、1つの処理容器に対して、前記3種類の原料ガスを、時間的な間隔を開けて周期的に供給し、前記時間的な間隔の間、排気が行われるものであり、
    前記排気は、前記第1又は第2の排気系による排気が行われる排気工程と、前記排気工程の後にパージガスを流しながら前記第1又は第2の排気系による排気を行うパージ工程と、を含む、基板処理装置。
  4. 縦方向に配置された複数の基板を処理する第1の処理容器を有する第1の処理モジュールと、
    前記第1の処理容器に隣接して配置され、縦方向に配置された複数の基板を処理する第2の処理容器を有する第2の処理モジュールと、
    前記第1の処理容器内を排気する第1の排気系と、
    前記第2の処理容器内を排気する第2の排気系と、
    前記第1及び第2の処理容器内に供給する複数の処理ガスの流路もしくは流量の少なくとも一方を制御する共通供給ボックスと、
    前記共通供給ボックスからのガス管を、前記第1の処理容器へ、連通状態を制御可能に接続する第1のバルブ群と、
    前記共通供給ボックスからのガス管を、前記第2の処理容器へ、連通状態を制御可能に接続する第2のバルブ群と、
    前記第1の処理モジュール、前記第1の排気系及び前記第1のバルブ群を制御する第1のプロセス制御器と、
    前記第2の処理モジュール、前記第2の排気系及び前記第2のバルブ群を制御する第2のプロセス制御器と、を備え、
    前記複数の処理ガスは、3種類の原料ガスを含み、
    前記第1及び第2の処理モジュールにおいて、同じ膜を生成させるために、実質的に同じガス供給シーケンスを繰り返す処理を、時間をずらして並行して行い、
    前記ガス供給シーケンスは、1つの処理容器に対して、前記3種類の原料ガスを、時間的な間隔を開けて周期的に供給し、前記時間的な間隔の間、排気が行われるものであり、
    前記ずらす時間は、前記複数の処理ガスのうち供給時間が最も長い原料ガスの供給時間と同じ時間とする第1ルールと、前記3種類の原料ガスに含まれる第1ガスと第2ガスの排気タイミングが、第1の処理モジュールと第2の処理モジュールの間で重ならないようにする第2ルールと、前記3種類の原料ガスに含まれる第1ガスのパージ工程の終了と、第2ガスの排気工程とが、第1の処理モジュールと第2の処理モジュールの間で重ならないようにする第3ルールと、前記3種類の原料ガスのそれぞれの排気工程が、第1の処理モジュールと第2の処理モジュールの間で重ならないようにする第4ルールと、の内の少なくとも1つに基づいて決定される基板処理装置。
  5. 請求項1又は4において、
    前記第1の排気系を収納する第1の排気ボックスと、前記第2の排気系を収納する第2の排気ボックスは、前記第1及び第2の処理モジュールの外側側面と前記第1及び第2の排気ボックスの外側側面とが平面に接続するように設置され、
    前記共通供給ボックスは、前記第1及び第2の排気ボックスの間のフロアに設けられる基板処理装置。
  6. 請求項1又は4において、
    前記第1及び第2の排気系と、前記共通供給ボックスと、前記第1及び第2のバルブ群は、前記第1及び前記第2の処理モジュールの背面近傍に配置されるユーティリティ系の中に設けられ、
    前記ユーティリティ系の両側には、前記第1及び第2の処理モジュールのそれぞれのメンテナンスを行うための第1及び第2のメンテナンスエリアが形成される、基板処理装置。
  7. 縦方向に配置された複数の基板を処理する第1の処理容器を有する第1の処理モジュールと、前記第1の処理容器に隣接して配置され、縦方向に配置された複数の基板を処理する第2の処理容器を有する第2の処理モジュールと、前記第1の処理容器内を排気する第1の排気系と、前記第2の処理容器内を排気する第2の排気系と、前記第1及び第2の処理容器内に供給する複数の処理ガスの流路もしくは流量の少なくとも一方を制御する共通供給ボックスと、前記共通供給ボックスからのガス管を、前記第1の処理容器へ、連通状態を制御可能に接続する第1のバルブ群と、前記共通供給ボックスからのガス管を、前記第2の処理容器へ、連通状態を制御可能に接続する第2のバルブ群と、を備える基板処理装置の前記第1の処理容器および前記第2の処理容器のそれぞれに、縦方向に配置された複数の基板を搬入する工程と、
    前記第1及び第2の処理モジュールにおいて、同じ膜を生成させるために、第1のプロセス制御器が前記第1の処理モジュール、前記第1の排気系及び前記第1のバルブ群を制御し、第2のプロセス制御器が前記第2の処理モジュール、前記第2の排気系及び前記第2のバルブ群を制御して、実質的に同じガス供給シーケンスを繰り返す処理を、時間をずらして並行して行う工程と、を含み、
    前記並行して行う工程では、前記第1及び第2のプロセス制御器は、それぞれが制御する前記第1及び前記第2のバルブ群の流通状態を実質的に表す情報を、他のプロセス制御器に伝達し、前記第1及び前記第2のバルブ群で同一のガスのバルブの同時供給を禁止している間を除き、前記第1及び前記第2の処理モジュールを非同期で運用し、
    前記ずらす時間は、前記複数の処理ガスの内の特定のガスの供給タイミングが、先に処理を開始した前記第1及び第2の処理モジュールの一方のガス供給シーケンスと重ならないように、後に処理を開始する前記第1及び第2の処理モジュールの他方のガス供給シーケンスを遅らせる方法によって決定される、半導体装置の製造方法。
JP2018165213A 2018-09-04 2018-09-04 基板処理装置および半導体装置の製造方法 Active JP6896682B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2018165213A JP6896682B2 (ja) 2018-09-04 2018-09-04 基板処理装置および半導体装置の製造方法
CN201910803261.XA CN110872701B (zh) 2018-09-04 2019-08-28 基板处理装置及半导体装置的制造方法
US16/555,755 US10590531B1 (en) 2018-09-04 2019-08-29 Substrate processing apparatus, and method of manufacturing semiconductor device
KR1020190106458A KR102271228B1 (ko) 2018-09-04 2019-08-29 기판 처리 장치 및 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018165213A JP6896682B2 (ja) 2018-09-04 2018-09-04 基板処理装置および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2020038904A JP2020038904A (ja) 2020-03-12
JP6896682B2 true JP6896682B2 (ja) 2021-06-30

Family

ID=69642120

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018165213A Active JP6896682B2 (ja) 2018-09-04 2018-09-04 基板処理装置および半導体装置の製造方法

Country Status (4)

Country Link
US (1) US10590531B1 (ja)
JP (1) JP6896682B2 (ja)
KR (1) KR102271228B1 (ja)
CN (1) CN110872701B (ja)

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102175089B1 (ko) * 2018-08-23 2020-11-06 세메스 주식회사 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP7357660B2 (ja) * 2021-07-09 2023-10-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202343622A (zh) 2022-04-28 2023-11-01 日商國際電氣股份有限公司 氣體供給系統,基板處理裝置及半導體裝置的製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2005129579A (ja) * 2003-10-21 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20060176928A1 (en) * 2005-02-08 2006-08-10 Tokyo Electron Limited Substrate processing apparatus, control method adopted in substrate processing apparatus and program
JP4933809B2 (ja) * 2006-03-13 2012-05-16 株式会社日立国際電気 基板処理装置及び基板処理方法及び基板処理装置の判定プログラム
JP5575507B2 (ja) * 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8496756B2 (en) * 2010-04-30 2013-07-30 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
JP2012164736A (ja) * 2011-02-04 2012-08-30 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
KR101989366B1 (ko) * 2012-07-04 2019-06-14 도쿄엘렉트론가부시키가이샤 기판 처리 장치
JP6219402B2 (ja) * 2012-12-03 2017-10-25 エーエスエム イーペー ホールディング ベー.フェー. モジュール式縦型炉処理システム
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
JP6672160B2 (ja) * 2014-03-13 2020-03-25 エム ケー エス インストルメンツ インコーポレーテッドMks Instruments,Incorporated 質量流量制御装置、システムおよび方法
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
JP6363408B2 (ja) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 成膜装置および成膜方法
KR20240017095A (ko) * 2016-06-30 2024-02-06 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6789171B2 (ja) * 2017-04-21 2020-11-25 東京エレクトロン株式会社 基板処理装置、処理ガスノズル内のパーティクルコーティング方法及び基板処理方法
US10763139B2 (en) * 2017-05-23 2020-09-01 Tokyo Electron Limited Vacuum transfer module and substrate processing apparatus

Also Published As

Publication number Publication date
CN110872701A (zh) 2020-03-10
JP2020038904A (ja) 2020-03-12
US20200071821A1 (en) 2020-03-05
US10590531B1 (en) 2020-03-17
KR102271228B1 (ko) 2021-07-01
CN110872701B (zh) 2022-04-08
KR20200027430A (ko) 2020-03-12

Similar Documents

Publication Publication Date Title
JP6896682B2 (ja) 基板処理装置および半導体装置の製造方法
KR101943808B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101998578B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20210202215A1 (en) Plasma generating device, substrate processing apparatus, and method of manufacturing semiconductor device
KR20190026583A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6462161B2 (ja) 基板処理装置および半導体装置の製造方法
US11482415B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium
JP2012216696A (ja) 基板処理装置及び半導体装置の製造方法
JP7429747B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20200399759A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP2019125805A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP2019110340A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP2019135776A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
US11961715B2 (en) Substrate processing apparatus, substrate retainer and method of manufacturing semiconductor device
US11542603B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and substrate processing method
JP6625256B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210105

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210519

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210609

R150 Certificate of patent or registration of utility model

Ref document number: 6896682

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150