US20200071821A1 - Substrate processing apparatus, and method of manufacturing semiconductor device - Google Patents

Substrate processing apparatus, and method of manufacturing semiconductor device Download PDF

Info

Publication number
US20200071821A1
US20200071821A1 US16/555,755 US201916555755A US2020071821A1 US 20200071821 A1 US20200071821 A1 US 20200071821A1 US 201916555755 A US201916555755 A US 201916555755A US 2020071821 A1 US2020071821 A1 US 2020071821A1
Authority
US
United States
Prior art keywords
gas
processing module
processing
exhaust
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/555,755
Other versions
US10590531B1 (en
Inventor
Kenji Shirako
Tomoshi Taniyama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Assigned to Kokusai Electric Corporation reassignment Kokusai Electric Corporation ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHIRAKO, KENJI, TANIYAMA, TOMOSHI
Publication of US20200071821A1 publication Critical patent/US20200071821A1/en
Application granted granted Critical
Publication of US10590531B1 publication Critical patent/US10590531B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements

Definitions

  • the present disclosure relates to a substrate processing apparatus, and a method of manufacturing a semiconductor device.
  • a substrate processing apparatus includes a processing module having a process furnace that processes a plurality of vertically arranged substrates.
  • a substrate processing apparatus including a plurality of processing modules has been proposed in the related art.
  • a substrate processing apparatus including a first processing module and a second processing module
  • qualities of the films formed by the plurality of processing modules may differ from each other.
  • Some embodiments of the present disclosure provide a technique capable of obtaining uniform qualities for films formed by first and second processing modules when forming the same film in the first and second processing modules.
  • a technique including: a first processing module including a first processing chamber for processing a plurality of vertically arranged substrates; a second processing module including a second processing chamber for processing the plurality of vertically arranged substrates, the second processing chamber being disposed adjacent to the first processing chamber; a first exhaust box storing a first exhaust system configured to exhaust the first processing chamber; a second exhaust box storing a second exhaust system configured to exhaust the second processing chamber; a common supply box configured to control at least one of a flow path and a flow rate of a plurality of process gases supplied into the first and second processing chambers; a first valve group that connects gas pipes from the common supply box to the first processing chamber such that a communication state between the gas pipes and the first processing chamber is controllable; and a second valve group that connects the gas pipes from the common supply box to the second processing chamber such that a communication state between the gas pipes and the second processing chamber is controllable, wherein, in the first processing module and the second processing module,
  • FIG. 1 is a top view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 2 is a longitudinal sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 3 is a longitudinal sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 4 is a longitudinal sectional view schematically showing an example of a process furnace suitably used in an embodiment of the present disclosure.
  • FIG. 5 is a cross-sectional view schematically showing an example of a processing module suitably used in an embodiment of the present disclosure.
  • FIG. 6A is a view for explaining an example of control of a recipe by a controller.
  • FIG. 6B is a view for explaining an example of control of a recipe by a controller.
  • FIG. 6C is a view for explaining an example of control of a recipe by a controller.
  • FIG. 7 is a view for explaining another example of control of the recipe by the controller.
  • FIG. 8 is a view showing a processing flow for determining a shift amount.
  • FIG. 9 is a top view schematically illustrating an example of a substrate processing apparatus according to a first modification.
  • FIG. 10 is a top view schematically showing an example of a substrate processing apparatus according to a second modification.
  • FIG. 11 is a view showing a gas supply system according to a third modification.
  • a storage chamber 9 side to be described later is referred to as a front side (forward side), and the transport chambers 6 A and 6 B side to be described later is referred to as a back side (backward side).
  • a side facing a boundary line (adjacent surface) of processing modules 3 A and 3 B to be described later is referred to as an inner side, and a side away from the boundary line is referred to as an outer side.
  • a substrate processing apparatus 2 is configured as a vertical substrate processing apparatus (hereinafter referred to as a processing apparatus) 2 which carries out a substrate processing process such as heat treatment as one process of a manufacturing process in a method of manufacturing a semiconductor device.
  • a substrate processing apparatus 2 which carries out a substrate processing process such as heat treatment as one process of a manufacturing process in a method of manufacturing a semiconductor device.
  • the processing apparatus 2 includes two adjacent processing modules 3 A and 3 B.
  • the processing module 3 A is constituted by a process furnace 4 A and a transfer chamber 6 A.
  • the processing module 3 B is constituted by a process furnace 4 B and a transfer chamber 6 B. Transfer chambers 6 A and 6 B are disposed below the process furnaces 4 A and 4 B, respectively.
  • a transfer chamber 8 including a transfer device 7 for transferring a wafer W is disposed adjacent to the front side of the transfer chambers 6 A and 6 B.
  • a storage chamber 9 for storing a pod (hoop) 5 for storing a plurality of wafers W is connected to the front side of the transfer chamber 8 .
  • An I/O port 22 is installed on the entire surface of the storage chamber 9 , and the pod 5 is loaded/unloaded into/from the processing apparatus 2 via the I/O port 22 .
  • Gate valves 90 A and 90 B are installed on the boundary walls (adjacent surfaces) of the transfer chambers 6 A and 6 B and the transfer chamber 8 , respectively.
  • Pressure detectors are respectively installed in the transfer chamber 8 and in the transfer chambers 6 A and 6 B, and an internal pressure of the transfer chamber 8 is set to be lower than internal pressures of the transfer chambers 6 A and 6 B.
  • oxygen concentration detectors are respectively installed in the transfer chamber 8 and the transfer chambers 6 A and 6 B, and an oxygen concentration in the transfer chamber 8 A and the transfer chambers 6 A and 6 B is kept to be lower than an oxygen concentration in the atmosphere.
  • a clean unit 62 C for supplying clean air into the transfer chamber 8 is installed on a ceiling of the transfer chamber 8 .
  • the clean unit 62 C is configured to circulate the clean air, for example, an inert gas, in the transfer chamber 8 .
  • the inside of the transfer chamber 8 can be made into a clean atmosphere.
  • processing module 3 A and the processing module 3 B have the same configuration, only the processing module 3 A will be representatively described below.
  • the process furnace 4 A includes a cylindrical reaction tube 10 A and a heater 12 A as a heating means (heating mechanism) installed on an outer periphery of the reaction tube 10 A.
  • the reaction tube is made of, for example, quartz or SiC.
  • a process chamber 14 A for processing a wafer W as a substrate is formed inside the reaction tube 10 A.
  • a temperature detection part 16 A as a temperature detector is installed in the reaction tube 10 A. The temperature detection part 16 A stands along the inner wall of the reaction tube 10 A.
  • a gas used for substrate processing is supplied into the process chamber 14 A by a gas supply mechanism 34 as a gas supply system.
  • the gas supplied by the gas supply mechanism 34 may be changed depending on a type of film to be formed.
  • the gas supply mechanism 34 includes a precursor gas supply part, a reaction gas supply part and an inert gas supply part.
  • the gas supply mechanism 34 is stored in a supply box 72 to be described later. Since the supply box 72 is provided in common for the processing modules 3 A and 3 B, it is regarded as a common supply box.
  • the precursor gas supply part which is a first gas supply part, includes a gas supply pipe 36 a .
  • the gas supply pipe 36 a is provided with a mass flow controller (MFC) 38 a , which is a flow rate controller (flow rate control part), and valves 41 a and 40 a , which are opening/closing valves such as diaphragm valves, in order of upstream to downstream.
  • MFC mass flow controller
  • the gas supply pipe 36 a is connected to a nozzle 44 a penetrating a side wall of a manifold 18 .
  • the nozzle 44 a is vertically installed in the reaction tube 10 A and has a plurality of supply holes opened toward wafers W held by a boat 26 . A precursor gas is supplied to the wafers W through the supply holes of the nozzle 44 a.
  • a reaction gas is supplied to the wafers W from the reaction gas supply part, which is a second gas supply part, through a supply pipe 36 b , an WC 38 b , a valve 41 b , a valve 40 b and a nozzle 44 b .
  • An inert gas is supplied to the wafers W from the inert gas supply part through supply pipes 36 c and 36 d , MFCs 38 c and 38 d , valves 41 c and 41 d , valves 40 c and 40 d and nozzles 44 a and 44 b .
  • the nozzle 44 b is vertically installed in the reaction tube 10 A and has a plurality of supply holes opened toward the wafers W held by the boat 26 .
  • the precursor gas is supplied to the wafers W through the supply holes of the nozzle 44 b.
  • the gas supply mechanism 34 is provided with a third gas supply part for supplying a reaction gas, a precursor gas, or an inert gas or a cleaning gas that does not directly contribute to the substrate processing, to the wafers W.
  • the reaction gas is supplied to the wafers W from the third gas supply part through a supply pipe 36 e , an WC 38 e , a valve 41 e , a valve 40 e and a nozzle 44 c .
  • the inert gas or the cleaning gas is supplied to the wafers W from the inert gas supply part through a supply pipe 36 f , an WC 38 f , a valve 41 f , a valve 40 f and a nozzle 44 c .
  • the nozzle 44 c is vertically installed in the reaction tube 10 A and has a plurality of supply holes opened toward the wafers W held by the boat 26 .
  • the precursor gas is supplied to the wafers W through the supply holes of the nozzle 44 c.
  • Three nozzles 44 a , 44 b and 44 c are installed in the reaction tube 10 A, so that three types of precursor gases can be supplied into the reaction tube 10 A in a predetermined sequence or in a predetermined cycle.
  • Valves 40 a , 40 b , 40 c , 40 d , 40 e and 40 f connected to the nozzles 44 a , 44 b and 44 c in the reaction tube 10 A are final valves and are provided in a final valve installation part 75 A to be described later.
  • three nozzles 44 a , 44 b and 44 c are installed in the reaction tube 10 B, so that three types of precursor gases can be supplied into the reaction tube 10 B in a predetermined sequence or in a predetermined cycle.
  • Valves 40 a , 40 b , 40 c , 40 d , 40 e and 40 f connected to the nozzles 44 a , 44 b and 44 c in the reaction tube 10 B are final valves and are provided in a final valve installation part 75 B to be described later.
  • a plurality of gas pipes 35 on the output side of the valves 41 a to 41 f are branched into a plurality of gas distribution pipes 35 A respectively connected to the valves 40 a , 40 b , 40 c , 40 d , 40 e and 40 f of the reaction tube 10 A and a plurality of gas distribution pipes 35 B respectively connected to the valves 40 a , 40 b , 40 c , 40 d , 40 e and 40 f of the reaction tube 10 B between the valves 41 a to 41 f and the valves 40 a to 40 f .
  • the plurality of gas pipes 35 may be regarded as gas pipes in common for the reaction tubes 10 A and 10 B.
  • An exhaust pipe 46 A is attached to the manifold 18 A.
  • a vacuum pump 52 A as a vacuum exhaust device is connected to the exhaust pipe 46 A via a pressure sensor 48 A as a pressure detector (pressure detection part) for detecting the internal pressure of the process chamber 14 A and an APC (Auto Pressure Controller) valve 50 A as a pressure regulator (pressure regulation part).
  • a pressure sensor 48 A as a pressure detector (pressure detection part) for detecting the internal pressure of the process chamber 14 A
  • an APC (Auto Pressure Controller) valve 50 A as a pressure regulator (pressure regulation part).
  • An exhaust system A is mainly constituted by the exhaust pipe 46 A, the APC valve 50 A and the pressure sensor 48 A.
  • the exhaust system A is stored in an exhaust box 74 A to be described later.
  • One vacuum pump 52 A may be installed in common for the processing modules 3 A and 3 B.
  • the process chamber 14 A accommodates therein a boat 26 A as a substrate holder which vertically supports a plurality of wafers W, for example, 25 to 150 wafers W, in a shelf shape.
  • the boat 26 A is supported above a heat insulating part 24 A by a rotary shaft 28 A penetrating a lid 22 A and the heat insulating part 24 A.
  • the rotary shaft 28 A is connected to a rotation mechanism 30 A installed below the lid 22 A.
  • the rotary shaft 28 A is configured to be rotatable in a state in which the inside of the reaction tube 10 A is air-tightly sealed.
  • the lid 22 A is vertically driven by a boat elevator 32 A as an elevation mechanism.
  • the boat 26 A and the lid 22 A are integrally raised and lowered, and the boat 26 A is loaded/unloaded into/from the reaction tube 10 A.
  • a clean unit 60 A is installed on one side in the transfer chamber 6 A (an outer side of the transfer chamber 6 A, or a side opposite to a side facing the transfer chamber 6 B).
  • the clean unit 60 A is configured to circulate clean air (for example, an inert gas) inside the transfer chamber 6 A.
  • the inert gas supplied into the transfer chamber 6 A is exhausted from the transfer chamber 6 A by an exhaust unit 62 A installed on the side surface facing the clean unit 60 A (the side surface facing the transfer chamber 6 B) with the boat 26 A interposed between the exhaust unit 62 A and the clean unit 60 A, and is resupplied from the clean unit 60 A into the transfer chamber 6 A (circulation purge).
  • the internal pressure of the transfer chamber 6 A is set to be lower than the internal pressure of the transfer chamber 8 .
  • the oxygen concentration in the transfer chamber 6 A is set to be lower than the oxygen concentration in the atmosphere. With such a configuration, it is possible to prevent a natural oxide film from being formed on the wafers W during the transfer operation of the wafers W.
  • a controller 100 is connected to and controls the rotation mechanism 30 A, the boat elevator 32 A, the MFCs 38 a to 38 f and the valves 41 a to 40 f of the gas supply mechanism 34 A, and the APC valve 50 A.
  • the controller 100 includes, for example, a microprocessor (computer) including a CPU, and is configured to control the operation of the processing apparatus 2 .
  • An input/output device 102 configured as, for example, a touch panel or the like is connected to the controller 100 .
  • One controller 100 may be installed for each of the processing module 3 A and the processing module 3 B, or may be installed in common for them.
  • a storage part 104 may be a storage device (hard disk or flash memory) incorporated in the controller 100 , or an external portable recording device (magnetic tape, magnetic disk such as flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, or a semiconductor memory such as USB memory or memory card).
  • a program may be provided to the computer using communication means such as the Internet or a dedicated line.
  • the controller 100 reads the program from the storage part 104 according to an instruction from the input/output device 102 as necessary and executes a process according to a read recipe, the processing apparatus 2 execute a desired process under control of the controller 100 .
  • the controller 100 is stored in a controller box 76 ( 76 A and 76 B).
  • the controller 100 When the controller 100 is installed for each of the processing module 3 A and the processing module 3 B, the controller 100 (A) for controlling the processing module 3 A is installed in the controller box 76 A, and the controller 100 (B) for controlling the processing module 3 B is installed in the controller box 76 B.
  • a process of forming a film on a substrate (film-forming process) using the above-described processing apparatus 2 will be described.
  • SiN silicon nitride
  • HCDS hexachlorodisilane
  • NH 3 ammonia
  • the operations of various parts constituting the processing apparatus 2 are controlled by the controller 100 .
  • a SiN film is formed on a wafer W by repeating a step of supplying an HCDS gas to the wafer W in the process chamber 14 A, a step of removing the HCDS gas (residual gas) from the inside of the process chamber 14 A, a step of supplying an NH 3 gas to the wafer W in the process chamber 14 A, and a step of removing the NH 3 gas (residual gas) from the inside of the process chamber 14 A a predetermined number of times (once or more).
  • this film formation sequence is written as follows for the sake of convenience.
  • the gate valve 90 A is opened, and the wafer W is transferred to the boat 26 A.
  • the gate valve 90 A is closed.
  • the boat 26 A is loaded into the process chamber 14 A by the boat elevator 32 A (boat loading), and the lower opening of the reaction tube 10 A is in a state of being air-tightly closed (sealed) by the lid 22 A.
  • the process chamber 14 A is vacuum-exhausted (evacuated) by the vacuum pump 52 A so that the inside of the process chamber 14 A reaches a predetermined pressure (degree of vacuum).
  • the internal pressure of the process chamber 14 A is measured by the pressure sensor 48 A, and the APC valve 50 A is feedback-controlled based on the measured pressure information.
  • the wafer W in the process chamber 14 A is heated by the heater 12 A so as to have a predetermined temperature.
  • a condition of conduction of current to the heater 12 A is feedback-controlled based on the temperature information detected by the temperature detection part 16 A so that the process chamber 14 A has a predetermined temperature distribution. Further, the rotation of the boat 26 A and the wafer W by the rotation mechanism 30 A is started.
  • an HCDS gas is supplied to the wafer W in the process chamber 14 A.
  • the HCDS gas is controlled by the MFC 38 a to have a desired flow rate, and is supplied into the process chamber 14 A via the gas supply pipe 36 a , the valves 41 a and 40 a and the nozzle 44 a .
  • the valve 40 a opens when the valve 41 a of processing module 3 A and/or 3 B opens.
  • the valve 40 a operates on interlocking basis, moreover the valve 40 a could operates more slowly than the valve 41 a for longer life time. That is achieved by restricting an air flow for an air-operated valve or by limiting applied voltage for a solenoid-operated valve. For example, a transition time of the valve 41 a from close to open could be set to 5 ms whereas that of valve 40 a is 3 ms. The same applies to the other valves 41 b to 41 f.
  • an N 2 gas may be supplied as an inert gas from the inert gas supply part into the process chamber 14 A (inert gas purge).
  • an NH 3 gas is supplied to the wafer W in the process chamber 14 A.
  • the NH 3 gas is controlled by the MFC 38 b to have a desired flow rate, and is supplied into the process chamber 14 A via the gas supply pipe 36 b , the valves 41 b and 40 b and the nozzle 44 b.
  • the supply of the NH 3 gas is stopped, and the inside of the process chamber 14 A is vacuum-exhausted by the vacuum pump 52 A.
  • an N 2 gas may be supplied from the inert gas supply part into the process chamber 14 A (inert gas purge).
  • an N 2 gas is supplied from the inert gas supply part, the inside of the process chamber 14 A is replaced with the N 2 gas, and the internal pressure of the process chamber 14 A is restored to the normal pressure. Thereafter, the lid 22 A is lowered by the boat elevator 32 A, and the boat 26 A is unloaded from the reaction tube 10 A (boat unloading). Thereafter, the processed wafer W is taken out of the boat 26 A (wafer discharging).
  • the wafer W may be stored in the pod 5 and unloaded out of the processing apparatus 2 , or may be transferred to the process furnace 4 B and continuously subjected to substrate processing such as annealing.
  • substrate processing such as annealing.
  • the gate valves 90 A and 90 B are opened, and the wafer W is directly transferred from the boat 26 A to the boat 26 B.
  • Subsequent loading/unloading of the wafer W into/from the process furnace 4 B is performed in the same procedure as the above-described substrate processing by the process furnace 4 A.
  • the substrate processing in the process furnace 4 B is performed, for example, in the same procedure as the above-described substrate processing by the process furnace 4 A.
  • the processing conditions at the time of forming the SiN film on the wafer W are exemplified as follows.
  • the boat 26 For example, if the boat 26 is broken, the boat 26 needs to be replaced. If the reaction tube 10 is broken or needs to be cleaned, it is necessary to remove the reaction tube 10 . In this manner, when maintenance for the transfer chamber 6 or the process furnace 4 is performed, the maintenance is performed from maintenance areas A and B on the back side of the processing apparatus 2 .
  • maintenance ports 78 A and 78 B are respectively formed on the back sides of the transfer chambers 6 A and 6 B.
  • the maintenance port 78 A is formed on the transfer chamber 6 B side of the transfer chamber 6 A
  • the maintenance port 78 B is formed on the transfer chamber 6 A side of the transfer chamber 6 B.
  • the maintenance ports 78 A and 78 B are opened and closed by maintenance doors 80 A and 80 B, respectively.
  • the maintenance doors 80 A and 80 B are configured to be rotated with hinges 82 A and 82 B as base shafts, respectively.
  • the hinge 82 A is installed on the transfer chamber 6 B side of the transfer chamber 6 A, and the hinge 82 B is installed on the transfer chamber 6 A side of the transfer chamber 6 B.
  • the hinges 82 A and 82 B are installed adjacent to each other near the inner corner located on the adjacent surfaces on the back sides of the transfer chambers 6 A and 6 B.
  • the maintenance areas are formed on the processing module 3 B side on the back surface of the processing module 3 A and on the processing module 3 A side on the back surface of the processing module 3 B.
  • the back side maintenance ports 78 A and 78 B are opened.
  • the maintenance door 80 A is configured to be opened to the left at 180 degrees toward the transfer chamber 6 A.
  • the maintenance door 80 B is configured to be opened to the right at 180 degrees toward the transfer chamber 6 B. That is, the maintenance door 80 A is rotated clockwise toward the transfer chamber 6 A and the maintenance door 80 B is rotated counterclockwise toward the transfer chamber 6 A. In other words, the maintenance doors 80 A and 80 B are rotated in opposite directions. Since the maintenance doors 80 A and 80 B are configured to be removable, they may be removed for maintenance.
  • a utility system 70 is installed near the back sides of the transfer chamber 6 A and 6 B.
  • the utility system 70 is interposed between maintenance areas A and B. When maintenance of the utility system 70 is performed, the maintenance is performed from the maintenance areas A and B.
  • the utility system 70 includes final valve installation parts 75 A and 75 B, exhaust boxes 74 A and 74 B, a supply box 72 and controller boxes 76 A and 76 B.
  • the utility system 70 is constituted by the exhaust boxes 74 A and 74 B, the supply box 72 and the controller boxes 76 A and 76 B in this order from the housing side (the transfer chambers 6 A and 6 B).
  • the final valve installation parts 75 A and 75 B are provided above the exhaust boxes 74 A and 74 B.
  • the maintenance ports of the boxes of the utility system 70 are formed on the maintenance areas A and B, respectively.
  • the supply box 72 is disposed on the side opposite to the side adjacent to the transfer chamber 6 A of the exhaust box 74 A, and a supply box 72 B is disposed adjacent to the side adjacent to the transfer chamber 6 B on the exhaust box 74 B.
  • the final valve installation part 75 A where the final valves (the valves 40 a , 40 b and 40 c located at the lowermost stage of the gas supply system) of the gas supply mechanism 34 are installed is disposed above the exhaust box 74 A. Preferably, it is disposed just above (right above) the exhaust box 74 A. With such a configuration, even when the supply box 72 is disposed away from the housing side, since the pipe length from the final valves to the process chamber can be shortened, the quality of film formation can be improved.
  • the valves 40 d , 40 e and 40 f are also disposed in the final valve installation part 75 A.
  • the final valve installation part 75 B where the final valves (the valves 40 a , 40 b and 40 c located at the lowermost stage of the gas supply system) of the gas supply mechanism 34 are installed is disposed above the exhaust box 74 B. Preferably, it is disposed just above (right above) the exhaust box 74 B. With such a configuration, even when the supply box 72 is disposed away from the housing side, since the pipe length from the final valves to the process chamber can be shortened, the quality of film formation can be improved.
  • the valves 40 d , 40 e and 40 f are also disposed in the final valve installation part 75 B.
  • the configurations of the processing modules 3 A and 3 B and the utility system 70 are arranged in plane symmetry with respect to an adjacent surface S 1 of the processing modules 3 A and 3 B.
  • the reaction tubes 10 A and 10 B are also arranged in plane symmetry with respect to the adjacent surface S 1 of the processing modules 3 A and 3 B.
  • pipes are arranged such that the pipe lengths of the exhaust pipes 46 A and 46 B from the processing modules 3 A and 3 B to the exhaust boxes 74 A and 74 B are substantially the same in the processing modules 3 A and 3 B.
  • pipes (gas pipes) are arranged such that the pipe lengths from final valves 40 A and 40 B installed in the final valve installation parts 75 A and 75 B to nozzles 44 A and 44 B are substantially the same in the processing modules 3 A and 3 B.
  • the final valve 40 A indicates the valves 40 a to 40 f of the processing module 3 A
  • the final valve 40 B indicates the valves 40 a to 40 f of the processing module 3 B
  • the nozzle 44 A indicates the nozzles 44 a to 44 c of the processing module 3 A
  • the nozzle 44 B indicates the nozzles 44 a to 44 c of the processing module 3 B.
  • a pipe 10 Aa corresponds to a pipe between the valve 40 a of the processing module 3 A and the nozzle 44 a of the processing module 3 A and a pipe 10 Ba corresponds to a pipe between the valve 40 a of the processing module 3 B and the nozzle 44 a of the processing module 3 B
  • the pipe 10 Aa and the pipe 10 Ba have substantially the pipe length
  • a pipe 10 Ab corresponds to a pipe between the valve 40 b of the processing module 3 A and the nozzle 44 b of the processing module 3 A
  • a pipe 10 Bb corresponds to a pipe between the valve 40 b of the processing module 3 B and the nozzle 44 b of the processing module 3 B
  • the pipe 10 Ab and the pipe 10 Bb have substantially the pipe length.
  • an arrival time when a gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3 A via the valve 40 a and the pipe 10 Aa of the processing module 3 A may be the same as an arrival time when the same gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3 B via the valve 40 a and the pipe 10 Ba of the processing module 3 B. Therefore, recipe management of the processing modules 3 A and 3 B by the controller 100 can be facilitated. Furthermore, as indicated by arrows in FIG. 5 , rotation directions of wafers W in the process furnaces 4 A and 4 B are also set to be opposite to each other.
  • the form of arrangement of the reaction tubes 10 A and 10 B is not limited to that shown in FIG. 5 .
  • the nozzles 44 A and 44 B may be installed to correspond to the final valve installation parts 75 A and 75 B, respectively.
  • the reaction tubes 10 A and 10 B may be arranged such that the exhaust pipes 46 A and 46 B leading to the exhaust boxes 74 A and 74 B have the shortest length.
  • the reaction tubes 10 A and 10 B may be disposed in plane symmetry with respect to the adjacent surface S 1 of the processing modules 3 A and 3 B.
  • the common supply box 72 is provided for the processing modules 3 A and 3 B and the gas pipes from the supply box 72 to the final valves 40 A and 40 B are shared, it is possible to save a space of the substrate processing apparatus.
  • a footprint required by the substrate processing apparatus 2 is lowered, and it is possible to reduce a use area of a clean room with respect to a required amount of production, which is very advantageous in terms of economy.
  • FIGS. 6A, 6B and 6C are views for explaining an example of control of a recipe by the controller.
  • a recipe is one describing a supply amount of each process gas such as reaction gas and precursor gas, a target vacuum degree (or an exhaust speed), process chamber temperature and the like. in a time series, and may include a pattern repeated at a fixed cycle.
  • the term recipe may, in a narrow sense, refer to one cycle of this repeated pattern.
  • the controller 100 that manages the recipe has a mutual monitoring function so that the same process gas cannot be simultaneously flown into the reaction tubes 10 A and 10 B of the processing modules 3 A and 3 B.
  • the controller 100 mutually monitors the recipe for the processing modules 3 A and 3 B based on the registered gas and valve, and performs control to optimize the recipe start time and the like so that the same process gas cannot be simultaneously flown into the processing modules 3 A and 3 B.
  • the optimization of the recipe start time and the like can be adjusted using evacuation time of the vacuum pump 52 A to evacuate the reaction tubes 10 A and 10 B or purge time for purging the reaction tubes 10 A and 10 B with an N 2 gas.
  • the mutual monitoring and control includes a valve level and a recipe level.
  • FIG. 6A shows an example of recipes RC 1 and RC 2 executed respectively by the processing modules 3 A and 3 B.
  • the recipes RC 1 and RC 2 are the same recipes, and use three process gases A, B and C.
  • the recipes RC 1 and RC 2 of substantially the same gas supply sequence are repeatedly executed for multiple cycles.
  • Each of the recipes RC 1 and RC 2 includes process steps PS 1 to PS 9 which are substantially the same gas supply sequence.
  • the process step PS 1 is a process (A) of supplying a process gas A into the reaction tube 10 A or 10 B.
  • the process step PS 4 is a process (B) of supplying a process gas B into the reaction tube 10 A or 10 B.
  • the process step PS 7 is a process (C) of supplying a process gas C into the reaction tube 10 A or 10 B.
  • the process steps PS 2 , PS 5 and PS 8 are performed after the process steps PS 1 , PS 4 and PS 7 , respectively.
  • the process steps PS 2 , PS 5 and PS 8 are processes (V) of evacuating the reaction tube 10 A or 10 B by setting the target vacuum degree to a relatively low pressure (for example, 10 to 100 Pa).
  • the process steps PS 3 , PS 6 and PS 9 are performed after the process step PS 2 , PS 5 and PS 8 , respectively.
  • the process steps PS 3 , PS 6 and PS 9 are processes (P) of evacuating the reaction tubes 10 A and 10 B while flowing a purge gas (N 2 gas) into the reaction tubes 10 A and 10 B.
  • a mass flow controller for the process gas A is the MFC 38 a
  • a mass flow controller for the process gas B is the MFC 38 b
  • a mass flow controller for the process gas C is the MFC 38 c .
  • the controllers 100 of the processing module 3 A and 3 B mutually monitor an opening/closing state of the valves 40 a to 40 c of the processing module 3 A and an opening/closing state of the valves 40 a to 40 c of the processing module 3 B between the processing modules 3 A and 3 B.
  • This control of the valve level is also called an interlock.
  • the controller 100 of the processing module 3 A opens the final valves of its own processing module 3 A according to the recipe.
  • the controller 100 of the processing module 3 A performs control to interrupt the recipe of its own processing module 3 A until the final valves are closed.
  • the controller 100 of the processing module 3 B opens the final valves of its own processing module 3 B according to the recipe.
  • the controller 100 of the processing module 3 B performs control to interrupt the recipe of its own processing module 3 B until the final valves are closed.
  • the controller 100 monitors progresses of the recipes RC 1 and RC 2 at each timing such as the start of the recipes RC 1 and RC 2 or before the boat loading, etc. and predicts the timing of sequence in which the used gases A, B and C flow.
  • the processing modules 3 A and 3 B when the same process gas A, B or C does not flow at the same timing, the recipes RC 1 and RC 2 proceed as they are.
  • the controller 100 calculates a sequence in which the same process gas does not flow at the same time, and performs control to shift the timing of the supply of the gas used.
  • the processing modules 3 A and 3 B in order to form the same film, processes of repeating substantially the same gas supply sequence are performed in parallel with each other while having a shift time therebetween.
  • the shift time is determined by delaying the gas supply sequence of one of the processing modules 3 A and 3 B which will start processing later so that the supply timing of a specific gas among the plurality of process gases A, B and C does not overlap with the gas supply sequence of the other of the processing modules 3 A and 3 B which has previously started processing.
  • the controller 100 predicts the timing of the gas supply sequence in which the process gases A, B and C used flow when the recipes RC 1 and RC 2 start. That is, it is assumed that the same process gas A, B or C is predicted to flow into the processing modules 3 A and 3 B at the same timing. In this case, the controller 100 calculates a sequence in which the same process gas does not flow at the same time, and performs control to shift the timing of supplying the gas used.
  • the controller 100 before the start of the recipes RC 1 and RC 2 , the controller 100 generates the recipe RC 2 for which the timing of the gas supply sequence is shifted in time so that the same process gas A, B or C does not flow at the same timing in the processing modules 3 A and 3 B.
  • a process step PSA 1 is automatically added by the controller 100 before the process step PS 1 .
  • the process step PSA 1 is, for example, a process (P) of evacuating the reaction tube 10 B while flowing a purge gas (N 2 gas) into the reaction tube 10 B.
  • the process step PSA 1 is added only before the first process step PS 1 of the first cycle.
  • the process step PSA 1 is not added before the process step PS 1 in the second and subsequent cycles of the recipe RC 2 (PS 1 to PS 9 ). That is, after performing the last process step PS 9 of the first cycle of the recipe RC 2 (PS 1 to PS 9 ), the first process step PS 1 of the second cycle of the recipe RC 2 (PS 1 to PS 9 ) is performed. Similarly, after performing the last process step PS 9 of the second cycle of the recipe RC 2 (PS 1 to PS 9 ), the first process step PS 1 of the third cycle of the recipe RC 2 (PS 1 to PS 9 ) is performed.
  • the one having the longest supply time (t max ) in one cycle of the recipes RC 1 and RC 2 is selected (here PS 7 ), and a recipe time difference t diff between the processing modules 3 A and 3 B is adjusted by delaying the start time of the process step PS 1 of either recipe RC 1 or RC 2 so that the recipe time difference t diff is equal to t max +n*t cycle .
  • the start time of PS 1 in the recipe RC 2 is delayed by a time when the process step PSA 1 is added, as compared with the start time of PS 1 in the recipe RC 1 .
  • the adjusted time difference t diff_adj t max n*t cycle (where, n is an arbitrary integer and t cycle is the time of one cycle of the recipe: the time from the start time of PS 1 to the end time of PS 7 ). It is assumed that t max ⁇ t cycle /2.
  • the controller 100 also has an adjustment function to make the heat histories of the process chambers 14 A and 14 B equal to each other. It is possible to set the determined time and automatically adjust the time for waiting for the purge time set for the history between batches as well as the recipes in simultaneous progression. That is, in the last cycle in which the recipes RC 1 and RC 2 of the processing modules 3 A and 3 B shown in FIG. 6B are repeatedly executed in multiple cycles, the process step PS 9 of the recipe RC 1 of the processing module 3 A will end earlier in time than the process step PS 9 of the recipe RC 2 of the processing module 3 B. Therefore, the heat history of the process chamber 14 A and the heat history of the process chamber 14 B will be different from each other.
  • a process step PSA 2 of the same time as PSA 1 is automatically added by the controller 100 after the process step PS 9 .
  • the process step PSA 2 is, for example, a process (P) of evacuating the reaction tube 10 A while flowing a purge gas (N 2 gas) into the reaction tube 10 A.
  • the processing module 3 A and the processing module 3 B basically operate asynchronously, and dependency between the processing module 3 A and the processing module 3 B is small. Therefore, even if one of the processing module 3 A and the processing module 3 B is stopped due to a failure or the like, the other of the processing module 3 A and the processing module 3 B can continue the processing.
  • FIG. 7 is a view for explaining another example of control of the recipe by the controller.
  • FIG. 7 shows four examples in which processing times of process steps (PSA 1 to PSA 4 ) added before the process step PS 1 are different in the recipe RC 2 performed in the processing module 3 B.
  • a recipe RC 21 shown in FIG. 7 is the same as the recipe RC 2 shown in FIG. 6B , and is based on a rule that the advancing time of the recipe RC 21 is shifted by the supply time of the process gas C for which the supply time in one cycle is the longest. Therefore, in the recipe RC 21 , the process step PSA 1 is added before the process step PS 1 . Thus, after the process step PS 7 of supplying the gas C to the processing module 3 A is completed, the process step PS 7 of supplying the gas C to the processing module 3 B is subsequently started.
  • the recipe RC 21 is based on a rule that the exhaust timing of the process gases A and B and the exhaust timing of the process gas C do not overlap with each other.
  • the recipe RC 21 is based on a rule that the end of the purge process of the process gases A, B and C does not overlap with the exhaust process of any gas. According to this rule, it is possible to prevent an increase in residual gas concentration at the end of purge.
  • a process step PSA 2 is added before the process step PS 1 .
  • the process step PS 1 of using the process gas A is started in the processing module 3 B.
  • a process step PSA 3 is added before the process step PS 1 .
  • the recipe RC 23 is based on a rule that the exhaust timings of the process gases A, B and C do not overlap with each other. This is suitable when a total time of continuous supply, exhaust and purge steps for one gas is t cycle /2 or more.
  • a process step PSA 4 is added before the process step PS 1 .
  • the supply of the process gas C to the processing module 3 A in the second cycle is subsequently started (the process step PS 7 in the second cycle).
  • the recipe RC 24 is equivalent to the recipe RC 21 of the processing module 3 B.
  • the start timing of the process step PS 1 of using the process gas A in the processing module 3 B can be optimally controlled by a set parameter and a predicted sequence.
  • FIG. 8 is a view showing a processing flow for determining an amount of shift in which the supply timings do not overlap with each other.
  • the processing flow of FIG. 8 is to calculate the required amount of shift starting from a state in which a time difference t adj between the cycles of the recipes of the processing modules 3 A and 3 B is zero.
  • Step S 1 0 is substituted for a variable t adj_add indicating the time to delay the recipe of the processing module 3 B further than the current time difference t adj .
  • Step S 2 The following process (steps S 21 to S 23 ) is performed on each of the process gases by sequentially selecting one (gas x) from the process gases.
  • Step S 21 In one specific cycle of the recipe in the processing module 3 A, the supply section of the gas x is sequentially selected from the top, and its start time t 1xi_start and its end time t 1xi_end are specified.
  • i is an index of n x existing supply sections.
  • Step S 23 If the index i has not reached n x , the process returns to step S 21 , and if it has reached n x , the process proceeds to the next step (step S 3 ).
  • Step S 3 If the held variable t adj_add is zero, the current time difference t adj is determined (that is, t adj is determined as t diff_adj or t max ), and the process is ended.
  • step S 3 When the variable t adj_add add is non-zero in step S 3 , if t cycle ⁇ t diff_adj +t adj in step S 4 , the process is interrupted because it is impossible to eliminate the overlap.
  • step S 4 If t cycle ⁇ t diff_adj +t adj in step S 4 , t diff_adj ⁇ t adj is substituted for t diff_adj in step S 5 , and the process returns to step S 1 .
  • a substrate processing apparatus ( 2 ) includes:
  • a first processing module ( 3 A) including a first processing chamber (reaction tube ( 10 A)) for processing a plurality of vertically arranged substrates (W);
  • a second processing module ( 3 A) including a second processing chamber (reaction tube ( 10 B)) for processing the plurality of vertically arranged substrates, the second processing chamber ( 10 B) being disposed adjacent to the first processing chamber ( 10 A);
  • a first exhaust box ( 74 A) storing a first exhaust system configured to exhaust the first processing chamber ( 10 A);
  • a second exhaust box ( 74 B) storing a second exhaust system configured to exhaust the second processing chamber ( 10 B);
  • a common supply box ( 72 ) that controls at least one of a flow path and a flow rate of a plurality of process gases (A, B and C) supplied into the first and second processing chambers ( 10 A and 10 B);
  • a first valve group ( 40 A and 40 a to 40 f ) that connects gas pipes from the common supply box ( 72 ) to the first processing chamber ( 10 A) such that a communication state between the gap pipes and the first processing chamber is controllable;
  • a second valve group ( 40 B and 40 a to 40 f ) that connects the gas pipes from the common supply box to the second processing chamber ( 10 B) such that a communication state between the gas pipes and the second processing chamber.
  • processes of repeating substantially the same gas supply sequence are performed in parallel with each other while having a shift time therebetween so as to form the same film.
  • the shift time is determined by a method (insertion of PSA 1 to recipe RC 2 ) of delaying the gas supply sequence (PS 7 of recipe RC 2 ) of one ( 3 B) of the processing modules ( 3 A and 3 B) so that a supply timing of a predetermined gas among the plurality of process gases (A, B and C) does not overlap with the gas supply sequence (PS 7 of recipe RC 1 ) of the other ( 3 A) of the processing modules ( 3 A and 3 B) which has started the processing before the one of the processing modules ( 3 A and 3 B) starts the processing.
  • the substrate processing apparatus ( 2 ) further includes:
  • the first and second process controllers ( 100 (A) and 100 (B)) transmit information substantially indicating circulation states of the first and second valve groups ( 40 A and 40 B) controlled respectively by the first and second process controllers ( 100 (A) and 100 (B)) to other process controllers ( 100 (A) and 100 (B)), and the first and second processing modules ( 3 A and 3 B) are operated asynchronously except while prohibiting simultaneous supply of the same gas valve by the first and second valve groups ( 40 A and 40 B).
  • FIG. 9 is a top view schematically showing an example of a substrate processing apparatus according to a first modification of the present disclosure.
  • a utility system 70 is constituted by a supply box 72 , exhaust boxes 74 A and 74 B and controller boxes 76 A and 76 B.
  • the supply box 72 , the exhaust boxes 74 A and 74 B and the controller boxes 76 A and 76 B are arranged in plane symmetry with respect to the adjacent surface S 2 of the transfer chambers 6 A and 6 B.
  • the exhaust box 74 A is disposed at an outer corner of the back surface of the transfer chamber 6 A opposite to the transfer chamber 6 B.
  • the exhaust box 74 B is disposed at an outer corner of the back surface of the transfer chamber 6 B opposite to the transfer chamber 6 A. That is, the exhaust boxes 74 A and 74 B are installed flat (smoothly) so that the outer side surfaces of the transfer chambers 6 A and 6 B and the outer side surfaces of the exhaust boxes 74 A and 74 B are connected in a plane.
  • the supply box 72 is centrally located between the exhaust boxes 74 A and 74 B, spaced apart from the exhaust boxes 74 A and 74 B.
  • the front surface of the supply box 72 is disposed in contact with the back surfaces of the transfer chamber 6 A and 6 B.
  • the final valve installation parts 75 A and 75 B are installed in contact with the back surfaces of the process furnaces 4 A and 4 B.
  • the contacting portion of the side surfaces of the final valve installation parts 75 A and 75 B is provided on the upper side of the front surface of the supply box 72 .
  • a plurality of pipes are arranged from the supply box 72 to the final valve installation parts 75 A and 75 B at the overlapping portions of the final valve installation parts 75 A and 75 B and the supply box 72 .
  • the controller boxes 76 A and 76 B are provided in contact with the back surface of the supply box 72 .
  • an arrival time when a gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3 A via the valve 40 a and the pipe 10 Aa of the processing module 3 A may be the same as an arrival time when the same gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3 B via the valve 40 a and the pipe 10 Ba of the processing module 3 B.
  • FIG. 10 is a top view schematically showing an example of a substrate processing apparatus according to a second modification of the present disclosure.
  • FIG. 10 differs from FIG. 9 in that the controller boxes 76 A and 76 B are provided at the back surfaces of the exhaust boxes 74 A and 74 B and the supply box 72 is provided at the entire floor surface.
  • the other configurations are the same as those in FIG. 10 .
  • a plurality of pipes from the supply box 72 to the final valve installation parts 75 A and 75 B can be arranged at a position indicated by a dotted rectangular line BB.
  • an arrival time when a gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3 A via the valve 40 a and the pipe 10 Aa of the processing module 3 A may be the same as an arrival time when the same gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3 B via the valve 40 a and the pipe 10 Ba of the processing module 3 B.
  • FIG. 11 is a view showing a gas supply system according to a third modification of the present disclosure.
  • FIG. 11 illustrates a gas supply system 34 for supplying a nitrogen gas (N 2 ), an ammonia gas (NH 3 ), an HCDS gas and a cleaning gas (GCL).
  • the final valve installation part 75 A has the same configuration as the final valve installation part 75 B, and, explanation of the configuration of the final valve installation part 75 B will not be repeated.
  • the HCDS gas can be supplied to the nozzle 44 a of the reaction tubes 10 A and 10 B via the valve 42 a , the MFC 38 a , the valve 41 a , and the valve 40 a of the final valve installation parts 75 A and 75 B.
  • the ammonia gas (NH 3 ) can be supplied to the nozzle 44 b of the reaction tubes 10 A and 10 B via the valve 42 b , the MFC 38 b , the valve 41 b , and the valve 40 b of the final valve installation parts 75 A and 75 B.
  • the ammonia gas (NH 3 ) can also be supplied to the nozzle 44 c of the reaction tubes 10 A and 10 B via the valve 41 b 2 , and the valve 40 f of the final valve installation parts 75 A and 75 B.
  • the nitrogen gas (N 2 ) can be supplied to the nozzle 44 a of the reaction tubes 10 A and 10 B via the valve 42 d , the MFC 38 c , the valve 41 c , and the valve 40 c of the final valve installation parts 75 A and 75 B.
  • the nitrogen gas (N 2 ) can also be supplied to the nozzle 44 b of the reaction tubes 10 A and 10 B via the valve 42 d , the MFC 38 d , the valve 41 d , and the valve 40 d of the final valve installation parts 75 A and 75 B.
  • the nitrogen gas (N 2 ) can be supplied to the nozzle 44 c of the reaction tubes 10 A and 10 B via the valve 42 d , the MFC 38 f , the valve 41 f , and the valve 40 f of the final valve installation parts 75 A and 75 B.
  • the cleaning gas GCL can be supplied to all the nozzles 44 a , 40 b and 40 c of the reaction tubes 10 A and 10 B via the valve 42 g , the MFC 38 g , the valve 41 g , and the valves 40 g , 40 g 2 and 40 g 3 of the final valve installation parts 75 A and 75 B.
  • valve 41 a 2 at the downstream of the MFC 38 c , the valve 41 b 3 at the downstream of the MFC 38 b , and the valve 41 g 2 at the downstream of the MFC 38 b are connected to an exhaust system ES.
  • a plurality of gas pipes 35 which are distribution pipes on the downstream side of the gas supply system 34 , are branched into a plurality of gas distribution pipes 35 A connected to the final valve installation part 75 A and a plurality of gas pipes 35 B connected to the final valve installation part 75 B.
  • the plurality of gas distribution pipes 35 A and the plurality of gas pipes 35 B have the same length.
  • the plurality of gas pipes 35 may be appropriately provided with a heater, a filter, a check valve, a buffer tank and the like.
  • the valves 40 a to 40 d , 40 f , 40 g , 40 g 2 and 40 g 3 which are a final valve group of the processing module 3 A, are provided in front of three nozzles (also referred to as injectors) 44 a , 44 b and 44 c of the reaction tube 10 A of the processing module 3 A.
  • Supply of gas to the injectors can be directly operated by the controller 100 .
  • the final valve group (the valves 40 a to 40 d , 40 f , 40 g , 40 g 2 and 40 g 3 ) of FIG. 11 can supply a plurality of gases simultaneously (that is, in mixture) to one injector 44 a , 44 b or 44 c .
  • the cleaning gas GCL from one distribution pipe can be supplied to all the injectors 44 a , 44 b and 44 c .
  • the valves 40 a to 40 d , 40 f , 40 g , 40 g 2 and 40 g 3 which are a final valve group of the processing module 3 B, have the same configuration as the final valve group (the valves 40 a to 40 d , 40 f , 40 g , 40 g 2 , 40 g 3 ) of the processing module 3 A.
  • Heat histories may be made equal among the plurality of processing modules 3 A and 3 B.
  • the footprint required by the substrate processing apparatus 2 is lowered, and it is possible to reduce a use area of a clean room with respect to the required amount of production, which is very advantageous in terms of economy.
  • the present disclosure is not limited thereto.
  • an inorganic halosilane precursor gas such as a DCS (Si 2 H 4 Cl 6 : dichlorodisilane) gas, an MCS (SiH 3 Cl: monochlorosilane) gas or a TCS (SiHCl 3 : trichlorosilane) gas, a halogen group-non-containing amino-based (amine-based) silane precursor gas such as a 3DMAS (Si [N(CH 3 ) 2 ] 3 H: tris-dimethyl-amino-silane) gas or a BTBAS (SiH 2 [NH(C 4 H 9 )] 2 : bis-tertiary-butyl-amino-silane) gas, or a halogen group-non-containing
  • a SiN film it may be possible to form a SiO 2 film, a SiON film, a SiOCN film, a SiOC film, a SiCN film, a SiBN film, a SiBCN film or the like using a nitrogen (N)-containing gas (nitriding gas) such as an ammonia (NH 3 ) gas, a carbon (C)-containing gas such as a propylene (C 3 H 6 ) gas, a boron (B)-containing gas such as a boron trichloride (BCl 3 ) gas, or the like.
  • N nitrogen
  • nitriding gas such as an ammonia (NH 3 ) gas
  • C carbon-containing gas
  • C 3 H 6 propylene
  • B boron-containing gas
  • BCl 3 boron trichloride
  • the present disclosure is not limited thereto.
  • the present disclosure can also be suitably applied to a case where a wafer W or a film formed on the wafer W is subjected to a process such as oxidation, diffusion, annealing, etching or the like.
  • reaction chambers of three or more processing modules for one gas supply device and supply a gas through supply pipes having the same length.
  • present disclosure can be easily applied to an apparatus that executes two equal-time recipes sharing not all but some (for example, Si precursor gas) of gases used in parallel with a predetermined time difference.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

There is provided a technique that includes: a first processing module including a first processing chamber for processing vertically arranged substrates; a second processing module including a second processing chamber for processing the substrates, the second processing chamber disposed adjacent to the first processing chamber; a first exhaust box storing a first exhaust system exhausting the first processing chamber; a second exhaust box storing a second exhaust system exhausting the second processing chamber; a common supply box controlling at least one of a flow path and a flow rate of process gases supplied into the first and second processing chambers; a first valve group connecting gas pipes from the common supply box to the first processing chamber such that a communication state is controllable; and a second valve group connecting the gas pipes from the common supply box to the second processing chamber such that a communication state is controllable.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is based upon and claims the benefit of priority from Japanese Patent Application No. 2018-165213, filed on Sep. 4, 2018, the entire contents of which are incorporated herein by reference.
  • TECHNICAL FIELD
  • The present disclosure relates to a substrate processing apparatus, and a method of manufacturing a semiconductor device.
  • BACKGROUND
  • A substrate processing apparatus includes a processing module having a process furnace that processes a plurality of vertically arranged substrates. As such a type of substrate processing apparatus, a substrate processing apparatus including a plurality of processing modules has been proposed in the related art.
  • In a substrate processing apparatus including a first processing module and a second processing module, when the same film is formed on a substrate by each of the processing modules, qualities of the films formed by the plurality of processing modules may differ from each other.
  • SUMMARY
  • Some embodiments of the present disclosure provide a technique capable of obtaining uniform qualities for films formed by first and second processing modules when forming the same film in the first and second processing modules.
  • Other objects and novel features will be apparent from the description of the present disclosure and the accompanying drawings.
  • A summary of a representative embodiment of the present disclosure is simply described as shown below.
  • According to one embodiment of the present disclosure, there is provided a technique including: a first processing module including a first processing chamber for processing a plurality of vertically arranged substrates; a second processing module including a second processing chamber for processing the plurality of vertically arranged substrates, the second processing chamber being disposed adjacent to the first processing chamber; a first exhaust box storing a first exhaust system configured to exhaust the first processing chamber; a second exhaust box storing a second exhaust system configured to exhaust the second processing chamber; a common supply box configured to control at least one of a flow path and a flow rate of a plurality of process gases supplied into the first and second processing chambers; a first valve group that connects gas pipes from the common supply box to the first processing chamber such that a communication state between the gas pipes and the first processing chamber is controllable; and a second valve group that connects the gas pipes from the common supply box to the second processing chamber such that a communication state between the gas pipes and the second processing chamber is controllable, wherein, in the first processing module and the second processing module, processes of repeating substantially the same gas supply sequence are performed in parallel with each other while having a shift time therebetween so as to form the same film, and wherein the shift time is determined by delaying the gas supply sequence of one of the first processing module and the second processing module so that a supply timing of a predetermined gas among the plurality of process gases does not overlap with the gas supply sequence of the other of the first processing module and the second processing module which has started the processing before the one of the first processing module and the second processing module starts the processing.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a top view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 2 is a longitudinal sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 3 is a longitudinal sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 4 is a longitudinal sectional view schematically showing an example of a process furnace suitably used in an embodiment of the present disclosure.
  • FIG. 5 is a cross-sectional view schematically showing an example of a processing module suitably used in an embodiment of the present disclosure.
  • FIG. 6A is a view for explaining an example of control of a recipe by a controller.
  • FIG. 6B is a view for explaining an example of control of a recipe by a controller.
  • FIG. 6C is a view for explaining an example of control of a recipe by a controller.
  • FIG. 7 is a view for explaining another example of control of the recipe by the controller.
  • FIG. 8 is a view showing a processing flow for determining a shift amount.
  • FIG. 9 is a top view schematically illustrating an example of a substrate processing apparatus according to a first modification.
  • FIG. 10 is a top view schematically showing an example of a substrate processing apparatus according to a second modification.
  • FIG. 11 is a view showing a gas supply system according to a third modification.
  • DETAILED DESCRIPTION
  • Hereinafter, non-limiting exemplary embodiments of the present disclosure will be described with reference to the drawings. Throughout the drawings, the same or corresponding components are denoted by the same or corresponding reference numerals, and explanation thereof will not be repeated. A storage chamber 9 side to be described later is referred to as a front side (forward side), and the transport chambers 6A and 6B side to be described later is referred to as a back side (backward side). A side facing a boundary line (adjacent surface) of processing modules 3A and 3B to be described later is referred to as an inner side, and a side away from the boundary line is referred to as an outer side.
  • In the present embodiment, a substrate processing apparatus 2 is configured as a vertical substrate processing apparatus (hereinafter referred to as a processing apparatus) 2 which carries out a substrate processing process such as heat treatment as one process of a manufacturing process in a method of manufacturing a semiconductor device.
  • As shown in FIGS. 1 and 2, the processing apparatus 2 includes two adjacent processing modules 3A and 3B. The processing module 3A is constituted by a process furnace 4A and a transfer chamber 6A. The processing module 3B is constituted by a process furnace 4B and a transfer chamber 6B. Transfer chambers 6A and 6B are disposed below the process furnaces 4A and 4B, respectively. A transfer chamber 8 including a transfer device 7 for transferring a wafer W is disposed adjacent to the front side of the transfer chambers 6A and 6B. A storage chamber 9 for storing a pod (hoop) 5 for storing a plurality of wafers W is connected to the front side of the transfer chamber 8. An I/O port 22 is installed on the entire surface of the storage chamber 9, and the pod 5 is loaded/unloaded into/from the processing apparatus 2 via the I/O port 22.
  • Gate valves 90A and 90B are installed on the boundary walls (adjacent surfaces) of the transfer chambers 6A and 6B and the transfer chamber 8, respectively. Pressure detectors are respectively installed in the transfer chamber 8 and in the transfer chambers 6A and 6B, and an internal pressure of the transfer chamber 8 is set to be lower than internal pressures of the transfer chambers 6A and 6B. Further, oxygen concentration detectors are respectively installed in the transfer chamber 8 and the transfer chambers 6A and 6B, and an oxygen concentration in the transfer chamber 8A and the transfer chambers 6A and 6B is kept to be lower than an oxygen concentration in the atmosphere. As shown in FIG. 3, a clean unit 62C for supplying clean air into the transfer chamber 8 is installed on a ceiling of the transfer chamber 8. The clean unit 62C is configured to circulate the clean air, for example, an inert gas, in the transfer chamber 8. By circularly purging an inside of the transfer chamber 8 with the inert gas, the inside of the transfer chamber 8 can be made into a clean atmosphere. With such a configuration, it is possible to prevent particles and the like in the transfer chambers 6A and 6B from mixing into the transfer chamber 8 and prevent a natural oxide film from being formed on the wafer W in the transfer chamber 8 and the transfer chambers 6A and 6B.
  • Since the processing module 3A and the processing module 3B have the same configuration, only the processing module 3A will be representatively described below.
  • As shown in FIG. 4, the process furnace 4A includes a cylindrical reaction tube 10A and a heater 12A as a heating means (heating mechanism) installed on an outer periphery of the reaction tube 10A. The reaction tube is made of, for example, quartz or SiC. A process chamber 14A for processing a wafer W as a substrate is formed inside the reaction tube 10A. A temperature detection part 16A as a temperature detector is installed in the reaction tube 10A. The temperature detection part 16A stands along the inner wall of the reaction tube 10A.
  • A gas used for substrate processing is supplied into the process chamber 14A by a gas supply mechanism 34 as a gas supply system. The gas supplied by the gas supply mechanism 34 may be changed depending on a type of film to be formed. Here, the gas supply mechanism 34 includes a precursor gas supply part, a reaction gas supply part and an inert gas supply part. The gas supply mechanism 34 is stored in a supply box 72 to be described later. Since the supply box 72 is provided in common for the processing modules 3A and 3B, it is regarded as a common supply box.
  • The precursor gas supply part, which is a first gas supply part, includes a gas supply pipe 36 a. The gas supply pipe 36 a is provided with a mass flow controller (MFC) 38 a, which is a flow rate controller (flow rate control part), and valves 41 a and 40 a, which are opening/closing valves such as diaphragm valves, in order of upstream to downstream. The gas supply pipe 36 a is connected to a nozzle 44 a penetrating a side wall of a manifold 18. The nozzle 44 a is vertically installed in the reaction tube 10A and has a plurality of supply holes opened toward wafers W held by a boat 26. A precursor gas is supplied to the wafers W through the supply holes of the nozzle 44 a.
  • Similarly, a reaction gas is supplied to the wafers W from the reaction gas supply part, which is a second gas supply part, through a supply pipe 36 b, an WC 38 b, a valve 41 b, a valve 40 b and a nozzle 44 b. An inert gas is supplied to the wafers W from the inert gas supply part through supply pipes 36 c and 36 d, MFCs 38 c and 38 d, valves 41 c and 41 d, valves 40 c and 40 d and nozzles 44 a and 44 b. The nozzle 44 b is vertically installed in the reaction tube 10A and has a plurality of supply holes opened toward the wafers W held by the boat 26. The precursor gas is supplied to the wafers W through the supply holes of the nozzle 44 b.
  • In addition, the gas supply mechanism 34 is provided with a third gas supply part for supplying a reaction gas, a precursor gas, or an inert gas or a cleaning gas that does not directly contribute to the substrate processing, to the wafers W. The reaction gas is supplied to the wafers W from the third gas supply part through a supply pipe 36 e, an WC 38 e, a valve 41 e, a valve 40 e and a nozzle 44 c. The inert gas or the cleaning gas is supplied to the wafers W from the inert gas supply part through a supply pipe 36 f, an WC 38 f, a valve 41 f, a valve 40 f and a nozzle 44 c. The nozzle 44 c is vertically installed in the reaction tube 10A and has a plurality of supply holes opened toward the wafers W held by the boat 26. The precursor gas is supplied to the wafers W through the supply holes of the nozzle 44 c.
  • Three nozzles 44 a, 44 b and 44 c are installed in the reaction tube 10A, so that three types of precursor gases can be supplied into the reaction tube 10A in a predetermined sequence or in a predetermined cycle. Valves 40 a, 40 b, 40 c, 40 d, 40 e and 40 f connected to the nozzles 44 a, 44 b and 44 c in the reaction tube 10A are final valves and are provided in a final valve installation part 75A to be described later. Similarly, three nozzles 44 a, 44 b and 44 c are installed in the reaction tube 10B, so that three types of precursor gases can be supplied into the reaction tube 10B in a predetermined sequence or in a predetermined cycle. Valves 40 a, 40 b, 40 c, 40 d, 40 e and 40 f connected to the nozzles 44 a, 44 b and 44 c in the reaction tube 10B are final valves and are provided in a final valve installation part 75B to be described later.
  • A plurality of gas pipes 35 on the output side of the valves 41 a to 41 f are branched into a plurality of gas distribution pipes 35A respectively connected to the valves 40 a, 40 b, 40 c, 40 d, 40 e and 40 f of the reaction tube 10A and a plurality of gas distribution pipes 35B respectively connected to the valves 40 a, 40 b, 40 c, 40 d, 40 e and 40 f of the reaction tube 10B between the valves 41 a to 41 f and the valves 40 a to 40 f. The plurality of gas pipes 35 may be regarded as gas pipes in common for the reaction tubes 10A and 10B.
  • An exhaust pipe 46A is attached to the manifold 18A. A vacuum pump 52A as a vacuum exhaust device is connected to the exhaust pipe 46A via a pressure sensor 48A as a pressure detector (pressure detection part) for detecting the internal pressure of the process chamber 14A and an APC (Auto Pressure Controller) valve 50A as a pressure regulator (pressure regulation part). With such a configuration, the internal pressure of the process chamber 14A can be set to a processing pressure corresponding to the processing. An exhaust system A is mainly constituted by the exhaust pipe 46A, the APC valve 50A and the pressure sensor 48A. The exhaust system A is stored in an exhaust box 74A to be described later. One vacuum pump 52A may be installed in common for the processing modules 3A and 3B.
  • The process chamber 14A accommodates therein a boat 26A as a substrate holder which vertically supports a plurality of wafers W, for example, 25 to 150 wafers W, in a shelf shape. The boat 26A is supported above a heat insulating part 24A by a rotary shaft 28A penetrating a lid 22A and the heat insulating part 24A. The rotary shaft 28A is connected to a rotation mechanism 30A installed below the lid 22A. The rotary shaft 28A is configured to be rotatable in a state in which the inside of the reaction tube 10A is air-tightly sealed. The lid 22A is vertically driven by a boat elevator 32A as an elevation mechanism. Thus, the boat 26A and the lid 22A are integrally raised and lowered, and the boat 26A is loaded/unloaded into/from the reaction tube 10A.
  • Transfer of the wafers W onto the boat 26A is performed in the transfer chamber 6A. As shown in FIG. 1, a clean unit 60A is installed on one side in the transfer chamber 6A (an outer side of the transfer chamber 6A, or a side opposite to a side facing the transfer chamber 6B). The clean unit 60A is configured to circulate clean air (for example, an inert gas) inside the transfer chamber 6A. The inert gas supplied into the transfer chamber 6A is exhausted from the transfer chamber 6A by an exhaust unit 62A installed on the side surface facing the clean unit 60A (the side surface facing the transfer chamber 6B) with the boat 26A interposed between the exhaust unit 62A and the clean unit 60A, and is resupplied from the clean unit 60A into the transfer chamber 6A (circulation purge). The internal pressure of the transfer chamber 6A is set to be lower than the internal pressure of the transfer chamber 8. Further, the oxygen concentration in the transfer chamber 6A is set to be lower than the oxygen concentration in the atmosphere. With such a configuration, it is possible to prevent a natural oxide film from being formed on the wafers W during the transfer operation of the wafers W.
  • A controller 100 is connected to and controls the rotation mechanism 30A, the boat elevator 32A, the MFCs 38 a to 38 f and the valves 41 a to 40 f of the gas supply mechanism 34A, and the APC valve 50A. The controller 100 includes, for example, a microprocessor (computer) including a CPU, and is configured to control the operation of the processing apparatus 2. An input/output device 102 configured as, for example, a touch panel or the like is connected to the controller 100. One controller 100 may be installed for each of the processing module 3A and the processing module 3B, or may be installed in common for them.
  • A storage part 104 may be a storage device (hard disk or flash memory) incorporated in the controller 100, or an external portable recording device (magnetic tape, magnetic disk such as flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, or a semiconductor memory such as USB memory or memory card). A program may be provided to the computer using communication means such as the Internet or a dedicated line. When the controller 100 reads the program from the storage part 104 according to an instruction from the input/output device 102 as necessary and executes a process according to a read recipe, the processing apparatus 2 execute a desired process under control of the controller 100. The controller 100 is stored in a controller box 76 (76A and 76B). When the controller 100 is installed for each of the processing module 3A and the processing module 3B, the controller 100 (A) for controlling the processing module 3A is installed in the controller box 76A, and the controller 100 (B) for controlling the processing module 3B is installed in the controller box 76B.
  • Next, a process of forming a film on a substrate (film-forming process) using the above-described processing apparatus 2 will be described. Here, an example of forming a silicon nitride (SiN) film on a wafer W by supplying a hexachlorodisilane (Si2Cl6, abbreviation: HCDS) gas as a first process gas (precursor gas) and an ammonia (NH3) as a second process gas (reaction gas) to the wafer W will be described. In the following description, the operations of various parts constituting the processing apparatus 2 are controlled by the controller 100.
  • In the film-forming process according to the present embodiment, a SiN film is formed on a wafer W by repeating a step of supplying an HCDS gas to the wafer W in the process chamber 14A, a step of removing the HCDS gas (residual gas) from the inside of the process chamber 14A, a step of supplying an NH3 gas to the wafer W in the process chamber 14A, and a step of removing the NH3 gas (residual gas) from the inside of the process chamber 14A a predetermined number of times (once or more). In the present disclosure, this film formation sequence is written as follows for the sake of convenience.

  • (HCDS→NH3n⇒SiN
  • (Wafer Charging and Boat Loading)
  • The gate valve 90A is opened, and the wafer W is transferred to the boat 26A. When a plurality of wafers W are loaded into the boat 26A (wafer charging), the gate valve 90A is closed. The boat 26A is loaded into the process chamber 14A by the boat elevator 32A (boat loading), and the lower opening of the reaction tube 10A is in a state of being air-tightly closed (sealed) by the lid 22A.
  • (Pressure Adjustment and Temperature Adjustment)
  • The process chamber 14A is vacuum-exhausted (evacuated) by the vacuum pump 52A so that the inside of the process chamber 14A reaches a predetermined pressure (degree of vacuum). The internal pressure of the process chamber 14A is measured by the pressure sensor 48A, and the APC valve 50A is feedback-controlled based on the measured pressure information. Further, the wafer W in the process chamber 14A is heated by the heater 12A so as to have a predetermined temperature. At this time, a condition of conduction of current to the heater 12A is feedback-controlled based on the temperature information detected by the temperature detection part 16A so that the process chamber 14A has a predetermined temperature distribution. Further, the rotation of the boat 26A and the wafer W by the rotation mechanism 30A is started.
  • (Film-Forming Process) [Precursor Gas Supplying Step]
  • When the internal temperature of the process chamber 14A is stabilized at a preset processing temperature, an HCDS gas is supplied to the wafer W in the process chamber 14A. The HCDS gas is controlled by the MFC 38 a to have a desired flow rate, and is supplied into the process chamber 14A via the gas supply pipe 36 a, the valves 41 a and 40 a and the nozzle 44 a. The valve 40 a opens when the valve 41 a of processing module 3A and/or 3B opens. The valve 40 a operates on interlocking basis, moreover the valve 40 a could operates more slowly than the valve 41 a for longer life time. That is achieved by restricting an air flow for an air-operated valve or by limiting applied voltage for a solenoid-operated valve. For example, a transition time of the valve 41 a from close to open could be set to 5 ms whereas that of valve 40 a is 3 ms. The same applies to the other valves 41 b to 41 f.
  • [Precursor Gas Exhausting Step]
  • Next, the supply of the HCDS gas is stopped, and the inside of the process chamber 14A is vacuum-exhausted by the vacuum pump 52A. At this time, an N2 gas may be supplied as an inert gas from the inert gas supply part into the process chamber 14A (inert gas purge).
  • [Reaction Gas Supplying Step]
  • Next, an NH3 gas is supplied to the wafer W in the process chamber 14A. The NH3 gas is controlled by the MFC 38 b to have a desired flow rate, and is supplied into the process chamber 14A via the gas supply pipe 36 b, the valves 41 b and 40 b and the nozzle 44 b.
  • [Reaction Gas Exhausting Step]
  • Next, the supply of the NH3 gas is stopped, and the inside of the process chamber 14A is vacuum-exhausted by the vacuum pump 52A. At this time, an N2 gas may be supplied from the inert gas supply part into the process chamber 14A (inert gas purge). By performing a cycle of performing the above-described four steps a predetermined number of times (once or more), a SiN film having a predetermined composition and a predetermined film thickness can be formed on the wafer W.
  • (Boat Unloading and Wafer Discharging)
  • After the film having a predetermined film thickness is formed, an N2 gas is supplied from the inert gas supply part, the inside of the process chamber 14A is replaced with the N2 gas, and the internal pressure of the process chamber 14A is restored to the normal pressure. Thereafter, the lid 22A is lowered by the boat elevator 32A, and the boat 26A is unloaded from the reaction tube 10A (boat unloading). Thereafter, the processed wafer W is taken out of the boat 26A (wafer discharging).
  • Thereafter, the wafer W may be stored in the pod 5 and unloaded out of the processing apparatus 2, or may be transferred to the process furnace 4B and continuously subjected to substrate processing such as annealing. When processing the wafer W in the process furnace 4B continuously after processing of the wafer W in the process furnace 4A, the gate valves 90A and 90B are opened, and the wafer W is directly transferred from the boat 26A to the boat 26B. Subsequent loading/unloading of the wafer W into/from the process furnace 4B is performed in the same procedure as the above-described substrate processing by the process furnace 4A. Further, the substrate processing in the process furnace 4B is performed, for example, in the same procedure as the above-described substrate processing by the process furnace 4A.
  • The processing conditions at the time of forming the SiN film on the wafer W are exemplified as follows.
      • Processing temperature (wafer temperature): 100 degrees C. to 800 degrees C.
      • Processing pressure (internal pressure of process chamber): 5 Pa to 4,000 Pa
      • HCDS gas supply flow rate: 1 sccm to 2,000 sccm
      • NH3 gas supply flow rate: 100 sccm to 30,000 sccm
      • N2 gas supply flow rate: 1 sccm to 50,000 sccm
      • By setting the processing conditions to value within the respective ranges, the film-forming process can be appropriately performed.
  • Next, a back surface configuration of the processing apparatus 2 will be described.
  • For example, if the boat 26 is broken, the boat 26 needs to be replaced. If the reaction tube 10 is broken or needs to be cleaned, it is necessary to remove the reaction tube 10. In this manner, when maintenance for the transfer chamber 6 or the process furnace 4 is performed, the maintenance is performed from maintenance areas A and B on the back side of the processing apparatus 2.
  • As shown in FIG. 1, maintenance ports 78A and 78B are respectively formed on the back sides of the transfer chambers 6A and 6B. The maintenance port 78A is formed on the transfer chamber 6B side of the transfer chamber 6A, and the maintenance port 78B is formed on the transfer chamber 6A side of the transfer chamber 6B. The maintenance ports 78A and 78B are opened and closed by maintenance doors 80A and 80B, respectively. The maintenance doors 80A and 80B are configured to be rotated with hinges 82A and 82B as base shafts, respectively. The hinge 82A is installed on the transfer chamber 6B side of the transfer chamber 6A, and the hinge 82B is installed on the transfer chamber 6A side of the transfer chamber 6B. That is, the hinges 82A and 82B are installed adjacent to each other near the inner corner located on the adjacent surfaces on the back sides of the transfer chambers 6A and 6B. The maintenance areas are formed on the processing module 3B side on the back surface of the processing module 3A and on the processing module 3A side on the back surface of the processing module 3B.
  • As indicated by imaginary lines, as the maintenance doors 80A and 80B are horizontally rotated backward on the back side of the transfer chambers 6A and 6B around the hinges 82A and 82B, the back side maintenance ports 78A and 78B are opened. The maintenance door 80A is configured to be opened to the left at 180 degrees toward the transfer chamber 6A. The maintenance door 80B is configured to be opened to the right at 180 degrees toward the transfer chamber 6B. That is, the maintenance door 80A is rotated clockwise toward the transfer chamber 6A and the maintenance door 80B is rotated counterclockwise toward the transfer chamber 6A. In other words, the maintenance doors 80A and 80B are rotated in opposite directions. Since the maintenance doors 80A and 80B are configured to be removable, they may be removed for maintenance.
  • A utility system 70 is installed near the back sides of the transfer chamber 6A and 6B. The utility system 70 is interposed between maintenance areas A and B. When maintenance of the utility system 70 is performed, the maintenance is performed from the maintenance areas A and B.
  • The utility system 70 includes final valve installation parts 75A and 75B, exhaust boxes 74A and 74B, a supply box 72 and controller boxes 76A and 76B.
  • The utility system 70 is constituted by the exhaust boxes 74A and 74B, the supply box 72 and the controller boxes 76A and 76B in this order from the housing side (the transfer chambers 6A and 6B). The final valve installation parts 75A and 75B are provided above the exhaust boxes 74A and 74B. The maintenance ports of the boxes of the utility system 70 are formed on the maintenance areas A and B, respectively. The supply box 72 is disposed on the side opposite to the side adjacent to the transfer chamber 6A of the exhaust box 74A, and a supply box 72B is disposed adjacent to the side adjacent to the transfer chamber 6B on the exhaust box 74B.
  • As shown in FIG. 3, in the processing module 3A, the final valve installation part 75A where the final valves (the valves 40 a, 40 b and 40 c located at the lowermost stage of the gas supply system) of the gas supply mechanism 34 are installed is disposed above the exhaust box 74A. Preferably, it is disposed just above (right above) the exhaust box 74A. With such a configuration, even when the supply box 72 is disposed away from the housing side, since the pipe length from the final valves to the process chamber can be shortened, the quality of film formation can be improved. Although not shown in FIG. 3, in addition to the valves 40 a, 40 b and 40 c, the valves 40 d, 40 e and 40 f are also disposed in the final valve installation part 75A.
  • In addition, although not shown, in the processing module 3B, the final valve installation part 75B where the final valves (the valves 40 a, 40 b and 40 c located at the lowermost stage of the gas supply system) of the gas supply mechanism 34 are installed is disposed above the exhaust box 74B. Preferably, it is disposed just above (right above) the exhaust box 74B. With such a configuration, even when the supply box 72 is disposed away from the housing side, since the pipe length from the final valves to the process chamber can be shortened, the quality of film formation can be improved. In addition to the valves 40 a, 40 b and 40 c, the valves 40 d, 40 e and 40 f are also disposed in the final valve installation part 75B.
  • As shown in FIG. 5, the configurations of the processing modules 3A and 3B and the utility system 70 are arranged in plane symmetry with respect to an adjacent surface S1 of the processing modules 3A and 3B. The reaction tubes 10A and 10B are also arranged in plane symmetry with respect to the adjacent surface S1 of the processing modules 3A and 3B. Thus, pipes are arranged such that the pipe lengths of the exhaust pipes 46A and 46B from the processing modules 3A and 3B to the exhaust boxes 74A and 74B are substantially the same in the processing modules 3A and 3B. In addition, pipes (gas pipes) are arranged such that the pipe lengths from final valves 40A and 40B installed in the final valve installation parts 75A and 75B to nozzles 44A and 44B are substantially the same in the processing modules 3A and 3B.
  • In FIG. 5, the final valve 40A indicates the valves 40 a to 40 f of the processing module 3A, and the final valve 40B indicates the valves 40 a to 40 f of the processing module 3B. The nozzle 44A indicates the nozzles 44 a to 44 c of the processing module 3A, and the nozzle 44B indicates the nozzles 44 a to 44 c of the processing module 3B. For example, when a pipe 10Aa corresponds to a pipe between the valve 40 a of the processing module 3A and the nozzle 44 a of the processing module 3A and a pipe 10Ba corresponds to a pipe between the valve 40 a of the processing module 3B and the nozzle 44 a of the processing module 3B, the pipe 10Aa and the pipe 10Ba have substantially the pipe length. In addition, when a pipe 10Ab corresponds to a pipe between the valve 40 b of the processing module 3A and the nozzle 44 b of the processing module 3A and a pipe 10Bb corresponds to a pipe between the valve 40 b of the processing module 3B and the nozzle 44 b of the processing module 3B, the pipe 10Ab and the pipe 10Bb have substantially the pipe length. Thus, an arrival time when a gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3A via the valve 40 a and the pipe 10Aa of the processing module 3A may be the same as an arrival time when the same gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3B via the valve 40 a and the pipe 10Ba of the processing module 3B. Therefore, recipe management of the processing modules 3A and 3B by the controller 100 can be facilitated. Furthermore, as indicated by arrows in FIG. 5, rotation directions of wafers W in the process furnaces 4A and 4B are also set to be opposite to each other.
  • The form of arrangement of the reaction tubes 10A and 10B is not limited to that shown in FIG. 5. The nozzles 44A and 44B may be installed to correspond to the final valve installation parts 75A and 75B, respectively. The reaction tubes 10A and 10B may be arranged such that the exhaust pipes 46A and 46B leading to the exhaust boxes 74A and 74B have the shortest length. However, the reaction tubes 10A and 10B may be disposed in plane symmetry with respect to the adjacent surface S1 of the processing modules 3A and 3B.
  • Since the common supply box 72 is provided for the processing modules 3A and 3B and the gas pipes from the supply box 72 to the final valves 40A and 40B are shared, it is possible to save a space of the substrate processing apparatus.
  • In addition, a footprint required by the substrate processing apparatus 2 is lowered, and it is possible to reduce a use area of a clean room with respect to a required amount of production, which is very advantageous in terms of economy.
  • FIGS. 6A, 6B and 6C are views for explaining an example of control of a recipe by the controller. A recipe is one describing a supply amount of each process gas such as reaction gas and precursor gas, a target vacuum degree (or an exhaust speed), process chamber temperature and the like. in a time series, and may include a pattern repeated at a fixed cycle. The term recipe may, in a narrow sense, refer to one cycle of this repeated pattern. When a created recipe is executed by the controller 100, the processing apparatus 2 executes a desired process under the control of the controller 100. When the processing apparatus 2 includes the processing modules 3A and 3B, the same gas may be used between the processing modules 3A and 3B depending on the timing of starting the recipe.
  • In the present embodiment, the controller 100 that manages the recipe has a mutual monitoring function so that the same process gas cannot be simultaneously flown into the reaction tubes 10A and 10B of the processing modules 3A and 3B. By registering the target gas and valve to be monitored in a parameter or recipe in the controller, the controller 100 mutually monitors the recipe for the processing modules 3A and 3B based on the registered gas and valve, and performs control to optimize the recipe start time and the like so that the same process gas cannot be simultaneously flown into the processing modules 3A and 3B. The optimization of the recipe start time and the like can be adjusted using evacuation time of the vacuum pump 52A to evacuate the reaction tubes 10A and 10B or purge time for purging the reaction tubes 10A and 10B with an N2 gas. The mutual monitoring and control includes a valve level and a recipe level.
  • FIG. 6A shows an example of recipes RC1 and RC2 executed respectively by the processing modules 3A and 3B. The recipes RC1 and RC2 are the same recipes, and use three process gases A, B and C. In order to form the same film on the respective substrates in the reaction tubes 10A and 10B, the recipes RC1 and RC2 of substantially the same gas supply sequence are repeatedly executed for multiple cycles. Each of the recipes RC1 and RC2 includes process steps PS1 to PS9 which are substantially the same gas supply sequence. The process step PS1 is a process (A) of supplying a process gas A into the reaction tube 10A or 10B. The process step PS4 is a process (B) of supplying a process gas B into the reaction tube 10A or 10B. The process step PS7 is a process (C) of supplying a process gas C into the reaction tube 10A or 10B. The process steps PS2, PS5 and PS8 are performed after the process steps PS1, PS4 and PS7, respectively. The process steps PS2, PS5 and PS8 are processes (V) of evacuating the reaction tube 10A or 10B by setting the target vacuum degree to a relatively low pressure (for example, 10 to 100 Pa). The process steps PS3, PS6 and PS9 are performed after the process step PS2, PS5 and PS8, respectively. The process steps PS3, PS6 and PS9 are processes (P) of evacuating the reaction tubes 10A and 10B while flowing a purge gas (N2 gas) into the reaction tubes 10A and 10B.
  • As shown in FIG. 6A, in the recipes RC1 and RC2, when the recipes RC1 and RC2 are started with a short time difference with respect to time T, it is assumed that the same process gases A, B and C are simultaneously used. That is, the process steps PS1, PS4 and PS7 of the processing module 3A and the process steps PS1, PS4 and PS7 of the processing module 3B may be executed simultaneously. However, there is only one mass flow controller (MFC) corresponding to each of the process gases A, B and C. As shown in FIG. 4, in the gas supply mechanism 34 stored in the supply box 72, for example, a mass flow controller for the process gas A is the MFC 38 a, a mass flow controller for the process gas B is the MFC 38 b, and a mass flow controller for the process gas C is the MFC 38 c. For this reason, when the same process gas A, B or C is simultaneously used in the processing modules 3A and 3B, a flow rate to each of the processing modules 3A and 3B cannot be controlled with an accuracy equivalent to a conventional accuracy and the recipe will be different between the modules 3A and 3B. The difference in recipe between the processing modules 3A and 3B affects the quality of the film formed in the processing modules 3A and 3B. For this reason, the same process gas A, B or C may not be used at the same time in the processing modules 3A and 3B in some embodiments.
  • In the control of the valve level, the controllers 100 of the processing module 3A and 3B mutually monitor an opening/closing state of the valves 40 a to 40 c of the processing module 3A and an opening/closing state of the valves 40 a to 40 c of the processing module 3B between the processing modules 3A and 3B. This control of the valve level is also called an interlock.
  • For example, when the corresponding final valves (that is, connected by the same distribution pipe) of the processing module 3B on the other side are closed, the controller 100 of the processing module 3A opens the final valves of its own processing module 3A according to the recipe. On the other hand, when the corresponding final valves (that is, connected by the same distribution pipe) of the processing module 3B on the other side are opened, the controller 100 of the processing module 3A performs control to interrupt the recipe of its own processing module 3A until the final valves are closed. In addition, when the corresponding final valves (that is, connected by the same distribution pipe) of the processing module 3A on the other side are closed, the controller 100 of the processing module 3B opens the final valves of its own processing module 3B according to the recipe. On the other hand, when the corresponding final valves (that is, connected by the same distribution pipe) of the processing module 3A on the other side are opened, the controller 100 of the processing module 3B performs control to interrupt the recipe of its own processing module 3B until the final valves are closed.
  • On the other hand, in the control of the process recipe level, the controller 100 monitors progresses of the recipes RC1 and RC2 at each timing such as the start of the recipes RC1 and RC2 or before the boat loading, etc. and predicts the timing of sequence in which the used gases A, B and C flow. In the processing modules 3A and 3B, when the same process gas A, B or C does not flow at the same timing, the recipes RC1 and RC2 proceed as they are. On the other hand, in the processing modules 3A and 3B, when the same process gas A, B or C is predicted to flow at the same timing, the controller 100 calculates a sequence in which the same process gas does not flow at the same time, and performs control to shift the timing of the supply of the gas used.
  • That is, in the processing modules 3A and 3B, in order to form the same film, processes of repeating substantially the same gas supply sequence are performed in parallel with each other while having a shift time therebetween. The shift time is determined by delaying the gas supply sequence of one of the processing modules 3A and 3B which will start processing later so that the supply timing of a specific gas among the plurality of process gases A, B and C does not overlap with the gas supply sequence of the other of the processing modules 3A and 3B which has previously started processing.
  • For example, as shown in FIG. 6A, it is assumed that the controller 100 predicts the timing of the gas supply sequence in which the process gases A, B and C used flow when the recipes RC1 and RC2 start. That is, it is assumed that the same process gas A, B or C is predicted to flow into the processing modules 3A and 3B at the same timing. In this case, the controller 100 calculates a sequence in which the same process gas does not flow at the same time, and performs control to shift the timing of supplying the gas used. That is, before the start of the recipes RC1 and RC2, the controller 100 generates the recipe RC2 for which the timing of the gas supply sequence is shifted in time so that the same process gas A, B or C does not flow at the same timing in the processing modules 3A and 3B. As shown in FIG. 6B, in the recipe RC2 performed in the processing module 3B, a process step PSA1 is automatically added by the controller 100 before the process step PS1. The process step PSA1 is, for example, a process (P) of evacuating the reaction tube 10B while flowing a purge gas (N2 gas) into the reaction tube 10B. When the recipe RC2 (PS1 to PS9) is executed for a plurality of cycles, the process step PSA1 is added only before the first process step PS1 of the first cycle. The process step PSA1 is not added before the process step PS1 in the second and subsequent cycles of the recipe RC2 (PS1 to PS9). That is, after performing the last process step PS9 of the first cycle of the recipe RC2 (PS1 to PS9), the first process step PS1 of the second cycle of the recipe RC2 (PS1 to PS9) is performed. Similarly, after performing the last process step PS9 of the second cycle of the recipe RC2 (PS1 to PS9), the first process step PS1 of the third cycle of the recipe RC2 (PS1 to PS9) is performed.
  • In the example of FIG. 6B, among the process gases A, B and C which cannot be flown at the same time, the one having the longest supply time (tmax) in one cycle of the recipes RC1 and RC2 is selected (here PS7), and a recipe time difference tdiff between the processing modules 3A and 3B is adjusted by delaying the start time of the process step PS1 of either recipe RC1 or RC2 so that the recipe time difference tdiff is equal to tmax+n*tcycle. In the example of FIG. 6B, the start time of PS1 in the recipe RC2 is delayed by a time when the process step PSA1 is added, as compared with the start time of PS1 in the recipe RC1. That is, the adjusted time difference tdiff_adj=tmax n*tcycle (where, n is an arbitrary integer and tcycle is the time of one cycle of the recipe: the time from the start time of PS1 to the end time of PS7). It is assumed that tmax≤tcycle/2.
  • If it is preferable to reduce the delay time, depending on the current time difference (the advancing time of the recipe RC2 of the current processing module 3B based on the recipe RC1 of the processing module 3A) tdiff,
  • {
    if (tmax ≤ (|tdiff | % tcycle) < tmax + tcycle/2) then
    {the advancing processing module is delayed by (|tdiff|%tcycle) −
    tmax (i.e., tdiff_adj = tdiff − ((|tdiff| % tcycle)− tmax));}
    else if ((|tdiff| % tcycle) < tmax) then {the delayed PM is
    delayed by (|tdiff | % tcycle) − tmax;}
    Else {the delayed processing module is delayed
    by tcycle − (|tdiff| % tcycle) − tmax;}
    }
    Where, % is an operator of the least nonnegative remainder.
    When 0<(tdiff%tcycle)<tcycle/2, the processing module 3A is in progress .
    Otherwise, the processing module 3B is in progress.
  • The controller 100 also has an adjustment function to make the heat histories of the process chambers 14A and 14B equal to each other. It is possible to set the determined time and automatically adjust the time for waiting for the purge time set for the history between batches as well as the recipes in simultaneous progression. That is, in the last cycle in which the recipes RC1 and RC2 of the processing modules 3A and 3B shown in FIG. 6B are repeatedly executed in multiple cycles, the process step PS9 of the recipe RC1 of the processing module 3A will end earlier in time than the process step PS9 of the recipe RC2 of the processing module 3B. Therefore, the heat history of the process chamber 14A and the heat history of the process chamber 14B will be different from each other.
  • As shown in FIG. 6C, in the last cycle of the recipe RC1 of the processing module 3A, a process step PSA2 of the same time as PSA1 is automatically added by the controller 100 after the process step PS9. Thus, the heat history of the process chamber 14A and the heat history of the process chamber 14B can be equal to each other. The process step PSA2 is, for example, a process (P) of evacuating the reaction tube 10A while flowing a purge gas (N2 gas) into the reaction tube 10A.
  • The processing module 3A and the processing module 3B basically operate asynchronously, and dependency between the processing module 3A and the processing module 3B is small. Therefore, even if one of the processing module 3A and the processing module 3B is stopped due to a failure or the like, the other of the processing module 3A and the processing module 3B can continue the processing.
  • FIG. 7 is a view for explaining another example of control of the recipe by the controller. FIG. 7 shows four examples in which processing times of process steps (PSA1 to PSA4) added before the process step PS1 are different in the recipe RC2 performed in the processing module 3B.
  • A recipe RC21 shown in FIG. 7 is the same as the recipe RC2 shown in FIG. 6B, and is based on a rule that the advancing time of the recipe RC21 is shifted by the supply time of the process gas C for which the supply time in one cycle is the longest. Therefore, in the recipe RC21, the process step PSA1 is added before the process step PS1. Thus, after the process step PS7 of supplying the gas C to the processing module 3A is completed, the process step PS7 of supplying the gas C to the processing module 3B is subsequently started. Alternatively, it can be said that the recipe RC21 is based on a rule that the exhaust timing of the process gases A and B and the exhaust timing of the process gas C do not overlap with each other. According to this rule, when the process gas C undergoes a gas phase reaction with the process gases A and B, it is possible to suppress generation of undesirable solids in the upstream of the common vacuum pump 52. Alternatively, it can be said that the recipe RC21 is based on a rule that the end of the purge process of the process gases A, B and C does not overlap with the exhaust process of any gas. According to this rule, it is possible to prevent an increase in residual gas concentration at the end of purge.
  • In a recipe RC22 shown in FIG. 7, a process step PSA2 is added before the process step PS1. Thus, after using the process gases A and B in the processing module 3A (after the end of the process step PS4), the process step PS1 of using the process gas A is started in the processing module 3B.
  • In a recipe RC23 shown in FIG. 7, a process step PSA3 is added before the process step PS1. The recipe RC23 is based on a rule that the phase of the recipe is simply inverted between the processing modules 3A and 3B (that is, the time difference is set to tdiff_adj=tcycle/2). According to this rule, due to its temporal symmetry, even in a case where a buffer tank is provided between the gas supply system 34 and the final valve installation parts 75A and 75B, a gas can be uniformly supplied to the processing modules 3A and 3B under the same conditions. Alternatively, it can be said that the recipe RC23 is based on a rule that the exhaust timings of the process gases A, B and C do not overlap with each other. This is suitable when a total time of continuous supply, exhaust and purge steps for one gas is tcycle/2 or more.
  • In a recipe RC24 shown in FIG. 7, a process step PSA4 is added before the process step PS1. Thus, after the end of the supply of the process gas C to the processing module 3B (after the end of the process step PS7), the supply of the process gas C to the processing module 3A in the second cycle is subsequently started (the process step PS7 in the second cycle). When the processing modules 3A and 3B are not distinguished from each other (an order thereof does not matter), the recipe RC24 is equivalent to the recipe RC21 of the processing module 3B.
  • As shown in the recipes RC1 to RC4 of FIG. 7, the start timing of the process step PS1 of using the process gas A in the processing module 3B can be optimally controlled by a set parameter and a predicted sequence.
  • However, none of the recipes RC1 and RC21 to RC24 in FIG. 7 can be said to be guaranteed that all the gas supply timings do not overlap with each other for any recipe.
  • FIG. 8 is a view showing a processing flow for determining an amount of shift in which the supply timings do not overlap with each other. The processing flow of FIG. 8 is to calculate the required amount of shift starting from a state in which a time difference tadj between the cycles of the recipes of the processing modules 3A and 3B is zero.
  • Step S1: 0 is substituted for a variable tadj_add indicating the time to delay the recipe of the processing module 3B further than the current time difference tadj.
  • Step S2: The following process (steps S21 to S23) is performed on each of the process gases by sequentially selecting one (gas x) from the process gases.
  • Step S21: In one specific cycle of the recipe in the processing module 3A, the supply section of the gas x is sequentially selected from the top, and its start time t1xi_start and its end time t1xi_end are specified. Where, i is an index of nx existing supply sections.
  • Step S22: It is checked whether or not there is supply of gas x which starts between the start time t1xt_start and the end time t1xi_end in any one cycle of the recipe in the processing module 3B having a time tadj difference from the processing module 3A, and a maximum value of the delay time required to eliminate overlap of supply sections is updated. Specifically, t2xj_start satisfying the condition of t1xi_start≤t2xj_start<t1xi_end is searched from all cycle supply sections j=1 . . . nx, and if tadj_add<t2xj_start−t1xi_start, then t2xj_start−t1xi_start is substituted for tadj_add.
  • Step S23: If the index i has not reached nx, the process returns to step S21, and if it has reached nx, the process proceeds to the next step (step S3).
  • Step S3: If the held variable tadj_add is zero, the current time difference tadj is determined (that is, tadj is determined as tdiff_adj or tmax), and the process is ended.
  • When the variable tadj_add add is non-zero in step S3, if tcycle<tdiff_adj+tadj in step S4, the process is interrupted because it is impossible to eliminate the overlap.
  • If tcycle≥tdiff_adj+tadj in step S4, tdiff_adj−tadj is substituted for tdiff_adj in step S5, and the process returns to step S1.
  • The above description is summarized as follows.
  • A substrate processing apparatus (2) includes:
  • a first processing module (3A) including a first processing chamber (reaction tube (10A)) for processing a plurality of vertically arranged substrates (W);
  • a second processing module (3A) including a second processing chamber (reaction tube (10B)) for processing the plurality of vertically arranged substrates, the second processing chamber (10B) being disposed adjacent to the first processing chamber (10A);
  • a first exhaust box (74A) storing a first exhaust system configured to exhaust the first processing chamber (10A);
  • a second exhaust box (74B) storing a second exhaust system configured to exhaust the second processing chamber (10B);
  • a common supply box (72) that controls at least one of a flow path and a flow rate of a plurality of process gases (A, B and C) supplied into the first and second processing chambers (10A and 10B);
  • a first valve group (40A and 40 a to 40 f) that connects gas pipes from the common supply box (72) to the first processing chamber (10A) such that a communication state between the gap pipes and the first processing chamber is controllable; and
  • a second valve group (40B and 40 a to 40 f) that connects the gas pipes from the common supply box to the second processing chamber (10B) such that a communication state between the gas pipes and the second processing chamber.
  • In the first processing module and the second processing modules (3A and 3B), processes of repeating substantially the same gas supply sequence (recipes RC1 and RC2) are performed in parallel with each other while having a shift time therebetween so as to form the same film.
  • The shift time is determined by a method (insertion of PSA1 to recipe RC2) of delaying the gas supply sequence (PS7 of recipe RC2) of one (3B) of the processing modules (3A and 3B) so that a supply timing of a predetermined gas among the plurality of process gases (A, B and C) does not overlap with the gas supply sequence (PS7 of recipe RC1) of the other (3A) of the processing modules (3A and 3B) which has started the processing before the one of the processing modules (3A and 3B) starts the processing.
  • In the substrate processing apparatus (2),
      • The first and second processing modules (3A and 3B), the first and second exhaust boxes (74A and 74B) and the first and second valve groups (40A and 40B) are respectively configured and arranged in plane symmetry with each other, based on surfaces (S1 and S2) to which the first and second processing modules (3A and 3B) are adjacent.
      • Lengths of a plurality of gas pipes (10Aa and 10Ab) between the first valve group (40A) and the first processing module (3A) are equal to lengths of the corresponding gas pipes (10Ba and 10Bb) between the second valve group (40B) and the second processing module (3B).
  • In the substrate processing apparatus (2),
      • The plurality of process gases includes three types of precursor gases.
      • The gas supply sequence (recipes RC1 and RC2) is to periodically supply three types of process gases (A, B and C) at time intervals to one processing chamber. While the gas supply sequence is being performed in parallel in the first and second processing modules (3A and 3B), there is a timing (in FIG. 6B, PS2 and PS3 of RC1, PSA1 of RC2, PS5 and PS6 of RC1, and PS2 and PS3 of RC2) at which each of the three types of process gases (A, B and C) is not supplied to any of the first and second processing modules (3A and 3B).
  • The substrate processing apparatus (2) further includes:
      • a first process controller (controller 100(A)) that controls the first processing module (3A), the first exhaust box (74A) and the first valve group (40A); and
      • a second process controller (controller 100 (B)) that controls the second processing module (3B), the second exhaust box (74B) and the second valve group (40B).
  • The first and second process controllers (100 (A) and 100 (B)) transmit information substantially indicating circulation states of the first and second valve groups (40A and 40B) controlled respectively by the first and second process controllers (100 (A) and 100 (B)) to other process controllers (100 (A) and 100 (B)), and the first and second processing modules (3A and 3B) are operated asynchronously except while prohibiting simultaneous supply of the same gas valve by the first and second valve groups (40A and 40B).
  • MODIFICATIONS
  • Several modifications will be described below.
  • First Modification
  • FIG. 9 is a top view schematically showing an example of a substrate processing apparatus according to a first modification of the present disclosure.
  • As shown in FIG. 9, a utility system 70 is constituted by a supply box 72, exhaust boxes 74A and 74B and controller boxes 76A and 76B. The supply box 72, the exhaust boxes 74A and 74B and the controller boxes 76A and 76B are arranged in plane symmetry with respect to the adjacent surface S2 of the transfer chambers 6A and 6B. The exhaust box 74A is disposed at an outer corner of the back surface of the transfer chamber 6A opposite to the transfer chamber 6B. The exhaust box 74B is disposed at an outer corner of the back surface of the transfer chamber 6B opposite to the transfer chamber 6A. That is, the exhaust boxes 74A and 74B are installed flat (smoothly) so that the outer side surfaces of the transfer chambers 6A and 6B and the outer side surfaces of the exhaust boxes 74A and 74B are connected in a plane.
  • The supply box 72 is centrally located between the exhaust boxes 74A and 74B, spaced apart from the exhaust boxes 74A and 74B. The front surface of the supply box 72 is disposed in contact with the back surfaces of the transfer chamber 6A and 6B. The final valve installation parts 75A and 75B are installed in contact with the back surfaces of the process furnaces 4A and 4B. The contacting portion of the side surfaces of the final valve installation parts 75A and 75B is provided on the upper side of the front surface of the supply box 72. A plurality of pipes are arranged from the supply box 72 to the final valve installation parts 75A and 75B at the overlapping portions of the final valve installation parts 75A and 75B and the supply box 72. The controller boxes 76A and 76B are provided in contact with the back surface of the supply box 72.
  • Even with such a configuration, similarly to the one described in FIG. 5, an arrival time when a gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3A via the valve 40 a and the pipe 10Aa of the processing module 3A may be the same as an arrival time when the same gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3B via the valve 40 a and the pipe 10Ba of the processing module 3B.
  • Second Modification
  • FIG. 10 is a top view schematically showing an example of a substrate processing apparatus according to a second modification of the present disclosure. FIG. 10 differs from FIG. 9 in that the controller boxes 76A and 76B are provided at the back surfaces of the exhaust boxes 74A and 74B and the supply box 72 is provided at the entire floor surface. The other configurations are the same as those in FIG. 10. A plurality of pipes from the supply box 72 to the final valve installation parts 75A and 75B can be arranged at a position indicated by a dotted rectangular line BB.
  • Even with such a configuration, similarly to the one described in FIG. 5, an arrival time when a gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3A via the valve 40 a and the pipe 10Aa of the processing module 3A may be the same as an arrival time when the same gas is supplied from the supply box 72 to the nozzle 44 a of the processing module 3B via the valve 40 a and the pipe 10Ba of the processing module 3B.
  • Third Modification
  • FIG. 11 is a view showing a gas supply system according to a third modification of the present disclosure.
  • FIG. 11 illustrates a gas supply system 34 for supplying a nitrogen gas (N2), an ammonia gas (NH3), an HCDS gas and a cleaning gas (GCL). The final valve installation part 75A has the same configuration as the final valve installation part 75B, and, explanation of the configuration of the final valve installation part 75B will not be repeated.
  • The HCDS gas can be supplied to the nozzle 44 a of the reaction tubes 10A and 10B via the valve 42 a, the MFC 38 a, the valve 41 a, and the valve 40 a of the final valve installation parts 75A and 75B.
  • The ammonia gas (NH3) can be supplied to the nozzle 44 b of the reaction tubes 10A and 10B via the valve 42 b, the MFC 38 b, the valve 41 b, and the valve 40 b of the final valve installation parts 75A and 75B. The ammonia gas (NH3) can also be supplied to the nozzle 44 c of the reaction tubes 10A and 10B via the valve 41 b 2, and the valve 40 f of the final valve installation parts 75A and 75B.
  • The nitrogen gas (N2) can be supplied to the nozzle 44 a of the reaction tubes 10A and 10B via the valve 42 d, the MFC 38 c, the valve 41 c, and the valve 40 c of the final valve installation parts 75A and 75B. The nitrogen gas (N2) can also be supplied to the nozzle 44 b of the reaction tubes 10A and 10B via the valve 42 d, the MFC 38 d, the valve 41 d, and the valve 40 d of the final valve installation parts 75A and 75B. Further, the nitrogen gas (N2) can be supplied to the nozzle 44 c of the reaction tubes 10A and 10B via the valve 42 d, the MFC 38 f, the valve 41 f, and the valve 40 f of the final valve installation parts 75A and 75B.
  • The cleaning gas GCL can be supplied to all the nozzles 44 a, 40 b and 40 c of the reaction tubes 10A and 10B via the valve 42 g, the MFC 38 g, the valve 41 g, and the valves 40 g, 40 g 2 and 40 g 3 of the final valve installation parts 75A and 75B.
  • Further, the valve 41 a 2 at the downstream of the MFC 38 c, the valve 41 b 3 at the downstream of the MFC 38 b, and the valve 41 g 2 at the downstream of the MFC 38 b are connected to an exhaust system ES.
  • As shown in FIG. 11, a plurality of gas pipes 35, which are distribution pipes on the downstream side of the gas supply system 34, are branched into a plurality of gas distribution pipes 35A connected to the final valve installation part 75A and a plurality of gas pipes 35B connected to the final valve installation part 75B. The plurality of gas distribution pipes 35A and the plurality of gas pipes 35B have the same length. The plurality of gas pipes 35 may be appropriately provided with a heater, a filter, a check valve, a buffer tank and the like.
  • The valves 40 a to 40 d, 40 f, 40 g, 40 g 2 and 40 g 3, which are a final valve group of the processing module 3A, are provided in front of three nozzles (also referred to as injectors) 44 a, 44 b and 44 c of the reaction tube 10A of the processing module 3A. Supply of gas to the injectors can be directly operated by the controller 100. The final valve group (the valves 40 a to 40 d, 40 f, 40 g, 40 g 2 and 40 g 3) of FIG. 11 can supply a plurality of gases simultaneously (that is, in mixture) to one injector 44 a, 44 b or 44 c. In addition, the cleaning gas GCL from one distribution pipe can be supplied to all the injectors 44 a, 44 b and 44 c. The valves 40 a to 40 d, 40 f, 40 g, 40 g 2 and 40 g 3, which are a final valve group of the processing module 3B, have the same configuration as the final valve group (the valves 40 a to 40 d, 40 f, 40 g, 40 g 2, 40 g 3) of the processing module 3A.
  • According to the present embodiments, one or more of the following effects can be obtained.
  • 1) Qualities of films formed among a plurality of processing modules 3A and 3B may be made uniform.
  • 2) Heat histories may be made equal among the plurality of processing modules 3A and 3B.
  • 3) Since a common supply box is provided for the plurality of processing modules 3A and 3B and gas pipes from the supply box to the final valves are shared, it is possible to save a space of the substrate processing apparatus.
  • 4) By the above item 3), the footprint required by the substrate processing apparatus 2 is lowered, and it is possible to reduce a use area of a clean room with respect to the required amount of production, which is very advantageous in terms of economy.
  • Although an example of using the HCDS gas as a precursor gas has been illustrated in the above embodiments, the present disclosure is not limited thereto. For example, in addition to the HCDS gas, it may be possible to use, as the precursor gas, an inorganic halosilane precursor gas such as a DCS (Si2H4Cl6: dichlorodisilane) gas, an MCS (SiH3Cl: monochlorosilane) gas or a TCS (SiHCl3: trichlorosilane) gas, a halogen group-non-containing amino-based (amine-based) silane precursor gas such as a 3DMAS (Si [N(CH3)2]3H: tris-dimethyl-amino-silane) gas or a BTBAS (SiH2[NH(C4H9)]2: bis-tertiary-butyl-amino-silane) gas, or a halogen group-non-containing inorganic silane precursor gas such as an MS (SiH4: monosilane) gas or a DS (Si2H6: disilane) gas.
  • Although an example of forming a SiN film has been illustrated in the above embodiments, the present disclosure is not limited thereto. For example, in addition to the SiN film, it may be possible to form a SiO2 film, a SiON film, a SiOCN film, a SiOC film, a SiCN film, a SiBN film, a SiBCN film or the like using a nitrogen (N)-containing gas (nitriding gas) such as an ammonia (NH3) gas, a carbon (C)-containing gas such as a propylene (C3H6) gas, a boron (B)-containing gas such as a boron trichloride (BCl3) gas, or the like. Even when these films are formed, the film formation can be performed under the same processing conditions as the above embodiments, and the same effects as the above embodiments can be obtained.
  • Although an example of depositing a film on a wafer W has been illustrated in the above embodiments, the present disclosure is not limited thereto. For example, the present disclosure can also be suitably applied to a case where a wafer W or a film formed on the wafer W is subjected to a process such as oxidation, diffusion, annealing, etching or the like.
  • Although the present disclosure made by the present inventors has been concretely described by way of examples, the present disclosure is not limited to the above embodiments and examples, but may be changed and modified in different ways.
  • For example, it is also possible to arrange reaction chambers of three or more processing modules for one gas supply device and supply a gas through supply pipes having the same length. In addition, it is to be understood by those skilled in the art that the present disclosure can be easily applied to an apparatus that executes two equal-time recipes sharing not all but some (for example, Si precursor gas) of gases used in parallel with a predetermined time difference.
  • According to the present disclosure in some embodiments, it is possible to obtain uniform qualities for films formed by first and second processing modules.
  • While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the disclosures. Indeed, the embodiments described herein may be embodied in a variety of other forms. Furthermore, various omissions, substitutions and changes in the form of the embodiments described herein may be made without departing from the spirit of the disclosures. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the disclosures.

Claims (10)

What is claimed is:
1. A substrate processing apparatus comprising:
a first processing module including a first processing chamber for processing a plurality of vertically arranged substrates;
a second processing module including a second processing chamber for processing the plurality of vertically arranged substrates, the second processing chamber being disposed adjacent to the first processing chamber;
a first exhaust box containing a first exhaust system configured to exhaust the first processing chamber;
a second exhaust box containing a second exhaust system configured to exhaust the second processing chamber;
a common supply box configured to control at least one of a flow path and a flow rate of a plurality of process gases supplied into the first processing chamber and the second processing chamber;
a first valve group that connects gas pipes from the common supply box to the first processing chamber such that a communication state between the gas pipes and the first processing chamber is controllable; and
a second valve group that connects the gas pipes from the common supply box to the second processing chamber such that a communication state between the gas pipes and the second processing chamber is controllable,
wherein, in the first processing module and the second processing module, processes of repeating substantially the same gas supply sequence are performed in parallel with each other while having a shift time therebetween so as to form a same film, and
wherein the shift time is determined by a method of delaying the gas supply sequence of one of the first processing module and the second processing module so that a supply timing of a predetermined gas among the plurality of process gases does not overlap with the gas supply sequence of the other of the first processing module and the second processing module which has started the processing before the one of the first processing module and the second processing module starts the processing.
2. The substrate processing apparatus of claim 1, wherein the first processing module and the second processing module, the first exhaust box and the second exhaust box, and the first valve group and the second valve group are respectively configured and arranged in plane symmetry with each other, based on surfaces to which the first processing module and the second processing module are adjacent, and
wherein lengths of a plurality of gas distribution pipes between the first valve group and the first processing module are equal to lengths of the corresponding gas distribution pipes between the second valve group and the second processing module.
3. The substrate processing apparatus of claim 1, wherein the plurality of process gases includes three types of precursor gases,
wherein the gas supply sequence is to periodically supply the three types of precursor gases at time intervals to one processing chamber, and
wherein, while the gas supply sequence is being performed in parallel in the first processing module and the second processing module, there is a timing at which each of the three types of precursor gases is not supplied to any of the first processing chamber and the second processing chamber.
4. The substrate processing apparatus of claim 2, further comprising:
a first process controller configured to control the first processing module, the first exhaust box, and the first valve group; and
a second process controller configured to control the second processing module, the second exhaust box, and the second valve group,
wherein the first process controller and the second process controller transmit information substantially indicating circulation states of the first valve group and the second valve group controlled respectively by the first process controller and the second process controller to other process controllers, and
wherein the first processing module and the second processing module are operated asynchronously except while prohibiting simultaneous supply of the same gas valve by the first valve group and the second valve group.
5. The substrate processing apparatus of claim 1, wherein the plurality of process gases includes three types of precursor gases,
wherein the gas supply sequence is to periodically supply the three types of precursor gases at time intervals to one processing chamber, and
wherein, in the gas supply sequence, an exhaust by the first exhaust system or the second exhaust system is performed at least for the time intervals.
6. The substrate processing apparatus of claim 5, wherein the exhaust includes an exhaust process in which the exhaust by the first exhaust system or the second exhaust system is performed, and a purge process in which the exhaust by the first exhaust system or the second exhaust system is performed while flowing a purge gas after the exhaust process.
7. The substrate processing apparatus of claim 5, wherein the shift time is the same as the longest supply time of the precursor gas among the three types of precursor gases in the gas supply sequence.
8. The substrate processing apparatus of claim 5, wherein the shift time is further limited based on a rule that exhaust timings of a first gas and a second gas included in the three types of precursor gases of the first processing module do not overlap with exhaust timings of the first gas and the second gas of the second processing module.
9. The substrate processing apparatus of claim 5, wherein the shift time is further limited based on a rule that an end of a purge process of a first gas included in the three types of precursor gases and an exhaust process of a second gas included in the three types of precursor gases of the first processing module do not overlap with an end of a purge process of the first gas and an exhaust process of the second gas of the second processing module.
10. A method of manufacturing a semiconductor device, comprising:
providing the substrate processing apparatus of claim 1;
loading the plurality of substrates into the first processing chamber;
loading the plurality of substrates into the second processing chamber; and
performing the processes of forming the same film in the first processing module and the second processing module in parallel with each other while having the shift time therebetween.
US16/555,755 2018-09-04 2019-08-29 Substrate processing apparatus, and method of manufacturing semiconductor device Active US10590531B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018165213A JP6896682B2 (en) 2018-09-04 2018-09-04 Manufacturing method of substrate processing equipment and semiconductor equipment
JP2018-165213 2018-09-04

Publications (2)

Publication Number Publication Date
US20200071821A1 true US20200071821A1 (en) 2020-03-05
US10590531B1 US10590531B1 (en) 2020-03-17

Family

ID=69642120

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/555,755 Active US10590531B1 (en) 2018-09-04 2019-08-29 Substrate processing apparatus, and method of manufacturing semiconductor device

Country Status (4)

Country Link
US (1) US10590531B1 (en)
JP (1) JP6896682B2 (en)
KR (1) KR102271228B1 (en)
CN (1) CN110872701B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113451099A (en) * 2020-03-27 2021-09-28 株式会社国际电气 Substrate processing apparatus and method for manufacturing semiconductor device

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
TW202405221A (en) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102175089B1 (en) * 2018-08-23 2020-11-06 세메스 주식회사 Buffer unit, Apparatus and Method for treating substrate with the unit
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210089077A (en) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP7357660B2 (en) * 2021-07-09 2023-10-06 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method and program
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202343622A (en) 2022-04-28 2023-11-01 日商國際電氣股份有限公司 Gas supply system, substrate processing apparatus and method of manufacturing semiconductor device

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100269097B1 (en) 1996-08-05 2000-12-01 엔도 마코토 Wafer process apparatus
JP3947761B2 (en) 1996-09-26 2007-07-25 株式会社日立国際電気 Substrate processing apparatus, substrate transfer machine, and substrate processing method
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2005129579A (en) * 2003-10-21 2005-05-19 Hitachi Kokusai Electric Inc Substrate treatment equipment and manufacturing method for semiconductor device
US20060176928A1 (en) * 2005-02-08 2006-08-10 Tokyo Electron Limited Substrate processing apparatus, control method adopted in substrate processing apparatus and program
JP4933809B2 (en) * 2006-03-13 2012-05-16 株式会社日立国際電気 Substrate processing apparatus, substrate processing method, and substrate processing apparatus determination program
JP5575507B2 (en) * 2010-03-02 2014-08-20 株式会社日立国際電気 Substrate processing apparatus, substrate transport method, semiconductor device manufacturing method, and substrate processing apparatus maintenance method
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
JP2012164736A (en) * 2011-02-04 2012-08-30 Hitachi Kokusai Electric Inc Substrate processing apparatus and semiconductor device manufacturing method
WO2013054652A1 (en) * 2011-10-11 2013-04-18 株式会社日立国際電気 Substrate processing apparatus, substrate processing method, semiconductor device fabrication method and memory medium
US10049860B2 (en) * 2012-07-04 2018-08-14 Tokyo Electron Limited Substrate processing apparatus
US9991139B2 (en) * 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system
JP5807084B2 (en) * 2013-09-30 2015-11-10 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5859586B2 (en) * 2013-12-27 2016-02-10 株式会社日立国際電気 Substrate processing system, semiconductor device manufacturing method, and recording medium
WO2015138073A1 (en) * 2014-03-13 2015-09-17 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
JP6271322B2 (en) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 Substrate processing system
JP6363408B2 (en) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 Film forming apparatus and film forming method
SG11201811656VA (en) 2016-06-30 2019-01-30 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device and recording medium
JP6789171B2 (en) * 2017-04-21 2020-11-25 東京エレクトロン株式会社 Substrate processing equipment, particle coating method in processing gas nozzle and substrate processing method
CN108933097B (en) * 2017-05-23 2023-06-23 东京毅力科创株式会社 Vacuum conveying assembly and substrate processing device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113451099A (en) * 2020-03-27 2021-09-28 株式会社国际电气 Substrate processing apparatus and method for manufacturing semiconductor device
US11450536B2 (en) * 2020-03-27 2022-09-20 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US20220392783A1 (en) * 2020-03-27 2022-12-08 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device, and recording medium
US11935762B2 (en) * 2020-03-27 2024-03-19 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
KR102271228B1 (en) 2021-07-01
US10590531B1 (en) 2020-03-17
KR20200027430A (en) 2020-03-12
CN110872701B (en) 2022-04-08
JP2020038904A (en) 2020-03-12
JP6896682B2 (en) 2021-06-30
CN110872701A (en) 2020-03-10

Similar Documents

Publication Publication Date Title
US10590531B1 (en) Substrate processing apparatus, and method of manufacturing semiconductor device
US11365482B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR101974327B1 (en) Substrate processing device, method for manufacturing semiconductor device, and recording medium
US11047048B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20170183770A1 (en) Substrate processing apparatus
US20240170276A1 (en) Processing method, method of manufacturing semiconductor device, processing apparatus, and recording medium
US20230407472A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2022191369A (en) Substrate processing apparatus, method for manufacturing semiconductor device and program
US20180171467A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
JP2019135776A (en) Substrate processing apparatus, manufacturing method for semiconductor device, and recording medium
US20240038576A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US11542603B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and substrate processing method
JP7240557B2 (en) Substrate processing equipment, semiconductor device manufacturing method, program and inner tube
JP6625256B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US10790136B2 (en) Method of manufacturing semiconductor device, substrate processing system and non-transitory computer-readable recording medium
US20210217608A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: KOKUSAI ELECTRIC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIRAKO, KENJI;TANIYAMA, TOMOSHI;REEL/FRAME:050307/0059

Effective date: 20190805

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4