JP6896522B2 - Etching method and materials for plasma etching - Google Patents

Etching method and materials for plasma etching Download PDF

Info

Publication number
JP6896522B2
JP6896522B2 JP2017125070A JP2017125070A JP6896522B2 JP 6896522 B2 JP6896522 B2 JP 6896522B2 JP 2017125070 A JP2017125070 A JP 2017125070A JP 2017125070 A JP2017125070 A JP 2017125070A JP 6896522 B2 JP6896522 B2 JP 6896522B2
Authority
JP
Japan
Prior art keywords
etching
film
gas
etching method
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017125070A
Other languages
Japanese (ja)
Other versions
JP2019009335A (en
Inventor
継一郎 占部
継一郎 占部
鵬 沈
鵬 沈
志宇 徐
志宇 徐
スタフォード ネイサン
スタフォード ネイサン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority to JP2017125070A priority Critical patent/JP6896522B2/en
Priority to TW107113536A priority patent/TWI749216B/en
Priority to KR1020207001019A priority patent/KR102563633B1/en
Priority to CN201880041923.8A priority patent/CN110832623B/en
Priority to PCT/EP2018/066486 priority patent/WO2019002058A1/en
Priority to SG11201912232WA priority patent/SG11201912232WA/en
Publication of JP2019009335A publication Critical patent/JP2019009335A/en
Application granted granted Critical
Publication of JP6896522B2 publication Critical patent/JP6896522B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

Description

本発明は、エッチング方法およびプラズマエッチング用材料に関する。 The present invention relates to an etching method and a material for plasma etching.

シリコン酸化物含有膜を選択的にエッチングする工程は、集積回路(IC)、マイクロ・エレクトロ・メカニカル・システム(MEMS)、光学素子等の製造工程において広く用いられるプロセス工程である。 The step of selectively etching a silicon oxide-containing film is a process step widely used in manufacturing processes of integrated circuits (ICs), micro-electromechanical systems (MEMS), optical elements, and the like.

窒化ケイ素、フォトレジスト等のような材料に対して、例えばシリコン酸化膜、シリコン酸窒化膜等を選択的にプラズマエッチングする材料として種々のフルオロカーボンガスが提案されている(例えば、特許文献1参照)。また、選択性の高いエッチングを実行するため、分子内に酸素原子を有するオキシフルオロカーボンガスも提案されている(例えば、特許文献2参照)。 Various fluorocarbon gases have been proposed as materials for selectively plasma etching, for example, a silicon oxide film or a silicon oxynitride film with respect to a material such as silicon nitride or a photoresist (see, for example, Patent Document 1). .. Further, in order to perform highly selective etching, an oxyfluorocarbon gas having an oxygen atom in the molecule has also been proposed (see, for example, Patent Document 2).

特表2015−533029号公報Special Table 2015-533029 特開2005−39277号公報Japanese Unexamined Patent Publication No. 2005-39277

上記特許文献1に開示される方法では、フルオロカーボンガスは酸素ガス等の酸化剤と共に使用されることにより、凹部(例えばトレンチやホール)の底部および側壁へフルオロカーボン系ポリマーを形成しながらエッチングをすることができる。該方法によれば、酸化剤の導入量を制御することにより、凹部の側壁に堆積するフルオロカーボンポリマーの厚みを制御し、側壁を保護しながら垂直方向にエッチングすることができる。しかしながら、フルオロカーボンガスと酸化剤は分子量、分子径の異なる別個の分子であるため、フルオロカーボンガスと酸化剤の両方を所望の濃度で凹部の底部まで均一に導入することは困難であった。従って、特に高アスペクト比の凹部において選択的エッチングを実現することは困難であった。 In the method disclosed in Patent Document 1, fluorocarbon gas is used together with an oxidizing agent such as oxygen gas to etch the bottom and side walls of recesses (for example, trenches and holes) while forming a fluorocarbon polymer. Can be done. According to this method, by controlling the amount of the oxidizing agent introduced, the thickness of the fluorocarbon polymer deposited on the side wall of the recess can be controlled, and etching can be performed in the vertical direction while protecting the side wall. However, since the fluorocarbon gas and the oxidizing agent are separate molecules having different molecular weights and diameters, it is difficult to uniformly introduce both the fluorocarbon gas and the oxidizing agent to the bottom of the recess at a desired concentration. Therefore, it has been difficult to realize selective etching especially in recesses having a high aspect ratio.

上記特許文献2に開示されるエッチング方法では、オキシフルオロカーボン系のガスの使用が提案されている。しかしながら、特許文献2に開示されているオキシフルオロカーボンガスは分子内の酸素原子数が2個以下であり、十分なエッチング速度を確保するためには追加的な酸化剤の導入が必要となる。従って、オキシフルオロカーボンと、該オキシフルオロカーボンとは分子量・分子径の異なる別個の分子である酸化剤の両方を所望の濃度で凹部の底部まで均一に導入することは困難であった。さらに、該方法ではエッチングは実行できるものの、凹部の側壁へのポリマー堆積は起こらないか、あるいは堆積量が少なく、凹部や基板へのダメージを与える恐れがある。その結果、エッチング対象ではないマスク材料とエッチング対象材料とのエッチングの選択性は低くなる傾向にある。 In the etching method disclosed in Patent Document 2, the use of an oxyfluorocarbon-based gas has been proposed. However, the oxyfluorocarbon gas disclosed in Patent Document 2 has two or less oxygen atoms in the molecule, and it is necessary to introduce an additional oxidizing agent in order to secure a sufficient etching rate. Therefore, it has been difficult to uniformly introduce both the oxyfluorocarbon and the oxidizing agent, which is a separate molecule having a molecular weight and a molecular diameter different from that of the oxyfluorocarbon, to the bottom of the recess at a desired concentration. Further, although etching can be performed by this method, polymer deposition on the side wall of the recess does not occur, or the amount of deposition is small, which may cause damage to the recess and the substrate. As a result, the etching selectivity between the mask material that is not the etching target and the etching target material tends to be low.

このため、シリコン酸化物含有膜のエッチング速度が速く、かつ、選択的にシリコン酸化物含有膜をエッチングできるエッチング方法が望まれている。 Therefore, there is a demand for an etching method in which the etching rate of the silicon oxide-containing film is high and the silicon oxide-containing film can be selectively etched.

本発明者らは、シリコン酸化物含有膜を選択的にエッチングするため、オキシフルオロカーボン系ガスおよびハロゲン化炭化水素を使用するプラズマエッチング方法を見出した
The present inventors have found a plasma etching method using an oxyfluorocarbon-based gas and a halogenated hydrocarbon in order to selectively etch a silicon oxide-containing film.

本発明は上述の課題の少なくとも一部を解決するためになされたものであり、以下の態様または適用例として実現することができる。 The present invention has been made to solve at least a part of the above-mentioned problems, and can be realized as the following aspects or application examples.

本明細書中で使用する場合、「エッチング」という用語は、イオン衝撃によって化学反応を垂直方向に促進させることで、マスクされた構造の縁に沿って基板に対して直角に垂直側壁を形成する、プラズマエッチングプロセス(すなわち、乾式エッチングプロセス)を指す(Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp.12-13)。エッチングプロセスは、基板に、ビア、トレンチ、チャネルホール、ゲートトレンチ、ステアケースコンタクト、コンデンサホール、コンタクトホール等、もしくはそれらを組み合わせた構造を有する開口部を作製する。本明細書中で前記開口部は凹部ともいう。 As used herein, the term "etching" is used to form a side wall perpendicular to the substrate along the edges of the masked structure by accelerating the chemical reaction vertically by ionic impact. , Refers to a plasma etching process (ie, a dry etching process) (Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp.12-13). The etching process creates an opening in the substrate having a via, a trench, a channel hole, a gate trench, a steer case contact, a capacitor hole, a contact hole, or a combination thereof. In the present specification, the opening is also referred to as a recess.

「選択性」という用語は、或る材料のエッチング速度と、別の材料のエッチング速度との比率を意味する。「選択性エッチング」又は「選択的にエッチングする」という用語は、2つの材料間に1:1よりも大きいか又は小さいエッチング選択性を有することを意味する。 The term "selectivity" means the ratio of the etching rate of one material to the etching rate of another material. The terms "selective etching" or "selective etching" mean having an etching selectivity greater than or less than 1: 1 between the two materials.

「付着係数」という用語は、膜表面に到達した分子のうち、化学吸着および/または物理吸着されるものの割合を意味する。付着係数は膜の表面状態、付着する分子の性質により変化する。一般的には分子量が大きく分子径が大きい分子の方が、付着係数が小さい傾向にある。 The term "adhesion coefficient" means the percentage of molecules that reach the membrane surface that are chemically and / or physically adsorbed. The adhesion coefficient changes depending on the surface condition of the film and the properties of the adhered molecules. In general, a molecule having a large molecular weight and a large molecular diameter tends to have a smaller adhesion coefficient.

明細書及び特許請求の範囲全体を通じて、それらの適切な化学量論比を考慮することなく、SiN及びSiO等のSi含有膜が挙げられることに留意されたい。ケイ素含有膜としては、結晶Si、ポリシリコン(ポリSi又は多結晶Si)、又はアモルファスシリコン等の純シリコン(Si)膜;窒化ケイ素(Si)膜;若しくは酸化ケイ素(Si)膜;又はそれらの混合物を挙げることができ、式中、k、l、m及びnは包含的に1〜6の範囲をとる。好ましくは、窒化ケイ素がSi(式中、k及びlはそれぞれ0.5〜1.5の範囲をとる)である。より好ましくは、窒化ケイ素がSiである。好ましくは、酸化ケイ素がSi(式中、nは0.5〜1.5の範囲をとり、mは1.5〜3.5の範囲をとる)である。より好ましくは、酸化ケイ素がSiO又はSiOである。ケイ素含有膜はまた、酸化ケイ素ベースの誘電体材料、例えば、SKW Associates, Inc.によるBlack Diamond II材料又はBlack Diamond III材料等の有機物ベース又は酸化ケイ素ベースのLow−k誘電体材料とすることができる。ケイ素含有膜はまた、B、C、P、As及び/又はGe等のドーパントを含んでいてもよい。アモルファスカーボン含有膜はまた、金属元素、B、P、As及び/又はGe等のドーパントを含んでいてもよい。 It should be noted that throughout the specification and claims, Si-containing films such as SiN and SiO are mentioned without considering their appropriate stoichiometric ratios. As the silicon-containing film, crystalline Si, polysilicon (poly-Si or polycrystalline Si), or pure silicon (Si) film such as amorphous silicon; silicon nitride (Si k N l) film; or a silicon oxide (Si n O m ) Membranes; or mixtures thereof, in which k, l, m and n collectively range from 1 to 6. Preferably, a silicon nitride Si k N l (range from wherein, k and l are each 0.5 to 1.5). More preferably, the silicon nitride is Si 1 N 1 . Preferably, (wherein, n range from 0.5 to 1.5, m is range from 1.5 to 3.5) silicon oxide is Si n O m is. More preferably, the silicon oxide is SiO 2 or SiO 3 . The silicon-containing film may also be a silicon oxide-based dielectric material, such as an organic-based or silicon oxide-based Low-k dielectric material such as a Black Diamond II material or a Black Diamond III material by SKW Associates, Inc. it can. The silicon-containing film may also contain dopants such as B, C, P, As and / or Ge. The amorphous carbon-containing film may also contain a metal element and a dopant such as B, P, As and / or Ge.

[適用例1]
本発明に係るエッチング方法の一態様は、
ハロゲン化炭化水素を含むガスと、無水トリフルオロ酢酸(C)を含むガスとをプラズマ反応チャンバー内に導入し、前記プラズマ反応チャンバー内でプラズマにより活性種を形成させてSi含有材料のエッチングを行うことを特徴とする。
[Application example 1]
One aspect of the etching method according to the present invention is
A gas containing a halogenated hydrocarbon and a gas containing trifluoroacetic anhydride (C 4 F 6 O 3 ) are introduced into a plasma reaction chamber, and active species are formed by plasma in the plasma reaction chamber to contain Si. It is characterized by etching the material.

かかる適用例によれば、ハロゲン化炭化水素を含むガスと、Cを含むガスとがプラズマ反応チャンバー内で混合され、精度の高いエッチングが実行できる。またCの一分子内には3個の酸素原子が含まれることから、酸化剤を追加することなくマスク材料に対してエッチング対象材料を選択的にエッチングできる。さらに、主にハロ
ゲン化炭化水素の効果により凹部側壁にフルオロカーボンポリマーの堆積を制御したエッチングが実行可能となり、Si含有材料基板に対して垂直方向に精度の高いエッチングが実行できる。
According to such an application example, the gas containing a halogenated hydrocarbon and the gas containing C 4 F 6 O 3 are mixed in the plasma reaction chamber, and highly accurate etching can be performed. Further , since three oxygen atoms are contained in one molecule of C 4 F 6 O 3, the material to be etched can be selectively etched with respect to the mask material without adding an oxidizing agent. Further, mainly due to the effect of halogenated hydrocarbons, etching in which the deposition of fluorocarbon polymer is controlled on the side wall of the recess can be performed, and highly accurate etching can be performed in the direction perpendicular to the Si-containing material substrate.

ハロゲン化炭化水素を含むガスとCを含むガスとの流量比は特に限定されず、ハロゲン化炭化水素としてCを使用する場合には、例えば9:1〜7:3とすることができ、好ましくは9:1〜8:2とすることができる。本明細書において「流量比」とは、単位時間当たりの体積流量の比率である。 The flow rate ratio of the gas containing a halogenated hydrocarbon to the gas containing C 4 F 6 O 3 is not particularly limited, and when C 4 F 6 is used as the halogenated hydrocarbon, for example, 9: 1 to 7 :. It can be 3, preferably 9: 1 to 8: 2. As used herein, the "flow rate ratio" is the ratio of volumetric flow rates per unit time.

[適用例2]
適用例1のエッチング方法において、
前記Si含有材料は、SiN、アモルファスカーボン、炭素以外の元素をドーピングしたアモルファスカーボン、Si、金属窒化物、金属酸化物、有機フォトレジストおよび金属よりなる群から選択される少なくとも1種の材料からなる第1膜と、SiO、SiON、SiOC、SiOH、およびSiOCHよりなる群から選択される少なくとも1種の材料からなる第2膜とを有し、
前記Si含有材料のうち前記第2膜を選択的に除去することができる。
[Application example 2]
In the etching method of Application Example 1,
The Si-containing material comprises at least one material selected from the group consisting of SiN, amorphous carbon, amorphous carbon doped with elements other than carbon, Si, metal nitrides, metal oxides, organic photoresists and metals. It has a first film and a second film made of at least one material selected from the group consisting of SiO, SiON, SiOC, SiOH, and SiOCH.
Of the Si-containing materials, the second film can be selectively removed.

[適用例3]
適用例1または適用例2のエッチング方法において、
前記ハロゲン化炭化水素が下記一般式(1)で表わされる化合物であることができる。
・・・・・(1)
(上記一般式(1)中、aは1以上5以下であり、bは1以上9以下であり、cは0以上4以下であり、Xはフッ素原子、塩素原子、臭素原子およびヨウ素原子よりなる群から選択される1種のハロゲン原子である。)
[Application example 3]
In the etching method of Application Example 1 or Application Example 2,
The halogenated hydrocarbon can be a compound represented by the following general formula (1).
C a X b H c ... (1)
(In the above general formula (1), a is 1 or more and 5 or less, b is 1 or more and 9 or less, c is 0 or more and 4 or less, and X is from a fluorine atom, a chlorine atom, a bromine atom and an iodine atom. It is one kind of halogen atom selected from the group.)

aは好ましくは3以上5以下であり、bは好ましくは1以上9以下であり、cは好ましくは2以上3以下であり、Xは好ましくはフッ素原子および/またはヨウ素原子である。 a is preferably 3 or more and 5 or less, b is preferably 1 or more and 9 or less, c is preferably 2 or more and 3 or less, and X is preferably a fluorine atom and / or an iodine atom.

[適用例4]
適用例1ないし適用例3のいずれか1例のエッチング方法において、
前記ハロゲン化炭化水素が、CF、CFI、CI、CI、CI、C、C、C、C、C、C、C、CHF、CHF、CH、CHF、CHF、C、C、CHFおよびCよりなる群から選択される少なくとも1種の化合物を含むことができる。
[Application example 4]
In the etching method of any one of Application Example 1 to Application Example 3,
The halogenated hydrocarbons are CF 4 , CF 3 I, C 2 F 3 I, C 3 F 5 I, C 3 F 7 I, C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F. 8 , C 4 F 6 , C 5 F 8 , C 6 F 6 , CH 3 F, CHF 3 , CH 2 F 2 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 4 , C 3 H 2 It can include at least one compound selected from the group consisting of F 6 , C 4 HF 7 and C 4 H 2 F 6.

かかる適用例によれば、ハロゲン化炭化水素が分子内にフッ素原子を含むことから、エッチング対象であるSiO、SiON、SiOC、SiOH、およびSiOCHよりなる群から選択される少なくとも1種の材料からなる第2膜との反応により揮発性のSiFが形成され、より効率的にエッチングを実行することができる。さらに、ハロゲン化炭化水素が分子内に炭素原子を含むことから、エッチング対象中の酸素原子を揮発性の炭素酸素化合物(CO、CO等)の発生により除去することができる。 According to such an application example, since the halogenated hydrocarbon contains a fluorine atom in the molecule, it is composed of at least one material selected from the group consisting of SiO, SiON, SiOC, SiOH, and SiOCH to be etched. Volatile SiF 4 is formed by the reaction with the second film, and etching can be performed more efficiently. Further, since the halogenated hydrocarbon contains a carbon atom in the molecule, the oxygen atom in the etching target can be removed by generating a volatile carbon oxygen compound (CO, CO 2, etc.).

[適用例5]
適用例1ないし適用例3のいずれか1例のエッチング方法において、
前記ハロゲン化炭化水素が、CおよびCよりなる群から選択される少なくとも1種の化合物を含むことができる。
[Application example 5]
In the etching method of any one of Application Example 1 to Application Example 3,
The halogenated hydrocarbon can include at least one compound selected from the group consisting of C 4 F 6 and C 4 F 8.

かかる適用例によれば、プラズマ励起により発生するハロゲン化炭化水素およびC
の活性種は、付着係数が低く、エッチング対象であるSi含有材料に形成された凹部の底部まで到達することができる。従って、前記凹部の底部まで均一、高精度にエッチングすることができる。
According to this application example, halogenated hydrocarbons and C 4 F generated by plasma excitation
The active species of 6 O 3 has a low adhesion coefficient and can reach the bottom of the recess formed in the Si-containing material to be etched. Therefore, even the bottom of the recess can be uniformly and highly accurately etched.

[適用例6]
適用例1ないし適用例5のいずれか1例のエッチング方法において、
前記プラズマ反応チャンバー内に不活性ガスをさらに導入することができる。
[Application example 6]
In the etching method of any one of Application Example 1 to Application Example 5,
An inert gas can be further introduced into the plasma reaction chamber.

かかる適用例によれば、プラズマ安定性が高まり、プラズマ条件の制御が容易になる。 According to such an application example, plasma stability is enhanced and plasma conditions can be easily controlled.

[適用例7]
適用例6のエッチング方法において、
前記不活性ガスが、N、He、Ar、Ne、KrおよびXeよりなる群から選択される少なくとも1種のガスを含むことができる。
[Application 7]
In the etching method of Application Example 6,
The inert gas can include at least one gas selected from the group consisting of N 2, He, Ar, Ne, Kr and Xe.

[適用例8]
適用例1ないし適用例7のいずれか1例のエッチング方法において、
前記プラズマ反応チャンバー内に酸化性ガスをさらに導入することができる。
[Application Example 8]
In the etching method of any one of Application Example 1 to Application Example 7,
Oxidizing gas can be further introduced into the plasma reaction chamber.

[適用例9]
適用例8のエッチング方法において、
前記酸化性ガスは、O、O、CO、CO、NO、NO、NOF、SOまたはCOSよりなる群から選択される少なくとも1種のガスを含むことができる。
[Application example 9]
In the etching method of Application Example 8,
The oxidizing gas can include at least one gas selected from the group consisting of O 2 , O 3 , CO, CO 2 , NO, N 2 O, NOF, SO 2 or COS.

かかる適用例によれば、エッチング対象であるSi含有材料に形成された凹部に堆積されるポリマーの厚みをより精密に制御し、エッチングを制御することができる。 According to such an application example, the thickness of the polymer deposited in the recess formed in the Si-containing material to be etched can be controlled more precisely, and the etching can be controlled.

[適用例10]
適用例1ないし適用例9のいずれか1例のエッチング方法において、
前記Si含有材料が0.5:1〜20:1の深さ対幅のアスペクト比の凹部を有することができる。
[Application Example 10]
In the etching method of any one of Application Example 1 to Application Example 9,
The Si-containing material can have recesses with an aspect ratio of 0.5: 1 to 20: 1 depth to width.

[適用例11]
適用例1ないし適用例9のいずれか1例のエッチング方法において、
前記Si含有材料が21:1〜300:1の深さ対幅のアスペクト比の凹部を有することができる。
[Application Example 11]
In the etching method of any one of Application Example 1 to Application Example 9,
The Si-containing material can have recesses with a depth-to-width aspect ratio of 21: 1 to 300: 1.

適用例10および11によれば、フルオロカーボンガスと酸化剤とを導入する場合と比較して、プラズマ反応チャンバー内に導入される所定ガス流量あたりのハロゲン原子含有量が多くなる。すなわち、フルオロカーボンガスと酸化剤とをそれぞれ導入する場合よりも、分子内に酸素原子を有する無水トリフルオロ酢酸(C)とハロゲン化炭化水素を導入する方が、導入されるガス全体量に占めるハロゲン原子量が多くなる。単位流量あたりのハロゲン原子含有量が多いことにより、エッチング速度が速くなる。また本適用例ではエッチングの選択性も高いことから、特にアスペクト比の大きい、比較的深い凹部のエッチングに特に好適である。高速かつ高精度のエッチングを実行できる点においては、アスペクト比が比較的小さく、浅い凹部のエッチングにも好適である。 According to Application Examples 10 and 11, the halogen atom content per predetermined gas flow rate introduced into the plasma reaction chamber is higher than that in the case of introducing the fluorocarbon gas and the oxidizing agent. That is, it is better to introduce trifluoroacetic anhydride (C 4 F 6 O 3 ) having an oxygen atom in the molecule and a halogenated hydrocarbon than to introduce a fluorocarbon gas and an oxidizing agent, respectively. The amount of halogen atoms in the total amount increases. The high halogen atom content per unit flow rate increases the etching rate. Further, in this application example, since the etching selectivity is high, it is particularly suitable for etching a relatively deep recess having a large aspect ratio. In terms of being able to perform high-speed and high-precision etching, the aspect ratio is relatively small, and it is also suitable for etching shallow recesses.

[適用例12]
適用例1ないし適用例11のいずれか1例のエッチング方法において、
前記Cの純度が99.9重量%以上100重量%未満であり、かつ、含酸素
不純物が0重量ppm以上100重量ppm以下であることができる。
[Application 12]
In the etching method of any one of Application Example 1 to Application Example 11
The purity of C 4 F 6 O 3 can be 99.9% by weight or more and less than 100% by weight, and the oxygen-containing impurities can be 0% by weight or more and 100% by weight or less.

[適用例13]
適用例12のエッチング方法において、
前記含酸素不純物としてHOを含み、前記HOの含有量が0.1重量ppb以上20重量ppm以下であることができる。
[Application 13]
In the etching method of Application Example 12,
H 2 O is contained as the oxygen-containing impurity, and the content of the H 2 O can be 0.1% by weight ppb or more and 20% by weight or less.

かかる適用例によれば、含酸素不純物含有量(特にHO含有量)が少ないことから、Cの分解反応が抑制され、Cの分解に伴うエッチング性能の低下を低減させることができる。 According to such an application example, since the oxygen-containing impurity content (particularly the H 2 O content) is small, the decomposition reaction of C 4 F 6 O 3 is suppressed, and the etching performance associated with the decomposition of C 4 F 6 O 3 is suppressed. The decrease in oxygen can be reduced.

[適用例14]
適用例12または適用例13のエッチング方法において、
前記含酸素不純物としてトリフルオロ酢酸を含み、前記トリフルオロ酢酸の含有量が0.1重量ppb以上20重量ppm以下であることができる。
[Application 14]
In the etching method of Application Example 12 or Application Example 13,
Trifluoroacetic acid is contained as the oxygen-containing impurity, and the content of the trifluoroacetic acid can be 0.1 wt ppb or more and 20 wt ppm or less.

かかる適用例によれば、トリフルオロ酢酸が有する水素原子によりマスク材料(特にSiN)がエッチングされて、エッチングの選択性が低下する現象を抑制することができる。さらに、本適用例では含酸素不純物含有量(特にHO含有量)が少ないことから、Cの分解反応が抑制され、Cの分解に伴うエッチング性能の低下も低減させることができる。 According to such an application example, it is possible to suppress a phenomenon in which a mask material (particularly SiN) is etched by a hydrogen atom contained in trifluoroacetic acid and the etching selectivity is lowered. Further, in this application example, since the oxygen-containing impurity content (particularly the H 2 O content) is small, the decomposition reaction of C 4 F 6 O 3 is suppressed, and the etching performance associated with the decomposition of C 4 F 6 O 3 is improved. The decrease can also be reduced.

[適用例15]
適用例1ないし適用例14のいずれか1例のエッチング方法において、
前記Cは、前記プラズマ反応チャンバー内に導入される前に、表面粗度が0〜6ミクロンである内表面を有する金属製容器に収納されることができる。表面粗度は原子間力顕微鏡(AFM)またはレーザー顕微鏡により測定することができる。
[Application Example 15]
In the etching method of any one of Application Example 1 to Application Example 14,
The C 4 F 6 O 3 can be housed in a metal container having an inner surface having a surface roughness of 0 to 6 microns before being introduced into the plasma reaction chamber. Surface roughness can be measured with an atomic force microscope (AFM) or a laser microscope.

かかる適用例によれば、容器内表面に付着した不純物がプラズマチャンバー内に供給されるCに混入する恐れが少ない。また容器内表面に付着した不純物に起因するCの分解、および分解に伴うトリフルオロ酢酸の発生を低減することができる。これによりエッチング性能の低下を抑制できる。 According to such an application example, there is little possibility that impurities adhering to the inner surface of the container are mixed in C 4 F 6 O 3 supplied into the plasma chamber. Further, it is possible to reduce the decomposition of C 4 F 6 O 3 due to impurities adhering to the inner surface of the container and the generation of trifluoroacetic acid due to the decomposition. As a result, deterioration of etching performance can be suppressed.

[適用例16]
本発明に係るプラズマエッチング用材料の一態様は、
およびハロゲン化炭化水素を含み、
前記ハロゲン化炭化水素は、CF、CFI、CI、CI、CI、C、C、C、C、C、C、C、CHF、CHF、CH、CHF、CHF、C、C、CHFおよびCよりなる群から選択される少なくとも1種の化合物を含むことを特徴とする。
[Application 16]
One aspect of the plasma etching material according to the present invention is
Contains C 4 F 6 O 3 and halogenated hydrocarbons
The halogenated hydrocarbons are CF 4 , CF 3 I, C 2 F 3 I, C 3 F 5 I, C 3 F 7 I, C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F. 8 , C 4 F 6 , C 5 F 8 , C 6 F 6 , CH 3 F, CHF 3 , CH 2 F 2 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 4 , C 3 H 2 It is characterized by containing at least one compound selected from the group consisting of F 6 , C 4 HF 7 and C 4 H 2 F 6.

かかる適用例によれば、ハロゲン化炭化水素を含むガスと、Cを含むガスとがプラズマ反応チャンバー内で混合されることにより、精度の高いエッチングが実行できる。またCの分子内には酸素原子が含まれることから、マスク材料に対してエッチング対象材料を選択的にエッチングできる。さらにエッチング対象であるSi含有材料に形成された凹部側壁にフルオロカーボンポリマーを堆積させながらエッチングを実行することから、Si含有材料基板に対して垂直方向に精度の高いエッチングが実行できる。 According to such an application example, the gas containing a halogenated hydrocarbon and the gas containing C 4 F 6 O 3 are mixed in the plasma reaction chamber, so that highly accurate etching can be performed. Further, since the molecule of C 4 F 6 O 3 contains an oxygen atom, the material to be etched can be selectively etched with respect to the mask material. Further, since the etching is performed while depositing the fluorocarbon polymer on the recessed side wall formed on the Si-containing material to be etched, it is possible to perform highly accurate etching in the direction perpendicular to the Si-containing material substrate.

本発明に係るエッチング方法によれば、ハロゲン化炭化水素を含むガスと、Cを含むガスとがプラズマ反応チャンバー内で均一に混合され、エッチング速度が速く、精度の高いエッチングが実行できる。またCの分子内には酸素原子が含まれることから、酸化性ガスを導入しない条件においてもマスク材料に対してエッチング対象材料を選択的にエッチングできる。さらに凹部側壁にフルオロカーボンポリマーを堆積させながらエッチングを実行することから、Si含有材料基板に対して垂直方向に精度の高いエッチングが実行できる。 According to the etching method according to the present invention, the gas containing a halogenated hydrocarbon and the gas containing C 4 F 6 O 3 are uniformly mixed in the plasma reaction chamber, and the etching rate is high and highly accurate etching can be performed. Can be executed. Further, since the molecule of C 4 F 6 O 3 contains an oxygen atom, the material to be etched can be selectively etched with respect to the mask material even under the condition that no oxidizing gas is introduced. Further, since the etching is performed while depositing the fluorocarbon polymer on the side wall of the recess, highly accurate etching can be performed in the direction perpendicular to the Si-containing material substrate.

本実施形態で好適に用いられるエッチング装置の概略構成図である。It is a schematic block diagram of the etching apparatus preferably used in this embodiment.

以下、本発明に係る好適な実施形態について詳細に説明する。なお、本発明は、以下に記載された実施形態のみに限定されるものではなく、本発明の要旨を変更しない範囲において実施される各種の変形例も含むものとして理解されるべきである。 Hereinafter, preferred embodiments according to the present invention will be described in detail. It should be noted that the present invention is not limited to the embodiments described below, but should be understood to include various modifications implemented without changing the gist of the present invention.

1.エッチング方法
本実施形態に係るエッチング方法は、ハロゲン化炭化水素を含むガスと、Cを含むガスとをプラズマ反応チャンバー内に導入し、前記プラズマ反応チャンバー内でプラズマにより活性種を形成させてSi含有材料のエッチングを行う方法である。また、本実施形態に係るエッチング方法では、前記プラズマ反応チャンバー内に不活性ガスをさらに導入してもよく、前記プラズマ反応チャンバー内に酸化性ガスをさらに導入してもよい。ハロゲン化炭化水素を含むガス、Cを含むガス、不活性ガスおよび酸化性ガスは、プラズマ反応チャンバー導入前に混合されてもよく、プラズマ反応チャンバー内で混合されてもよい。
1. 1. Etching method according to the etching method of the present embodiment, a gas containing a halogenated hydrocarbon, is introduced a gas containing C 4 F 6 O 3 in the plasma reaction chamber, the active species by plasma in the plasma reaction chamber This is a method of forming and etching a Si-containing material. Further, in the etching method according to the present embodiment, an inert gas may be further introduced into the plasma reaction chamber, or an oxidizing gas may be further introduced into the plasma reaction chamber. The gas containing halogenated hydrocarbons, the gas containing C 4 F 6 O 3 , the inert gas and the oxidizing gas may be mixed before the introduction of the plasma reaction chamber or may be mixed in the plasma reaction chamber.

以下、本実施形態に係るエッチング方法について説明する。 Hereinafter, the etching method according to this embodiment will be described.

1.1.ハロゲン化炭化水素を含むガスおよびCを含むガスによるエッチング方法
本実施形態に係るエッチング方法は、Si含有材料のエッチングに使用することができる。ここでSi含有材料は、被エッチング処理体であるが、該被エッチング処理体と比較的エッチングされにくい材料(例えばマスク材料)との組み合わせであってもよい。被エッチング処理体であるSi含有材料としては、ケイ素原子を含む材料であれば特に限定されず、ケイ素原子および酸素原子を含む材料(例えばシリコン酸化物含有膜)であってもよい。ケイ素原子および酸素原子を含む材料は、シリコン窒化物、アモルファスカーボン、ドープドアモルファスカーボン、Si、金属窒化物、金属酸化物、有機フォトレジストおよび金属よりなる群から選択される少なくとも1種の材料からなる第1膜により一部が被覆されていてもよい。ケイ素原子および酸素原子を含む材料は、SiO、SiON、SiOC、SiOH、およびSiOCHよりなる群から選択される少なくとも1種の材料からなる第2膜であってもよい。被エッチング処理体がシリコン酸化物である場合、第1膜としてはSiN、アモルファスカーボンおよび/またはポリシリコンが特に好適である。
1.1. Etching method according to the etching method of the present embodiment using a gas containing a gas and C 4 F 6 O 3 containing halogenated hydrocarbon may be used to etch the Si-containing material. Here, the Si-containing material is a body to be etched, but it may be a combination of the body to be etched and a material that is relatively difficult to be etched (for example, a mask material). The Si-containing material to be etched is not particularly limited as long as it contains a silicon atom, and may be a material containing a silicon atom and an oxygen atom (for example, a silicon oxide-containing film). The material containing a silicon atom and an oxygen atom is from at least one material selected from the group consisting of silicon nitride, amorphous carbon, doped amorphous carbon, Si, metal nitride, metal oxide, organic photoresist and metal. A part may be covered with the first film. The material containing a silicon atom and an oxygen atom may be a second film made of at least one material selected from the group consisting of SiO, SiON, SiOC, SiOH, and SiOCH. When the object to be etched is a silicon oxide, SiN, amorphous carbon and / or polysilicon are particularly suitable as the first film.

以下、図1を参照しながら、本実施形態に係るエッチング方法について説明する。図1は、本実施形態で好適に用いられる装置の概略構成図である。 Hereinafter, the etching method according to the present embodiment will be described with reference to FIG. FIG. 1 is a schematic configuration diagram of an apparatus preferably used in the present embodiment.

まず、図1に示すように、Si含有材料である被エッチング処理体11をプラズマ反応チャンバー21内に収容する。Si含有材料は、用途によって異なるが、第1膜および第2膜を含むことができる。第1膜の具体例としては、SiN、アモルファスカーボン、ド
ープドアモルファスカーボン、Si、金属窒化物、金属酸化物、有機フォトレジスト、金属またはこれらの材料のあらゆる組み合わせを含む材料が挙げられるが、これらに限定されない。第2膜の具体例としては、ケイ素原子および酸素原子を含む材料が挙げられる。ケイ素原子および酸素原子を含む材料としては、SiO、SiON、SiOC、SiOH、SiOCHまたはこれらの材料のあらゆる組み合わせを含む材料が挙げられるが、これらに限定されない。これらの被エッチング処理体11は、第2膜で一部が被覆されていてもよい。被エッチング処理体11は、被エッチング処理体ホルダー12上に配置することができる。プラズマ反応チャンバー21内には、エッチング処理を行うための被エッチング処理体を1〜200個程度収容することができる。
First, as shown in FIG. 1, the Si-containing material to be etched 11 is housed in the plasma reaction chamber 21. The Si-containing material may include a first film and a second film, depending on the application. Specific examples of the first film include SiN, amorphous carbon, doped amorphous carbon, Si, metal nitrides, metal oxides, organic photoresists, metals or materials containing any combination of these materials. Not limited to. Specific examples of the second film include a material containing a silicon atom and an oxygen atom. Materials containing silicon and oxygen atoms include, but are not limited to, materials containing SiO, SiON, SiOC, SiOH, SiOCH or any combination of these materials. These etched objects 11 may be partially covered with a second film. The object to be etched 11 can be arranged on the holder 12 to be etched. The plasma reaction chamber 21 can accommodate about 1 to 200 objects to be etched for etching.

このとき、真空ポンプ45と圧力調整機構22により、プラズマ反応チャンバー21内の圧力を所定の圧力とし、温度調節機構23によりプラズマ反応チャンバー21内の温度を所定の温度とする。圧力調整機構22には背圧弁または圧力調整弁を用いることができるが、これらに限定されない。温度調節機構23には、循環式冷却装置(チラー)や電気ヒーターによる温度調節機構を用いることができるが、これに限定されない。 At this time, the vacuum pump 45 and the pressure adjusting mechanism 22 set the pressure in the plasma reaction chamber 21 to a predetermined pressure, and the temperature adjusting mechanism 23 sets the temperature in the plasma reaction chamber 21 to a predetermined temperature. A back pressure valve or a pressure adjusting valve can be used for the pressure adjusting mechanism 22, but the pressure adjusting mechanism 22 is not limited thereto. As the temperature control mechanism 23, a circulation type cooling device (chiller) or a temperature control mechanism using an electric heater can be used, but the temperature control mechanism 23 is not limited to this.

プラズマ反応チャンバー21内の温度は、温度調節機構23により−20℃以上200℃以下の範囲の温度に設定することができる。プラズマ反応チャンバー21内の被エッチング処理体11の温度の下限値は好ましくは−20℃であり、より好ましくは0℃である。プラズマ反応チャンバー21内の被エッチング処理体11の温度の上限値は好ましくは150℃であり、より好ましくは100℃である。 The temperature inside the plasma reaction chamber 21 can be set to a temperature in the range of −20 ° C. or higher and 200 ° C. or lower by the temperature control mechanism 23. The lower limit of the temperature of the object to be etched 11 in the plasma reaction chamber 21 is preferably −20 ° C., more preferably 0 ° C. The upper limit of the temperature of the object to be etched 11 in the plasma reaction chamber 21 is preferably 150 ° C., more preferably 100 ° C.

プラズマ反応チャンバー21内の圧力の下限値は、好ましくは0.1mTorrであり、より好ましくは1mTorrであり、さらに好ましくは10mTorrである。プラズマ反応チャンバー21内の圧力の上限値は、好ましくは1000Torrであり、より好ましくは100Torrであり、さらに好ましくは1Torrである。 The lower limit of the pressure in the plasma reaction chamber 21 is preferably 0.1 mTorr, more preferably 1 mTorr, and even more preferably 10 mTorr. The upper limit of the pressure in the plasma reaction chamber 21 is preferably 1000 Torr, more preferably 100 Torr, and even more preferably 1 Torr.

プラズマ反応チャンバー21は、例えばステンレススチール製や、表面被覆されたステンレススチール製とすることができるが、これに限定されない。 The plasma reaction chamber 21 can be, for example, made of stainless steel or surface-coated stainless steel, but is not limited thereto.

続いて、ハロゲン化炭化水素を含むガスとCを含むガスとをプラズマ反応チャンバー21内に導入する。図1に示すように、ハロゲン化炭化水素を含むガスの容器31から、ハロゲン化炭化水素を含むガスの流量調節機構32を介して供給されるガスと、Cを含むガスの容器33からCを含むガスの流量調整機構34を介して供給されるガスとが、プラズマ反応チャンバー21の上流側で合流してからプラズマ反応チャンバー21に導入することもできる。ハロゲン化炭化水素を含むガスとCを含むガスとが流量調節機構32および34からそれぞれプラズマ反応チャンバー21に導入され、プラズマ反応チャンバー21内で混合されてもよい。 Subsequently, a gas containing a halogenated hydrocarbon and a gas containing C 4 F 6 O 3 are introduced into the plasma reaction chamber 21. As shown in FIG. 1, the gas supplied from the gas container 31 containing the halogenated hydrocarbon via the flow rate adjusting mechanism 32 of the gas containing the halogenated hydrocarbon and the gas containing C 4 F 6 O 3 It is also possible to introduce the gas supplied from the container 33 through the flow rate adjusting mechanism 34 of the gas including C 4 F 6 O 3 into the plasma reaction chamber 21 after merging on the upstream side of the plasma reaction chamber 21. A gas containing a halogenated hydrocarbon and a gas containing C 4 F 6 O 3 may be introduced into the plasma reaction chamber 21 from the flow rate adjusting mechanisms 32 and 34, respectively, and mixed in the plasma reaction chamber 21.

ハロゲン化炭化水素を含むガスとCを含むガスとの流量比は、ハロゲン化炭化水素の種類および特性、エッチング対象材料の特性により異なる。ハロゲン化炭化水素としてCを使用する場合には、ハロゲン化炭化水素を含むガスとCを含むガスの流量比は例えば9:1〜7:3であり、好ましくは9:1〜8:2である。本明細書において流量比とは単位時間当たりの体積流量の比率である。 The flow rate ratio of the gas containing a halogenated hydrocarbon to the gas containing C 4 F 6 O 3 differs depending on the type and characteristics of the halogenated hydrocarbon and the characteristics of the material to be etched. When C 4 F 6 is used as the halogenated hydrocarbon, the flow ratio of the gas containing the halogenated hydrocarbon to the gas containing C 4 F 6 O 3 is, for example, 9: 1 to 7: 3, preferably 9: 1 to 7: 3. It is 9: 1 to 8: 2. In the present specification, the flow rate ratio is the ratio of the volume flow rate per unit time.

この際、ハロゲン化炭化水素を含むガスおよび/またはCを含むガスは、ガス状態または液体状態でプラズマ反応チャンバー21内に導入することができる。ガス状態で導入する場合には、容器31および/または容器33から直接に蒸気を導出する方法、容器31および/または容器33内の材料の液滴をヒーター上に滴下し、発生した蒸気を導入するダイレクトインジェクション方法、または容器31にキャリアガスを導入して
バブリングによりハロゲン化炭化水素の蒸気を同伴させて導入する方法が好適に用いられるが、これらに限定されない。バブリングするために導入するキャリアガスとしては、Ar、He、N、およびそれらの混合物が挙げられるが、これに限定されない。ハロゲン化炭化水素を含むガスおよび/またはCを含むガスを液体状態で導入する場合には、プラズマ反応チャンバー21内に液滴を滴下し蒸発させる方法が好適に用いられる。流量調整機構32はハロゲン化炭化水素を含むガスの性状、特性等に応じて、流量調整機構34はCを含むガスの性状、特性等に応じて、マスフローコントローラ、可変リークバルブ、または液体流量計を使用することができるが、これらに限定されない。
At this time, the gas containing a halogenated hydrocarbon and / or the gas containing C 4 F 6 O 3 can be introduced into the plasma reaction chamber 21 in a gas state or a liquid state. When introducing in a gas state, a method of directly deriving steam from the container 31 and / or the container 33, dropping droplets of the material in the container 31 and / or the container 33 onto the heater, and introducing the generated steam. The direct injection method or the method of introducing the carrier gas into the container 31 and introducing the halogenated hydrocarbon vapor by bubbling is preferably used, but the method is not limited thereto. Carrier gases introduced for bubbling include, but are not limited to, Ar, He, N 2, and mixtures thereof. When a gas containing a halogenated hydrocarbon and / or a gas containing C 4 F 6 O 3 is introduced in a liquid state, a method of dropping droplets into the plasma reaction chamber 21 and evaporating them is preferably used. The flow rate adjusting mechanism 32 has a mass flow controller and a variable leak valve according to the properties and characteristics of the gas containing halogenated hydrocarbons, and the flow rate adjusting mechanism 34 has the properties and characteristics of the gas containing C 4 F 6 O 3 according to the properties and characteristics of the gas containing halogenated hydrocarbons. , Or a liquid flow meter can be used, but is not limited to these.

プラズマ反応チャンバー21内に導入されるハロゲン化炭化水素を含むガスの流量は、流量調整機構32により、例えば0.1SCCM〜2000SCCMの範囲内のガス流量または液体流量とする。プラズマ反応チャンバー21内に導入されるCを含むガスの流量は、流量調整機構34により、例えば0.1SCCM〜2000SCCMの範囲内のガス流量または液体流量とする。前記流量はプラズマ反応チャンバー21の容量、被エッチング処理体の個数、ハロゲン化炭化水素および/またはCを含むガスの性状等に応じて変更することができる。 The flow rate of the gas containing the halogenated hydrocarbon introduced into the plasma reaction chamber 21 is set to, for example, a gas flow rate or a liquid flow rate in the range of 0.1 SCCM to 2000 SCCM by the flow rate adjusting mechanism 32. The flow rate of the gas containing C 4 F 6 O 3 introduced into the plasma reaction chamber 21 is set to, for example, a gas flow rate or a liquid flow rate in the range of 0.1 SCCM to 2000 SCCM by the flow rate adjusting mechanism 34. The flow rate can be changed according to plasma volume of the reaction chamber 21, the number of the etched treated, characteristics, etc. of a gas containing a halogenated hydrocarbon and / or C 4 F 6 O 3.

プラズマ反応チャンバー21内にハロゲン化炭化水素を含むガスおよび/またはCを含むガスを導入する時間は、プラズマ反応チャンバー21の容量、被封孔処理体の個数、ガスの性状等に応じて変更することができ、例えば5秒から60分の範囲とすることができる。 The time for introducing the gas containing a halogenated hydrocarbon and / or the gas containing C 4 F 6 O 3 into the plasma reaction chamber 21 is the capacity of the plasma reaction chamber 21, the number of pore-treated bodies, the properties of the gas, etc. It can be changed according to the above, and can be, for example, in the range of 5 seconds to 60 minutes.

ハロゲン化炭化水素は、下記一般式(1)で表わされる化合物であることが好ましい。
・・・・・(1)
(上記一般式(1)中、aは1以上5以下であり、bは1以上9以下であり、cは0以上4以下であり、Xはフッ素原子、塩素原子、臭素原子、およびヨウ素原子よりなる群から選択される1種のハロゲン原子である。aは好ましくは3以上5以下であり、bは好ましくは1以上9以下であり、cは好ましくは2以上3以下であり、Xは好ましくはフッ素原子および/またはヨウ素原子である。)
The halogenated hydrocarbon is preferably a compound represented by the following general formula (1).
C a X b H c ... (1)
(In the above general formula (1), a is 1 or more and 5 or less, b is 1 or more and 9 or less, c is 0 or more and 4 or less, and X is a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom. One halogen atom selected from the group consisting of: a is preferably 3 or more and 5 or less, b is preferably 1 or more and 9 or less, c is preferably 2 or more and 3 or less, and X is. It is preferably a fluorine atom and / or an iodine atom.)

ハロゲン化炭化水素の具体例としては、CF、CFI、CI,CI、CI、C、C、C、C、C、C、C、CHF、CHF、CH、CHF、CHF、C、C、CHFおよびC等が挙げられ、これらの1種または2種以上を使用することができる。ハロゲン化炭化水素とCの分子量および/または分子径が近似する場合には、さらに均一なエッチングが行われやすく、より好適である。 Specific examples of halogenated hydrocarbons include CF 4 , CF 3 I, C 2 F 3 I, C 3 F 5 I, C 3 F 7 I, C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F 8 , C 4 F 6 , C 5 F 8 , C 6 F 6 , CH 3 F, CHF 3 , CH 2 F 2 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 4 , C 3 H 2 F 6 , C 4 HF 7, C 4 H 2 F 6, and the like can be mentioned, and one or more of these can be used. When the molecular weight and / or the molecular diameter of the halogenated hydrocarbon and C 4 F 6 O 3 are similar, more uniform etching is likely to be performed, which is more preferable.

プラズマ反応チャンバー21内では、プラズマにより活性種を形成させてSi含有材料のエッチングを行う。プラズマ反応チャンバー21内に導入されたハロゲン化炭化水素およびCを含む混合ガスは、プラズマにより活性種を形成する。プラズマは、RF電力またはDC電力を印加することによって発生させることができる。プラズマは、25W〜20000Wの範囲を取るRF電力で発生させることができる。プラズマは、プラズマ反応チャンバー21内部で発生するかまたは存在する。プラズマはまた、RF電力を両電極に印加してデュアルCCPで発生してもよく、ICPモードで発生してもよい。プラズマのRF周波数は、200kHz〜1GHzの範囲とすることができる。異なる周波数の種々のRF電源を、同じ電極で連結して利用することもできる。さらに、プラズマRFパルス化を使用してプラズマエッチング反応を制御することもできる。 In the plasma reaction chamber 21, an active species is formed by plasma to etch a Si-containing material. The mixed gas containing the halogenated hydrocarbon and C 4 F 6 O 3 introduced into the plasma reaction chamber 21 forms an active species by plasma. Plasma can be generated by applying RF power or DC power. The plasma can be generated with RF power in the range of 25W to 20000W. The plasma is generated or present inside the plasma reaction chamber 21. The plasma may also be generated in dual CCP by applying RF power to both electrodes or in ICP mode. The RF frequency of the plasma can be in the range of 200 kHz to 1 GHz. Various RF power supplies with different frequencies can also be used by connecting them with the same electrode. In addition, plasma RF pulsed can be used to control the plasma etching reaction.

1.2.不活性ガスの導入
プラズマを維持するために、プラズマ反応チャンバー21内に不活性ガスをさらに導入することができる。不活性ガスは、He、N、Ar、Xe、Kr、Neまたはそれらの組み合わせとすることができる。ハロゲン化炭化水素、Cおよび不活性ガスは、プラズマ反応チャンバー21に導入する前に混合してもよい。ハロゲン化炭化水素、Cおよび不活性ガスは、プラズマ反応チャンバー21に別個に導入された後、プラズマ反応チャンバー21内で混合されてもよい。ハロゲン化炭化水素およびCガスをプラズマ反応チャンバー21に断続的に導入しながら、不活性ガスをプラズマ反応チャンバー21に連続的に導入してもよい。導入する不活性ガス量は、ハロゲン化炭化水素、Cおよび不活性ガスの合計量の0%v/v〜99.5%v/vとすることが好ましく、10%v/v〜99%v/vとすることがより好ましく、50%v/v〜95%v/vとすることが特に好ましい。プラズマを安定に発生させ、プラズマ反応チャンバー21内で活性種を発生させるためには、Arガスが特に好適である。
1.2. Introduction of Inert Gas Inert gas can be further introduced into the plasma reaction chamber 21 in order to maintain the plasma. The inert gas can be He, N 2 , Ar, Xe, Kr, Ne or a combination thereof. Halogenated hydrocarbons, C 4 F 6 O 3 and inert gas may be mixed prior to introduction into the plasma reaction chamber 21. Halogenated hydrocarbons, C 4 F 6 O 3 and inert gas, after being separately introduced into the plasma reaction chamber 21, may be mixed with the plasma reaction chamber within 21. The inert gas may be continuously introduced into the plasma reaction chamber 21 while the halogenated hydrocarbon and the C 4 F 6 O 3 gas are intermittently introduced into the plasma reaction chamber 21. Inert gas amount to be introduced is preferably in a halogenated hydrocarbon, C 4 F 6 O 3 and an inert gas of the total amount of 0% v / v~99.5% v / v, 10% v / It is more preferably v to 99% v / v, and particularly preferably 50% v / v to 95% v / v. Ar gas is particularly suitable for stably generating plasma and generating active species in the plasma reaction chamber 21.

1.3.酸化性ガスの導入
プラズマ反応チャンバー21内に酸化性ガスをさらに導入することもできる。本発明においては、Cの分子内に3個の酸素原子が含まれるため、酸化性ガスを導入しなくてもエッチングを実施することができる。しかし、より精密にエッチングを制御するために、酸化性ガスを導入してもよい。酸化性ガスとしては、O、O、CO、CO、NO、NO、NOF、SOまたはCOSよりなる群から選択される少なくとも1種のガスおよびこれらの組み合わせを使用することができる。ハロゲン化炭化水素、Cおよび酸化性ガスは、プラズマ反応チャンバー21に導入する前に混合してもよい。酸化性ガスを、ハロゲン化炭化水素およびCとは別に、プラズマ反応チャンバー21に導入してもよい。ハロゲン化炭化水素およびCガスをプラズマ反応チャンバー21に断続的に導入しながら、酸化性ガスをプラズマ反応チャンバー21に連続的に導入してもよい。導入する酸化性ガス量は、ハロゲン化炭化水素、Cおよび酸化性ガスの合計量の0%v/v〜100%v/vとすることができる(100%とは、連続的な導入ではなく、酸化性ガス以外のガスと純粋な酸化性ガスを交互に導入するような場合をいう。例えばハロゲン化炭化水素およびCを混合して一定時間導入した後に、ハロゲン化炭化水素およびCの供給を停止して、100%v/vの酸化性ガスを導入する工程を繰り返すような場合である)。
1.3. Introduction of Oxidizing Gas It is also possible to further introduce an oxidizing gas into the plasma reaction chamber 21. In the present invention, since the molecule of C 4 F 6 O 3 contains three oxygen atoms, etching can be performed without introducing an oxidizing gas. However, in order to control the etching more precisely, an oxidizing gas may be introduced. As the oxidizing gas, at least one gas selected from the group consisting of O 2 , O 3 , CO, CO 2 , NO, N 2 O, NOF, SO 2 or COS and a combination thereof may be used. it can. Halogenated hydrocarbons, C 4 F 6 O 3 and oxidizing gases may be mixed prior to introduction into the plasma reaction chamber 21. Oxidizing gas may be introduced into the plasma reaction chamber 21 separately from the halogenated hydrocarbons and C 4 F 6 O 3. Oxidizing gas may be continuously introduced into the plasma reaction chamber 21 while intermittently introducing the halogenated hydrocarbon and the C 4 F 6 O 3 gas into the plasma reaction chamber 21. Oxidizing gas amount to be introduced, halogenated hydrocarbons, and the C 4 F 6 O 3, and can be set to 0% v / v~100% v / v of the total amount of the oxidizing gas (100%, continuous This refers to the case where a gas other than the oxidizing gas and a pure oxidizing gas are alternately introduced, for example, after the halogenated hydrocarbon and C 4 F 6 O 3 are mixed and introduced for a certain period of time. , The step of stopping the supply of halogenated hydrocarbons and C 4 F 6 O 3 and introducing 100% v / v oxidizing gas is repeated).

本実施形態に係るエッチング方法によれば、シリコン含有材料の表面にポリマー膜を形成して保護する機能を有するハロゲン化炭化水素を含むガスと、シリコン含有材料の表面をエッチングする機能を有するCを含むガスとが、プラズマ反応チャンバー内で均一に混合されるので、エッチング速度が速く、かつ、精度の高いエッチングが実行できる。また、エッチング対象であるSi含有材料に形成された凹部をエッチングする場合には、該凹部の側壁を保護しながら、該凹部の底部をより選択的にエッチングすることができる。かかるエッチング方法は、酸素等の酸化性ガスを導入することなく実施することができるため、Si含有材料の凹部をエッチングするにあたり、エッチングを実行する部位に均一な組成比の活性種を供給することができる。従って均一性の高いエッチングを実行することができる。酸化性ガスを導入した場合には、さらに高精度に制御されたエッチングを実施することもできる。 According to the etching method of the present embodiment, C 4 with a gas containing a halogenated hydrocarbon having the function of protecting by forming a polymer film on the surface of the silicon-containing material, a function of etching the surface of the silicon-containing material Since the gas containing F 6 O 3 is uniformly mixed in the plasma reaction chamber, the etching rate is high and highly accurate etching can be performed. Further, when the concave portion formed in the Si-containing material to be etched can be etched, the bottom portion of the concave portion can be more selectively etched while protecting the side wall of the concave portion. Since such an etching method can be carried out without introducing an oxidizing gas such as oxygen, when etching the concave portion of the Si-containing material, an active species having a uniform composition ratio is supplied to the portion to be etched. Can be done. Therefore, highly uniform etching can be performed. When an oxidizing gas is introduced, more precisely controlled etching can be performed.

1.4.Cの純度
は、その製造工程に起因する不純物としてトリフルオロ酢酸を含有することがある。また、Cは、含酸素不純物により分解されやすく、分解生成物としてトリフルオロ酢酸が生成することもある。さらにプラズマ条件下においては、これらの酸化性物質である含酸素不純物も励起され、水素・水酸化ラジカルを発生し、プロセス上不要な酸化還元反応を引き起こすという問題がある。よって、Cの純度は99.9重量%以上100重量%未満であることが好ましい。特に含酸素不純物はエッチング
性能低下に及ぼす影響が大きいことから、含酸素不純物含有量は0重量ppm以上100重量ppm以下であることが好ましい。含酸素不純物含有量の上限値は100重量ppmであることが好ましいが、50重量ppmであることがより好ましく、5重量ppmであることが特に好ましい。
1.4. C 4 F 6 purity C 4 F 6 O 3 of O 3 may be contained trifluoroacetic acid as impurities resulting from the manufacturing process. In addition, C 4 F 6 O 3 is easily decomposed by oxygen-containing impurities, and trifluoroacetic acid may be produced as a decomposition product. Further, under plasma conditions, oxygen-containing impurities, which are these oxidizing substances, are also excited to generate hydrogen / hydroxide radicals, which causes a problem of causing an unnecessary redox reaction in the process. Therefore, the purity of C 4 F 6 O 3 is preferably 99.9% by weight or more and less than 100% by weight. In particular, since oxygen-containing impurities have a large effect on the deterioration of etching performance, the oxygen-containing impurity content is preferably 0 ppm by weight or more and 100 wt ppm or less. The upper limit of the oxygen-containing impurity content is preferably 100 ppm by weight, more preferably 50 ppm by weight, and particularly preferably 5 ppm by weight.

含酸素不純物の中でも、HOは特に反応性が高い上、Cの充填工程、充填容器、供給配管からの混入可能性があり、HO含有量を制御することはエッチング性能を制御する上で重要である。よって、C中のHO含有量は、0.1重量ppb以上20重量ppm以下であることが好ましい。C中のHO含有量の上限値は20重量ppmであることが好ましいが、1重量ppmであることがより好ましく、100重量ppbであることが特に好ましい。 Among the oxygen-containing impurities, H 2 O is particularly reactive and may be mixed from the C 4 F 6 O 3 filling process, filling container, and supply piping, so it is not possible to control the H 2 O content. It is important in controlling the etching performance. Therefore, the H 2 O content in C 4 F 6 O 3 is preferably 0.1 weight ppb or more and 20 weight ppm or less. The upper limit of the H 2 O content in C 4 F 6 O 3 is preferably 20 ppm by weight, more preferably 1 ppm by weight, and particularly preferably 100 wt ppm.

また、C中にHOが含有すると、トリフルオロ酢酸が発生しやすい。トリフルオロ酢酸もHOと同様にエッチング性能を低下させる。さらにトリフルオロ酢酸はSiNをエッチングするため、エッチングの選択性を低下させる。このため、C中のトリフルオロ酢酸は0.1重量ppb以上20重量ppm以下であることが好ましい。C中のトリフルオロ酢酸含有量の上限値は20重量ppmであることが好ましいが、1重量ppmであることがより好ましく、100重量ppbであることが特に好ましい。 Further, when H 2 O is contained in C 4 F 6 O 3 , trifluoroacetic acid is likely to be generated. Trifluoroacetic acid also reduces the etching performance in the same manner as H 2 O. Further, trifluoroacetic acid etches SiN, which reduces the etching selectivity. Therefore, the amount of trifluoroacetic acid in C 4 F 6 O 3 is preferably 0.1 wt ppb or more and 20 wt ppm or less. The upper limit of the trifluoroacetic acid content in C 4 F 6 O 3 is preferably 20 ppm by weight, more preferably 1 ppm by weight, and particularly preferably 100 wt ppm.

上記のように純度が高く、含酸素不純物量(特にHO含有量およびトリフルオロ酢酸)の少ないCを使用すれば、選択性が高く、高性能なエッチングを実行することができる。 As described above in high purity, using less C 4 F 6 O 3 of the amount oxygen-containing impurities (especially H 2 O content and trifluoroacetic acid), high selectivity, performing high etch Can be done.

1.5.Cの供給容器および容器内面処理
本実施形態に係るエッチング方法において、C中の不純物を低減させるため、Cはプラズマ反応チャンバー内に導入される前には、表面粗度が0〜6ミクロンである内表面を有する金属製容器に収納されることが好ましい。表面粗度が0〜6ミクロンである内表面を有する金属製容器は、容器洗浄後の乾燥工程において内表面に残留する水分が除去されやすい。従って容器内水分濃度が低い状態でCを充填することができ、水分含有量の低いCをプラズマ反応チャンバー内に供給することができる。
1.5. In the etching method according to the supply container and the inside vessel surface treatment embodiment of the C 4 F 6 O 3, to reduce the impurities in the C 4 F 6 O 3, C 4 F 6 O 3 is introduced into the plasma reaction chamber It is preferable that the container is stored in a metal container having an inner surface having a surface roughness of 0 to 6 microns. A metal container having an inner surface having a surface roughness of 0 to 6 microns can easily remove water remaining on the inner surface in a drying step after cleaning the container. Therefore it is possible to fill the C 4 F 6 O 3 is in a low state vessel water concentration can be supplied to low C 4 F 6 O 3 moisture content in the plasma reaction chamber.

表面粗度が0〜6ミクロンである内表面を有する金属製容器を作成するためには、金属製容器の内部を、例えば防錆剤を含む研磨剤で湿式研磨する。金属製容器としては、特に限定されず、ステンレススチール製、マンガン鋼製、またはクロムモリブデン製であってもよい。湿式研磨では、金属製容器の内表面の表面粗度が6ミクロン以下になるようにすることが好ましい。研磨剤としては、特に限定されずセラミックス製研磨剤、アルミナ含有セラミックス製研磨剤、シリカアルミナ研磨剤またはこれらの組み合わせを使用しても良い。研磨剤は1種類のみを用いてもよいが、第1研磨剤により研磨した後に、組成の異なる第2研磨剤により研磨すると、さらに効果的である。第2研磨剤による研磨は複数回実施してもよい。研磨剤は1〜50g程度を水1リットルに懸濁させて使用することができ、例えば第1研磨剤は水1リットルに対して5〜10g程度、第2研磨剤は水1リットルに対して10〜20g使用することができるが、これに限定されない。第1研磨剤としてセラミックス製研磨剤を使用し、第2研磨剤としてアルミナ含有セラミックス製研磨剤を使用することが好ましいが、これに限られず任意の研磨剤を使用することができる。 In order to prepare a metal container having an inner surface having a surface roughness of 0 to 6 microns, the inside of the metal container is wet-polished with an abrasive containing, for example, a rust preventive. The metal container is not particularly limited, and may be made of stainless steel, manganese steel, or chrome molybdenum. In wet polishing, it is preferable that the surface roughness of the inner surface of the metal container is 6 microns or less. The abrasive is not particularly limited, and a ceramic abrasive, an alumina-containing ceramic abrasive, a silica alumina abrasive, or a combination thereof may be used. Although only one type of abrasive may be used, it is more effective to polish with a second abrasive having a different composition after polishing with the first abrasive. Polishing with the second abrasive may be performed a plurality of times. About 1 to 50 g of the abrasive can be suspended in 1 liter of water and used. For example, the first abrasive is about 5 to 10 g with respect to 1 liter of water, and the second abrasive is with respect to 1 liter of water. 10 to 20 g can be used, but the present invention is not limited to this. It is preferable to use a ceramics abrasive as the first abrasive and an alumina-containing ceramics abrasive as the second abrasive, but the present invention is not limited to this, and any abrasive can be used.

研磨後に金属製容器を洗浄し、乾燥する。洗浄は水洗浄(特に純水洗浄)のみでもよいが、酸洗浄を行った後に水洗浄を行うとより効果的である。水洗浄には、球状アルミナシリカを懸濁させた水を使用することもできる。酸洗浄にはクエン酸二アンモニウム、リン酸
二水素ナトリウム、二リン酸水素ナトリウムの少なくとも1種からなるものであれば好ましく、中でもクエン酸二アンモニウムが特に好ましい。クエン酸二アンモニウム、リン酸二水素ナトリウム、二リン酸水素ナトリウムの少なくとも1種であれば金属製容器内面の防錆被膜に悪影響を与えにくく、かつ、洗浄作業環境が穏やかであり、酸洗浄液を廃棄する際の中和も容易である。特にクエン酸二アンモニウムであれば、金属原子、リン原子、硫黄原子を含まないため、金属製容器内に充填する材料を汚染する恐れが低く、該材料を使用した成膜工程にも悪影響を与えにくい点で好ましい。乾燥工程は、窒素ガス、乾燥空気等を容器内部に吹き付けることにより実施することができるが、特にこれに限定されず不活性ガスであればよい。ヒーターを用いて金属製容器を加熱し、さらに水分残留量を低減することもできる。
After polishing, the metal container is washed and dried. The cleaning may be only water cleaning (particularly pure water cleaning), but it is more effective to perform water cleaning after acid cleaning. Water in which spherical alumina silica is suspended can also be used for washing with water. For acid cleaning, it is preferable that it is composed of at least one of diammonium citrate, sodium dihydrogen phosphate and sodium hydrogen diphosphate, and diammonium citrate is particularly preferable. At least one of diammonium citrate, sodium dihydrogen phosphate, and sodium hydrogen phosphate is less likely to adversely affect the rust preventive film on the inner surface of the metal container, and the cleaning work environment is mild. Neutralization at the time of disposal is also easy. In particular, diammonium citrate does not contain metal atoms, phosphorus atoms, and sulfur atoms, so there is less risk of contaminating the material to be filled in the metal container, which adversely affects the film formation process using the material. It is preferable because it is difficult. The drying step can be carried out by blowing nitrogen gas, dry air or the like into the inside of the container, but the drying step is not particularly limited to this, and any inert gas may be used. A heater can be used to heat the metal container to further reduce the amount of residual water.

以上の湿式研磨工程、洗浄工程、乾燥工程を経ることにより、内表面の表面粗度が0〜6ミクロンである表面粗度の低い金属製容器を得ることができる。表面粗度の低い金属表面には水分が吸着されにくい。よって、表面粗度の低い金属製容器内には水分残留量が少ない。このため表面粗度の低い金属製容器内にCを充填することにより、水分含有量の少ない、高純度のCを貯蔵することができる。高純度のCを使用すれば、高性能のプラズマエッチングを実行することができる。 By going through the above wet polishing step, cleaning step, and drying step, a metal container having a low surface roughness having an inner surface roughness of 0 to 6 microns can be obtained. Moisture is not easily adsorbed on a metal surface with low surface roughness. Therefore, the amount of water remaining in the metal container having low surface roughness is small. Therefore, by filling a metal container having a low surface roughness with C 4 F 6 O 3 , it is possible to store high-purity C 4 F 6 O 3 having a low water content. High-purity C 4 F 6 O 3 can be used to perform high-performance plasma etching.

1.6.作用効果
本実施形態に係るエッチング方法によれば、ハロゲン化炭化水素と、Cを含むガスとをプラズマ反応チャンバー内に導入して、プラズマによって活性種を発生させることができる。こうして発生した活性種は、Si含有材料のプラズマエッチングを実行する。特にSiO、SiON、SiOC、SiOH、およびSiOCHに代表されるケイ素原子と酸素原子を有する膜を高いエッチング速度でエッチングすることができる。このため、SiN、アモルファスカーボン、ドープドアモルファスカーボン、Si、金属窒化物、金属酸化物、有機フォトレジストおよび金属よりなる群から選択される少なくとも1種の材料からなる第1膜と、ケイ素原子および酸素原子を含有する第2膜とを有するSi含有材料をエッチングする場合に、高いエッチング速度で、第2膜を選択的に除去することができる。本実施形態に係るエッチング方法では、Si含有材料に形成された凹部をエッチングする場合において、凹部の側壁に堆積するポリマーにより該側壁を保護しながら、高速で凹部の底部のみをエッチングすることができる。また、凹部の底部に供給される活性種の組成比が安定であることから均一なエッチングを実行できる。以上のことから、本実施形態に係るエッチング方法は、高アスペクト比を有する凹部のエッチングにも、低アスペクト比を有する凹部のエッチングにも好適である。
1.6. According to the etching method according to the effects present embodiment, it is possible to halogenated hydrocarbons, by introducing a gas containing C 4 F 6 O 3 in the plasma reaction chamber to generate active species by the plasma. The active species thus generated perform plasma etching of the Si-containing material. In particular, a film having silicon atoms and oxygen atoms typified by SiO, SiON, SiOC, SiOH, and SiOCH can be etched at a high etching rate. Therefore, a first film made of at least one material selected from the group consisting of SiN, amorphous carbon, doped amorphous carbon, Si, metal nitrides, metal oxides, organic photoresists and metals, silicon atoms and When etching a Si-containing material having a second film containing an oxygen atom, the second film can be selectively removed at a high etching rate. In the etching method according to the present embodiment, when etching a recess formed in a Si-containing material, only the bottom of the recess can be etched at high speed while protecting the side wall with a polymer deposited on the side wall of the recess. .. Further, since the composition ratio of the active species supplied to the bottom of the recess is stable, uniform etching can be performed. From the above, the etching method according to the present embodiment is suitable for etching recesses having a high aspect ratio as well as etching recesses having a low aspect ratio.

また、別実施形態として、不活性ガスを添加してプラズマを安定させ、さらにエッチングの効率を向上させることができる。さらに別の実施形態として酸化性ガスを添加して、より精密にエッチングを制御することもできる。 Further, as another embodiment, an inert gas can be added to stabilize the plasma and further improve the etching efficiency. As yet another embodiment, an oxidizing gas can be added to control the etching more precisely.

2.実施例
以下、本発明を実施例に基づいて具体的に説明するが、本発明はこれらの実施例に限定されるものではない。
2. Examples Hereinafter, the present invention will be specifically described based on examples, but the present invention is not limited to these examples.

2.1.容器内面処理
を含むガスを貯蔵するための金属製容器について、容器内表面処理を行った。容器内表面処理は、湿式研磨工程、洗浄工程、乾燥工程の順に実施された。
2.1. Container inner surface treatment The inner surface of the metal container for storing gas containing C 4 F 6 O 3 was treated. The surface treatment inside the container was carried out in the order of a wet polishing step, a washing step, and a drying step.

湿式研磨工程では、複数の金属製容器の内部に研磨剤と防錆剤とを内部に収容した状態で、水平に支持した。次に金属製容器をその軸心周りで右周りに自転させつつ、水平軸芯周りで左周りに公転させる湿式研磨装置に取り付けて湿式研磨を行った。このような湿式
研磨装置によれば、研磨剤は遠心力によって公転軌跡外方側に集中し、その研磨剤に対して金属製容器内面が相対的に回転移動することになる。すると金属製容器内面が研磨剤と接触することで研磨される。研磨剤は1種類のみを用いてもよいが、第1研磨剤により研磨した後に、組成の異なる第2研磨剤により研磨すると、さらに効果的である。第2研磨剤による研磨は複数回実施してもよい。本実施例においては第1研磨剤による研磨後には、金属製容器の内表面の表面粗度は3〜5ミクロン程度であった。第1研磨剤による研磨後に、第2研磨剤による研磨を実施したところ、金属製容器の内表面の表面粗度は1ミクロン程度であった。
In the wet polishing step, the polishing agent and the rust preventive were contained inside a plurality of metal containers and supported horizontally. Next, while rotating the metal container clockwise around its axis, it was attached to a wet polishing device that revolves counterclockwise around the horizontal axis to perform wet polishing. According to such a wet polishing apparatus, the abrasive is concentrated on the outer side of the revolution trajectory due to the centrifugal force, and the inner surface of the metal container is rotationally moved relative to the abrasive. Then, the inner surface of the metal container comes into contact with the abrasive and is polished. Although only one type of abrasive may be used, it is more effective to polish with a second abrasive having a different composition after polishing with the first abrasive. Polishing with the second abrasive may be performed a plurality of times. In this example, the surface roughness of the inner surface of the metal container was about 3 to 5 microns after polishing with the first abrasive. When polishing with the second abrasive was carried out after polishing with the first abrasive, the surface roughness of the inner surface of the metal container was about 1 micron.

湿式研磨工程に続く洗浄工程では、研磨工程終了後の金属製容器に球状アルミナシリカ(粒径5mm)と純水を充填し、その軸心周りに回転させる。回転後に金属製容器内に残留する研磨剤及び防錆剤を除去する。次に金属製容器の内面を純水によって洗浄し、前記金属容器内表面に生成した防錆被膜に付着したダストを除去した。さらに酸洗浄液を用いて純水では取り除くことの出来なかったダストをさらに除去した。その後、酸洗浄液が金属製容器内に残留するのを防ぐため、再度純水によって洗浄を行った。 In the cleaning step following the wet polishing step, the metal container after the polishing step is filled with spherical alumina silica (particle size 5 mm) and pure water, and rotated around the axis thereof. Removes abrasives and rust preventives remaining in the metal container after rotation. Next, the inner surface of the metal container was washed with pure water to remove dust adhering to the rust preventive film formed on the inner surface of the metal container. Furthermore, dust that could not be removed with pure water was further removed using an acid cleaning solution. Then, in order to prevent the acid cleaning liquid from remaining in the metal container, cleaning was performed again with pure water.

洗浄工程に続く乾燥工程では、金属製容器は120℃でベーキングを行った。ベーキングは空気中で行ってもよいが、乾燥窒素ガスでパージしながら行うことにより、さらに残留水分量を低減することができる。 In the drying step following the washing step, the metal container was baked at 120 ° C. Baking may be performed in air, but the residual water content can be further reduced by performing baking while purging with dry nitrogen gas.

以上の処理により得られた金属製容器の内表面の表面粗度は、AFM(原子間力顕微鏡)により測定した結果、表面粗度最大値(Rmax)が3ミクロンであった。 The surface roughness of the inner surface of the metal container obtained by the above treatment was measured by an AFM (atomic force microscope), and as a result, the maximum surface roughness value (Rmax) was 3 microns.

<容器内表面処理条件>
・使用した金属製容器:ステンレススチール製、内容量1L、円筒型
・使用した金属製容器の初期の表面粗度:15ミクロン
・使用した第1研磨剤:粒径5mmの球状セラミックス製研磨剤、水1リットルに対して5g
・使用した第2研磨剤:粒径5mmの球状アルミナ含有セラミックス研磨剤、水1リットルに対して15g
・使用した酸洗浄液:0.1%クエン酸二アンモニウム水溶液
・ベーキング条件:窒素ガス流通下、120℃、12時間
<Container surface treatment conditions>
-Metal container used: Stainless steel, content 1 L, cylindrical type-Initial surface roughness of the metal container used: 15 microns-First abrasive used: Spherical ceramic abrasive with a particle size of 5 mm, 5g per liter of water
-Second abrasive used: Spherical alumina-containing ceramic abrasive with a particle size of 5 mm, 15 g per liter of water
-Acid cleaning solution used: 0.1% diammonium citrate aqueous solution-Baking conditions: 120 ° C. for 12 hours under nitrogen gas flow

2.2.C純度
後述の実施例および比較例で用いたCの純度は、下表1に示す通りであった。Cについて純度および不純物含有量を測定した結果を表1に示す。高純度であり、水分およびトリフルオロ酢酸含有量が低いCを後述の実施例1ないし実施例3に使用することにより、高いエッチング性能が得られた。
2.2. The purity of C 4 F 6 O 3 C 4 F 6 O 3 used in Examples and Comparative Examples of purity described below was as shown in Table 1 below. Table 1 shows the results of measuring the purity and impurity content of C 4 F 6 O 3. High etching performance was obtained by using C 4 F 6 O 3 having high purity and low water content and low trifluoroacetic acid content in Examples 1 to 3 described later.

Figure 0006896522
Figure 0006896522

2.3.エッチング速度の測定
所定の条件下におけるシリコン酸化膜、シリコン窒化膜、ポリシリコン膜およびアモルファスカーボン膜のエッチング速度の測定には、SemiLab社製エリプソメトリー(機種名:SE−2000)、日立製作所社製SEM(機種名:S−5200)を使用した。
2.3. Measurement of Etching Rate For measuring the etching rate of silicon oxide film, silicon nitride film, polysilicon film, and amorphous carbon film under predetermined conditions, SemiLab Ellipsometry (model name: SE-2000), Hitachi, Ltd. SEM (model name: S-5200) was used.

2.4.エッチングの選択比の測定
所定の条件下におけるシリコン酸化膜、シリコン窒化膜、ポリシリコンおよびアモルファスカーボン膜のエッチング速度を上述の方法により測定し、シリコン酸化膜のエッチング速度を、シリコン窒化膜、ポリシリコンまたはアモルファスカーボン膜のエッチング速度で除した値を選択比とした。
2.4. Measurement of Etching Selectivity The etching rate of silicon oxide film, silicon nitride film, polysilicon and amorphous carbon film under predetermined conditions is measured by the above method, and the etching rate of silicon oxide film is measured by silicon nitride film and polysilicon. Alternatively, the value divided by the etching rate of the amorphous carbon film was used as the selection ratio.

2.5.実施例1
図1に示す装置を用いて、シリコン酸化膜、シリコン窒化膜、ポリシリコンおよびアモルファスカーボン膜のエッチングを実施した結果を表2および表3に示す。ハロゲン化炭化水素、Cおよび不活性ガスは、それぞれマスフローコントローラにより制御された流量を供給し、プラズマ反応チャンバー導入前に混合した後に、プラズマ反応チャンバー21に導入した。ハロゲン化炭化水素およびCの合計流量は10SCCM,不活性ガスの流量は90SCCMとした。プラズマ反応チャンバー21に導入されたガスはプラズマにより励起される。プラズマ反応チャンバー21内の温度は25℃となるように温度調節機構により調整した。プラズマ反応チャンバー21内の圧力は30mTorrとなるように圧力調整機構により調整した。
2.5. Example 1
Tables 2 and 3 show the results of etching the silicon oxide film, the silicon nitride film, polysilicon, and the amorphous carbon film using the apparatus shown in FIG. The halogenated hydrocarbons, C 4 F 6 O 3 and the inert gas were each supplied with a flow rate controlled by a mass flow controller, mixed before the introduction of the plasma reaction chamber, and then introduced into the plasma reaction chamber 21. The total flow rate of the halogenated hydrocarbon and C 4 F 6 O 3 was 10 SCCM, and the flow rate of the inert gas was 90 SCCM. The gas introduced into the plasma reaction chamber 21 is excited by the plasma. The temperature inside the plasma reaction chamber 21 was adjusted by a temperature control mechanism so as to be 25 ° C. The pressure in the plasma reaction chamber 21 was adjusted by a pressure adjusting mechanism so as to be 30 mTorr.

プラズマ反応チャンバー21には、シリコン酸化膜、シリコン窒化膜、アモルファスカーボン膜およびポリシリコン膜のうちいずれか一つの膜を有する被エッチング処理体を配置し、それぞれの膜について一定時間、エッチングを行った。エッチング実行後にプラズマ反応チャンバー21内を不活性ガスによりパージした。その後、プラズマ反応チャンバー21内を大気圧にまで復圧し、被エッチング処理体を取出した。取出した被エッチング処理体について、エッチング速度を測定した。 In the plasma reaction chamber 21, an object to be etched having any one of a silicon oxide film, a silicon nitride film, an amorphous carbon film and a polysilicon film was arranged, and each film was etched for a certain period of time. .. After the etching was performed, the inside of the plasma reaction chamber 21 was purged with an inert gas. Then, the inside of the plasma reaction chamber 21 was restored to atmospheric pressure, and the object to be etched was taken out. The etching rate of the extracted body to be etched was measured.

エッチング速度の測定は、シリコン酸化膜、シリコン窒化膜およびポリシリコン膜についてはエリプソメトリーによりエッチングされた膜の厚みを測定し、エッチングを実行した時間当たりのエッチング速度を算出した。アモルファスカーボン膜については走査型電子顕微鏡(本明細書において「SEM」ともいう)によりエッチングされた膜の厚みを測定し、エッチングを実行した時間当たりのエッチング速度を算出した。 For the measurement of the etching rate, the thickness of the film etched by ellipsometry was measured for the silicon oxide film, the silicon nitride film and the polysilicon film, and the etching rate per time when the etching was performed was calculated. For the amorphous carbon film, the thickness of the film etched by a scanning electron microscope (also referred to as “SEM” in the present specification) was measured, and the etching rate per time when the etching was performed was calculated.

<実施例1の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:シリコン酸化膜、シリコン窒化膜、アモルファスカーボン膜、ポリシリコン膜
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン(流量90SCCM)
・ハロゲン化炭化水素:C
・ハロゲン化炭化水素(C)およびCの合計流量:10SCCM(それぞれの流量は表2および表3中に示す)
・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Example 1>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Silicon oxide film, silicon nitride film, amorphous carbon film, polysilicon film-Pressure inside the processing container: 30 mTorr
-Inert gas: Argon (flow rate 90SCCM)
-Halogenated hydrocarbons: C 4 F 6
-Total flow rate of halogenated hydrocarbons (C 4 F 6 ) and C 4 F 6 O 3 : 10 SCCM (each flow rate is shown in Tables 2 and 3).
・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

表2に、ハロゲン化炭化水素(ヘキサフルオロ−1,3−ブタジエン、C)およびCの流量比を変化させた場合における各被エッチング処理体のエッチング速度測定結果を示す。表3に、表2に示した測定結果から算出したエッチングの選択性を示す。エッチングの選択性は、シリコン酸化膜のエッチング速度を、シリコン酸化膜以外の膜のエッチング速度で除した値で表わしている。エッチングの性能は、エッチング速度および選択性の観点から評価される。エッチング速度が速く、選択性が高いエッチングが実行されることが好ましい。 Table 2 shows the measurement results of the etching rate of each object to be etched when the flow rate ratios of halogenated hydrocarbons (hexafluoro-1,3-butadiene, C 4 F 6 ) and C 4 F 6 O 3 were changed. Shown. Table 3 shows the etching selectivity calculated from the measurement results shown in Table 2. The etching selectivity is represented by a value obtained by dividing the etching rate of the silicon oxide film by the etching rate of a film other than the silicon oxide film. Etching performance is evaluated in terms of etching rate and selectivity. It is preferable that etching having a high etching rate and high selectivity is performed.

Figure 0006896522
Figure 0006896522

Figure 0006896522
Figure 0006896522

実施例1では、酸化性ガスを導入しなくともシリコン窒化膜、アモルファスカーボン膜またはポリシリコン膜と比較してシリコン酸化膜を選択的にエッチングすることができた。特にハロゲン化炭化水素(C)とCの流量比が9:1〜7:3である場合にはエッチング速度が速く、エッチングの選択性が高いことから、エッチング性能が高いという結果が得られた。ハロゲン化炭化水素(C)とCの流量比が9:1〜8:2である場合にはさらにエッチング速度が速いことから、エッチング性能がさらに高い結果が得られた。 In Example 1, the silicon oxide film could be selectively etched as compared with the silicon nitride film, the amorphous carbon film, or the polysilicon film without introducing an oxidizing gas. In particular, when the flow rate ratio of the halogenated hydrocarbon (C 4 F 6 ) and C 4 F 6 O 3 is 9: 1 to 7: 3, the etching rate is high and the etching selectivity is high, so that the etching performance is high. Was obtained as a result of high. When the flow rate ratio of the halogenated hydrocarbon (C 4 F 6 ) and C 4 F 6 O 3 is 9: 1 to 8: 2, the etching rate is even faster, so that the etching performance is even higher. Etched.

2.6.実施例2
実施例1と同様に、図1に示す装置を用いて、シリコン酸化膜、シリコン窒化膜、ポリシリコンおよびアモルファスカーボン膜のエッチングを実施した結果を表4および表5に示す。ハロゲン化炭化水素とCの合計流量は6.0SCCM、不活性ガスの流量は94SCCMとした。
2.6. Example 2
Tables 4 and 5 show the results of etching the silicon oxide film, the silicon nitride film, polysilicon, and the amorphous carbon film using the apparatus shown in FIG. 1 in the same manner as in Example 1. The total flow rate of the halogenated hydrocarbon and C 4 F 6 O 3 was 6.0 SCCM, and the flow rate of the inert gas was 94 SCCM.

<実施例2の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:シリコン酸化膜、シリコン窒化膜、アモルファスカーボン膜、ポリシリコン膜
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン(流量94SCCM)
・ハロゲン化炭化水素:C
・ハロゲン化炭化水素(C)およびCの合計流量:6.0SCCM(それぞれの流量は表4および表5中に示す)
・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Example 2>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Silicon oxide film, silicon nitride film, amorphous carbon film, polysilicon film-Pressure inside the processing container: 30 mTorr
-Inert gas: Argon (flow rate 94SCCM)
-Halogenated hydrocarbons: C 4 F 6
-Total flow rate of halogenated hydrocarbons (C 4 F 6 ) and C 4 F 6 O 3 : 6.0 SCCM (each flow rate is shown in Tables 4 and 5).
・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

表4に、ハロゲン化炭化水素(C)およびCの流量比を変化させた場合における各被エッチング処理体のエッチング速度測定結果を示す。表5に、表4に示した測定結果から算出したエッチングの選択性を示す。エッチングの選択性は、シリコン酸化膜のエッチング速度を、シリコン酸化膜以外の膜のエッチング速度で除した値で表わしている。エッチング速度が0nm/min未満の場合は、エッチングが実行されずに成膜が実行された場合である。エッチング速度が0nm/min以下の場合については、エッチング選択性の算出は行っていないため、表5中では“−”で示している。 Table 4 shows the etching rate measurement results of each object to be etched when the flow rate ratios of the halogenated hydrocarbons (C 4 F 6 ) and C 4 F 6 O 3 were changed. Table 5 shows the etching selectivity calculated from the measurement results shown in Table 4. The etching selectivity is represented by a value obtained by dividing the etching rate of the silicon oxide film by the etching rate of a film other than the silicon oxide film. When the etching rate is less than 0 nm / min, it means that the film formation is executed without performing the etching. When the etching rate is 0 nm / min or less, the etching selectivity is not calculated, so it is indicated by "-" in Table 5.

Figure 0006896522
Figure 0006896522

Figure 0006896522
Figure 0006896522

実施例2では、実施例1よりも全ガス流量中に占めるハロゲン化炭化水素(C)とCの流量がさらに少ない条件において、酸化性ガスを導入しなくともシリコン窒化膜、アモルファスカーボン膜またはポリシリコン膜と比較してシリコン酸化膜をさらに選択的にエッチングすることができた。 In Example 2, silicon without introducing an oxidizing gas under the condition that the flow rates of halogenated hydrocarbons (C 4 F 6 ) and C 4 F 6 O 3 in the total gas flow rate are smaller than those in Example 1. The silicon oxide film could be more selectively etched as compared to the nitride film, amorphous carbon film or polysilicon film.

2.7.実施例3
実施例1と同様に、図1に示す装置を用いて、Low−k膜のエッチングを実施した。ハロゲン化炭化水素とCの合計流量は6.0SCCM,不活性ガスの流量は94SCCMとした。
2.7. Example 3
In the same manner as in Example 1, the Low-k film was etched using the apparatus shown in FIG. The total flow rate of the halogenated hydrocarbon and C 4 F 6 O 3 was 6.0 SCCM, and the flow rate of the inert gas was 94 SCCM.

<実施例3の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:Low−k膜(SKW Associates, Inc.社より購入のBlack DiamondII(登録商標)膜)
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン(流量94SCCM)
・ハロゲン化炭化水素:C(流量1.5SCCM)
・Cの流量:4.5SCCM
・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Example 3>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Low-k film (Black Diamond II (registered trademark) film purchased from SKW Associates, Inc.)
・ Pressure inside the processing container: 30 mTorr
-Inert gas: Argon (flow rate 94SCCM)
-Halogenated hydrocarbons: C 4 F 6 (flow rate 1.5 SCCM)
・ C 4 F 6 O 3 flow rate: 4.5SCCM
・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

上記条件によりエッチングしたLow−k膜について、XPS(X線光電子分光光度計、Thermo Fisher Scientific社製K−Alpha)を用いてダメージ深さを測定した結果、ダメージ深さは30nmと低い値であった。本明細書において「Low−k膜のダメージ深さ」とは、エッチングを実施した後のLow−k膜中の炭素組成比が、エッチングを実施する前のLow−k膜中の炭素組成比の95%にまで回復した深さをいう。Low−k膜がエッチングによりダメージを受けた場合、Low−k膜中の炭素組成比は減少する。このため、エッチングによるダメージがLow−k膜中のどの深さまで及ぶかは、XPSにより深さ方向にLow−k膜中の炭素組成比を測定することにより確認することができる。 As a result of measuring the damage depth of the Low-k film etched under the above conditions using XPS (X-ray photoelectron spectrophotometer, K-Alpha manufactured by Thermo Fisher Scientific), the damage depth was as low as 30 nm. It was. In the present specification, the "damage depth of the Low-k film" means that the carbon composition ratio in the Low-k film after etching is the carbon composition ratio in the Low-k film before etching. Depth recovered to 95%. When the Low-k film is damaged by etching, the carbon composition ratio in the Low-k film decreases. Therefore, the depth to which the damage due to etching extends in the Low-k film can be confirmed by measuring the carbon composition ratio in the Low-k film in the depth direction by XPS.

エッチングによるLow−k膜へのダメージを評価する別の方法として、Low−k膜のFTIR(赤外分光光度計)による分析をする方法がある。FTIRによるSi−O−Si結合に起因する波数1060cm-1付近の強度と、Si−CH結合に起因する波数1270cm-1付近の強度との比率を求める方法である。Low−k膜へのダメージがある場合には、Si−O−Si結合に起因する波数1060cm-1付近の強度に対す
る、Si−CH結合に起因する波数1270cm-1付近の強度は小さくなる。本実施例では、Si−CH結合に起因する波数1270cm-1付近の強度はエッチング前と比較して、エッチング後には12.9%減少するにとどまり、ダメージが少なかったことが確認できた。
As another method for evaluating the damage to the Low-k film due to etching, there is a method of analyzing the Low-k film by FTIR (Infrared Spectrophotometer). This is a method of obtaining the ratio between the intensity of a wave number of about 1060 cm- 1 due to the Si—O—Si bond by FTIR and the intensity of the wave number of about 1270 cm-1 due to the Si—CH 3 bond. If there is damage to the Low-k film, to the intensity of the vicinity of the wave number 1060 cm -1 attributable to the bond of Si-O-Si, Si-CH 3 intensity at around wave number 1270 cm -1 due to the coupling is reduced. In this example, it was confirmed that the intensity around 1270 cm-1 wavenumber due to the Si-CH 3 bond decreased only 12.9% after etching as compared with that before etching, and the damage was small.

実施例1と同様の方法で、上記Low−k膜のエッチング速度を測定した結果、エッチング速度は143nm/minであり、十分に速いエッチング速度を得ることができた。 As a result of measuring the etching rate of the Low-k film by the same method as in Example 1, the etching rate was 143 nm / min, and a sufficiently high etching rate could be obtained.

以上のことから、実施例3では、Low−k膜へのダメージが少なく、エッチング速度が十分に速いエッチングを実施できることが確認された。 From the above, it was confirmed that in Example 3, damage to the Low-k film was small and etching with a sufficiently high etching rate could be performed.

2.8.実施例4
ハロゲン化炭化水素としてCを使用した以外は、実施例1と同様の条件で、シリコン酸化膜、シリコン窒化膜、ポリシリコンおよびアモルファスカーボン膜のエッチングを実施した。その結果、実施例1とほぼ同様の結果が得られ、酸化性ガスを導入しなくてもシリコン窒化膜、アモルファスカーボン膜またはポリシリコン膜と比較してシリコン酸化膜を選択的にエッチングできることがわかった。
また、ハロゲン化炭化水素としてCを使用した以外は、実施例3と同様の条件で、Low−k膜のエッチングを実施した。その結果、実施例3とほぼ同様の結果が得られ、Low−k膜へのダメージが少なく、エッチング速度が十分に速いエッチングを実施できることが確認された。
2.8. Example 4
Except for using C 4 F 8 as halogenated hydrocarbons, under the same conditions as in Example 1 were carried silicon oxide film, a silicon nitride film, the etching of the polysilicon and amorphous carbon film. As a result, almost the same result as in Example 1 was obtained, and it was found that the silicon oxide film can be selectively etched as compared with the silicon nitride film, the amorphous carbon film or the polysilicon film without introducing an oxidizing gas. It was.
Further, except for using C 4 F 8 as a halogenated hydrocarbon, under the same conditions as in Example 3 was performed etching of the Low-k film. As a result, it was confirmed that almost the same result as in Example 3 was obtained, that the Low-k film was less damaged and that the etching could be performed at a sufficiently high etching rate.

2.9.比較例1
の代わりに、ハロゲン化炭化水素として広く用いられているCを使用した以外は、実施例1と同様にしてエッチングを行った。図1に示す装置を用いて、シリコン酸化膜、シリコン窒化膜、ポリシリコン、およびアモルファスカーボン膜のエッチングを実施した結果を表6および表7に示す。ハロゲン化炭化水素(C)の流量は10SCCM,不活性ガスおよび酸化性ガス(O)の合計流量は90SCCMとした。
2.9. Comparative Example 1
Etching was performed in the same manner as in Example 1 except that C 4 F 6 widely used as a halogenated hydrocarbon was used instead of C 4 F 6 O 3. Tables 6 and 7 show the results of etching the silicon oxide film, the silicon nitride film, polysilicon, and the amorphous carbon film using the apparatus shown in FIG. The flow rate of the halogenated hydrocarbon (C 4 F 6 ) was 10 SCCM, and the total flow rate of the inert gas and the oxidizing gas (O 2) was 90 SCCM.

<比較例1の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:シリコン酸化膜、シリコン窒化膜、アモルファスカーボン膜、ポリシリコン膜
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン
・酸化性ガス:酸素
・不活性ガスおよび酸化性ガスの合計流量:90SCCM(それぞれの流量は表8および表9中に示す)
・ハロゲン化炭化水素:C(流量10SCCM)
・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Comparative Example 1>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Silicon oxide film, silicon nitride film, amorphous carbon film, polysilicon film-Pressure inside the processing container: 30 mTorr
-Inert gas: Argon-Oxidizing gas: Oxygen-Total flow rate of inert gas and oxidizing gas: 90SCCM (each flow rate is shown in Tables 8 and 9)
-Halogenated hydrocarbons: C 4 F 6 (flow rate 10 SCCM)
・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

表6に、不活性ガスおよび酸化性ガスの流量比を変化させた場合における各被エッチング処理体のエッチング速度測定結果を示す。表7に、表6に示した測定結果から算出したエッチングの選択性を示す。エッチングの選択性は、シリコン酸化膜のエッチング速度を、シリコン酸化膜以外の膜のエッチング速度で除した値で表わしている。 Table 6 shows the etching rate measurement results of each object to be etched when the flow rate ratios of the inert gas and the oxidizing gas were changed. Table 7 shows the etching selectivity calculated from the measurement results shown in Table 6. The etching selectivity is represented by a value obtained by dividing the etching rate of the silicon oxide film by the etching rate of a film other than the silicon oxide film.

Figure 0006896522
Figure 0006896522

Figure 0006896522
Figure 0006896522

比較例1では、酸化性ガス(酸素)を導入しない場合および導入量が少ない場合(本比較例においては酸素流量6SCCM以下)にエッチングが全く実行されず、逆に被エッチング対象である膜上に膜が堆積した。酸化性ガス導入量を増加させるとエッチングが実行されるが、エッチング速度および選択性が十分である範囲は少ない。例えば、エッチング速度が600nm/min以上であり、かつ、シリコン酸化膜のエッチング選択性が10以上である範囲は、酸化性ガス導入量が13SCCM〜14SCCMの範囲に限られている。これは高いエッチング性能を得るには、酸化性ガス導入量の調整をしなければならず
、エッチングの制御が困難であることを示している。
In Comparative Example 1, when the oxidizing gas (oxygen) is not introduced or when the amount introduced is small (in this Comparative Example, the oxygen flow rate is 6 SCCM or less), etching is not executed at all, and conversely, on the film to be etched. The membrane was deposited. Etching is performed by increasing the amount of oxidizing gas introduced, but the etching rate and selectivity are small. For example, the range in which the etching rate is 600 nm / min or more and the etching selectivity of the silicon oxide film is 10 or more is limited to the range in which the amount of oxidizing gas introduced is 13SCCM to 14SCCM. This indicates that in order to obtain high etching performance, it is necessary to adjust the amount of oxidizing gas introduced, and it is difficult to control the etching.

2.10.比較例2
の代わりに、オキシフルオロカーボンであるパーフルオロヒドロフラン(CO)を使用した結果を表8、表9に示す。酸化性ガスは使用せず、ハロゲン化炭化水素としてCを使用した。実施例1と同様にして、図1に示す装置を用いて、シリコン酸化膜、シリコン窒化膜、ポリシリコンおよびアモルファスカーボン膜のエッチングを実施した。ハロゲン化炭化水素とCOの合計流量は10SCCM、不活性ガスの流量は90SCCMとした。
2.10. Comparative Example 2
The results of using the oxyfluorocarbon perfluorohydrofuran (C 4 F 8 O) instead of C 4 F 6 O 3 are shown in Tables 8 and 9. No oxidizing gas was used, and C 4 F 6 was used as the halogenated hydrocarbon. In the same manner as in Example 1, etching of a silicon oxide film, a silicon nitride film, polysilicon, and an amorphous carbon film was performed using the apparatus shown in FIG. The total flow rate of the halogenated hydrocarbon and the C 4 F 8 O is 10 SCCM, the flow rate of the inert gas was 90 SCCM.

<比較例2の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:シリコン酸化膜、シリコン窒化膜、アモルファスカーボン膜、ポリシリコン膜
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン(流量90SCCM)
・ハロゲン化炭化水素:C
・ハロゲン化炭化水素(C)およびCOの合計流量:10SCCM(それぞれの流量は表8および表9中に示す)
・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Comparative Example 2>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Silicon oxide film, silicon nitride film, amorphous carbon film, polysilicon film-Pressure inside the processing container: 30 mTorr
-Inert gas: Argon (flow rate 90SCCM)
-Halogenated hydrocarbons: C 4 F 6
-Total flow rate of halogenated hydrocarbons (C 4 F 6 ) and C 4 F 8 O: 10 SCCM (each flow rate is shown in Tables 8 and 9).
・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

表8に、ハロゲン化炭化水素(C)およびCOの流量比を変化させた場合における各被エッチング処理体のエッチング速度測定結果を示す。表9に、表8に示した測定結果から算出したエッチングの選択性を示す。エッチングの選択性は、シリコン酸化膜のエッチング速度を、シリコン酸化膜以外の膜のエッチング速度で除した値で表わしている。 Table 8 shows the etching rate measurement results of each object to be etched when the flow rate ratios of halogenated hydrocarbons (C 4 F 6 ) and C 4 F 8 O were changed. Table 9 shows the etching selectivity calculated from the measurement results shown in Table 8. The etching selectivity is represented by a value obtained by dividing the etching rate of the silicon oxide film by the etching rate of a film other than the silicon oxide film.

Figure 0006896522
Figure 0006896522

Figure 0006896522
Figure 0006896522

比較例2では、分子内に酸素ガスを有するCOを使用したにもかかわらず、酸化性ガス(酸素)を導入しない場合にエッチング速度が非常に遅いことが確認された。導入されたオキシフルオロカーボンの分子内の酸素原子数が少ないことが原因と考えられる。 In Comparative Example 2, despite using C 4 F 8 O with oxygen gas in a molecule, it was confirmed etch rate is very slow when not introducing an oxidizing gas (oxygen). It is considered that the cause is that the number of oxygen atoms in the molecule of the introduced oxyfluorocarbon is small.

2.11.比較例3
前述の実施例1では、ハロゲン化炭化水素であるCと、Cを導入してエッチングを行った結果を示したが、本比較例では同様のエッチングをCを導入しない条件で実施した結果を示す。ハロゲン化炭化水素の流量は0SCCM、Cの流量は6〜10SCCM、不活性ガスの流量は90〜94SCCMとした。それぞれの流量は表10および表11に記載した。
2.11. Comparative Example 3
In Example 1 described above, the results of etching by introducing the halogenated hydrocarbons C 4 F 6 and C 4 F 6 O 3 are shown, but in this comparative example, the same etching is performed by C 4 F. The result of carrying out under the condition that 6 is not introduced is shown. The flow rate of the halogenated hydrocarbon to 0 SCCM, the flow rate of C 4 F 6 O 3 is 6~10SCCM, flow rate of the inert gas and 90~94SCCM. The respective flow rates are shown in Tables 10 and 11.

<比較例3の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:シリコン酸化膜、シリコン窒化膜、アモルファスカーボン膜、ポリシリコン膜
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン(流量90〜94SCCM)
・ハロゲン化炭化水素:なし

・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Comparative Example 3>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Silicon oxide film, silicon nitride film, amorphous carbon film, polysilicon film-Pressure inside the processing container: 30 mTorr
-Inert gas: Argon (flow rate 90 to 94 SCCM)
・ Halogenated hydrocarbons: None

・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

表10に、比較例3における各被エッチング処理体のエッチング速度測定結果を示す。表11に、表10に示した測定結果から算出したエッチングの選択性を示す。エッチングの選択性は、シリコン酸化膜のエッチング速度を、シリコン酸化膜以外の膜のエッチング速度で除した値で表わしている。 Table 10 shows the etching rate measurement results of each object to be etched in Comparative Example 3. Table 11 shows the etching selectivity calculated from the measurement results shown in Table 10. The etching selectivity is represented by a value obtained by dividing the etching rate of the silicon oxide film by the etching rate of a film other than the silicon oxide film.

Figure 0006896522
Figure 0006896522

Figure 0006896522
Figure 0006896522

比較例3では、Cのみを使用し、ハロゲン化炭化水素を導入しない場合には、ハロゲン化炭化水素を導入した場合と比較してエッチング速度が遅く、選択性も低いことが確認された。ハロゲン化炭化水素(C)との混合により、シリコン酸化膜表面に適切な厚みのフルオロカーボンポリマー膜が形成され、エッチング速度が大きくなる。また、シリコン窒化膜、アモルファスカーボン膜、ポリシリコン膜上には、より厚いポリマーが堆積し、イオン衝撃から保護されることにより、選択性が向上すると考えられる。 In Comparative Example 3, using only C 4 F 6 O 3, if not to introduce halogenated hydrocarbons, slow etching rate as compared with the case of introducing a halogenated hydrocarbon, to be lower selectivity confirmed. By mixing with a halogenated hydrocarbon (C 4 F 6 ), a fluorocarbon polymer film having an appropriate thickness is formed on the surface of the silicon oxide film, and the etching rate is increased. Further, it is considered that the selectivity is improved by depositing a thicker polymer on the silicon nitride film, the amorphous carbon film, and the polysilicon film and protecting the polymer from ionic impact.

2.12.比較例4
実施例3と同様に、図1に示す装置を用いて、Low−k膜のエッチングを実施した。ハロゲン化炭化水素であるC(流量は10SCCM)、酸素(流量は13SCCM)、不活性ガス(Ar、流量は77SCCM)を使用した。
2.12. Comparative Example 4
In the same manner as in Example 3, the Low-k film was etched using the apparatus shown in FIG. Halogenated hydrocarbons C 4 F 6 (flow rate 10 SCCM), oxygen (flow rate 13 SCCM), and inert gas (Ar, flow rate 77 SCCM) were used.

<比較例4の実験条件>
・プラズマ反応チャンバー:LAMリサーチ社製 4520XLEを使用した。
・温度:25℃
・被エッチング処理体:Low−k膜(SKW Associates, Inc.社より購入のBlack DiamondII(登録商標)膜)
・処理容器内圧力:30mTorr
・不活性ガス:アルゴン(流量77SCCM)
・ハロゲン化炭化水素:C(流量10SCCM)
・酸素:流量13SCCM
・エッチング時間:60秒
・高周波電力:27MHz,750W
・高周波バイアス電力:2MHz,1500W
<Experimental conditions of Comparative Example 4>
-Plasma reaction chamber: 4520XLE manufactured by LAM Research Co., Ltd. was used.
・ Temperature: 25 ℃
-Etched body: Low-k film (Black Diamond II (registered trademark) film purchased from SKW Associates, Inc.)
・ Pressure inside the processing container: 30 mTorr
-Inert gas: Argon (flow rate 77SCCM)
-Halogenated hydrocarbons: C 4 F 6 (flow rate 10 SCCM)
・ Oxygen: Flow rate 13SCCM
・ Etching time: 60 seconds ・ High frequency power: 27MHz, 750W
・ High frequency bias power: 2MHz, 1500W

上記条件によりエッチングしたLow−k膜について、実施例4と同様にXPSを用いてダメージ深さを測定した結果、ダメージ深さは57.3nmと実施例4と比較して大きい値であった。 As a result of measuring the damage depth of the Low-k film etched under the above conditions using XPS in the same manner as in Example 4, the damage depth was 57.3 nm, which was a larger value than that of Example 4.

上記条件によりエッチングしたLow−k膜について、実施例4と同様にFTIRによ
る分析を行った。その結果、比較例4では、Si−CH結合に起因する波数1270cm-1付近の強度はエッチング前と比較して、エッチング後には24.9%減少した。実施例4では12.9%であったのに対し、本比較例4ではダメージが大きいことが確認できた。
The Low-k film etched under the above conditions was analyzed by FTIR in the same manner as in Example 4. As a result, in Comparative Example 4, the intensity near the wave number of 1270 cm- 1 due to the Si-CH 3 bond decreased by 24.9% after etching as compared with that before etching. In Example 4, it was 12.9%, whereas in Comparative Example 4, it was confirmed that the damage was large.

実施例4と同様の方法で、上記Low−k膜のエッチング速度を測定した結果、エッチング速度は11nm/minであり、エッチング速度は非常に遅かった。 As a result of measuring the etching rate of the Low-k film by the same method as in Example 4, the etching rate was 11 nm / min, and the etching rate was very slow.

を用いない比較例4では、Low−k膜へのダメージが大きく、エッチング速度が遅いことが確認できた。 In Comparative Example 4 in which C 4 F 6 O 3 was not used, it was confirmed that the damage to the Low-k film was large and the etching rate was slow.

本発明は、上述した実施形態に限定されるものではなく、種々の変形が可能である。例えば、本発明は、実施形態で説明した構成と実質的に同一の構成(例えば、昨日、方法、および結果が同一の構成、あるいは目的および効果が同一の構成)を含む。また、本発明は、実施形態で説明した構成の本質的でない部分を置き換えた構成を含む。また、本発明は、実施形態で説明した構成と同一の作用効果を奏する構成または同一の目的を達成することができる構成を含む。また、本発明は実施形態で説明した構成に公知技術を付加した構成を含む。 The present invention is not limited to the above-described embodiment, and various modifications are possible. For example, the present invention includes substantially the same configurations as those described in the embodiments (eg, yesterday, methods, and results are the same, or objectives and effects are the same). The present invention also includes a configuration in which a non-essential part of the configuration described in the embodiment is replaced. The present invention also includes a configuration that exhibits the same effects as the configuration described in the embodiment or a configuration that can achieve the same object. Further, the present invention includes a configuration in which a known technique is added to the configuration described in the embodiment.

11…被エッチング処理体、12…被エッチング処理体ホルダー、21…プラズマ反応チャンバー、22…圧力調整機構、23…温度調節機構、31…ハロゲン化炭化水素容器、32…ハロゲン化炭化水素流量調整機構、33…C容器、34…C流量調整機構、35…不活性ガス容器、36…不活性ガス流量調整機構、37…酸化性ガス容器、38…酸化性ガス流量調整機構、41…マッチングボックス、42…電極、43…マッチングボックス、44…バイアス電源、45…真空ポンプ、47…プラズマ発生用電源 11 ... Etched body, 12 ... Etched body holder, 21 ... Plasma reaction chamber, 22 ... Pressure adjustment mechanism, 23 ... Temperature control mechanism, 31 ... Halogenized hydrocarbon container, 32 ... Halogenized hydrocarbon flow rate adjustment mechanism , 33 ... C 4 F 6 O 3 container, 34 ... C 4 F 6 O 3 flow rate adjusting mechanism, 35 ... inert gas container, 36 ... inert gas flow rate adjusting mechanism, 37 ... oxidizing gas container, 38 ... oxidizing Gas flow rate adjustment mechanism, 41 ... matching box, 42 ... electrode, 43 ... matching box, 44 ... bias power supply, 45 ... vacuum pump, 47 ... power supply for plasma generation

Claims (16)

ハロゲン化炭化水素を含むガスと、Cを含むガスとをプラズマ反応チャンバー内に導入し、前記プラズマ反応チャンバー内でプラズマにより活性種を形成させてSi含有材料のエッチングを行うことを特徴とする、エッチング方法。 A gas containing a halogenated hydrocarbon and a gas containing C 4 F 6 O 3 are introduced into a plasma reaction chamber, and active species are formed by plasma in the plasma reaction chamber to etch a Si-containing material. An etching method characterized by. 前記Si含有材料が、シリコン、窒化シリコン、アモルファスカーボン、ドープドアモルファスカーボン、金属窒化物、金属酸化物、有機フォトレジストおよび金属よりなる群から選択される少なくとも1種の材料からなる第1膜と、SiO、SiON、SiOC、SiOH、およびSiOCHよりなる群から選択される少なくとも1種の材料からなる第2膜とを有し、
前記Si含有材料のうち前記第2膜を選択的に除去することを特徴とする、請求項1に記載のエッチング方法。
The Si-containing material is a first film composed of at least one material selected from the group consisting of silicon, silicon nitride, amorphous carbon, doped amorphous carbon, metal nitride, metal oxide, organic photoresist and metal. , SiO, SiON, SiOC, SiOH, and a second film made of at least one material selected from the group consisting of SiOCH.
The etching method according to claim 1, wherein the second film of the Si-containing material is selectively removed.
前記ハロゲン化炭化水素が下記一般式(1)で表わされる化合物であることを特徴とする、請求項1または請求項2に記載のエッチング方法。
・・・・・(1)
(上記一般式(1)中、aは1以上5以下であり、bは1以上9以下であり、cは0以上4以下であり、Xはフッ素原子、塩素原子、臭素原子、およびヨウ素原子よりなる群から選択される1種のハロゲン原子である。)
The etching method according to claim 1 or 2, wherein the halogenated hydrocarbon is a compound represented by the following general formula (1).
C a X b H c ... (1)
(In the above general formula (1), a is 1 or more and 5 or less, b is 1 or more and 9 or less, c is 0 or more and 4 or less, and X is a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom. It is one kind of halogen atom selected from the group consisting of.)
前記ハロゲン化炭化水素が、CF、CFI、CI,CI、CI、C、C、C、C、C、C、C、CHF、CHF、CH、CHF、CHF、C、C、CHFおよびCよりなる群から選択される少なくとも1種の化合物を含むことを特徴とする、請求項1ないし請求項3のいずれか1項に記載のエッチング方法。 The halogenated hydrocarbons are CF 4 , CF 3 I, C 2 F 3 I, C 3 F 5 I, C 3 F 7 I, C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F. 8 , C 4 F 6 , C 5 F 8 , C 6 F 6 , CH 3 F, CHF 3 , CH 2 F 2 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 4 , C 3 H 2 The etching according to any one of claims 1 to 3, characterized in that it contains at least one compound selected from the group consisting of F 6 , C 4 HF 7 and C 4 H 2 F 6. Method. 前記ハロゲン化炭化水素が、CおよびCよりなる群から選択される少なくとも1種の化合物を含むことを特徴とする、請求項1ないし請求項4のいずれか1項に記載のエッチング方法。 The invention according to any one of claims 1 to 4, wherein the halogenated hydrocarbon contains at least one compound selected from the group consisting of C 4 F 6 and C 4 F 8. Etching method. 前記プラズマ反応チャンバー内に不活性ガスをさらに導入する、請求項1ないし請求項5のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 1 to 5, wherein an inert gas is further introduced into the plasma reaction chamber. 前記不活性ガスが、N、He、Ar、Ne、KrおよびXeよりなる群から選択される少なくとも1種のガスを含むことを特徴とする、請求項6に記載のエッチング方法。 The etching method according to claim 6, wherein the inert gas contains at least one gas selected from the group consisting of N 2, He, Ar, Ne, Kr and Xe. 前記プラズマ反応チャンバー内に酸化性ガスをさらに導入する、請求項1ないし請求項7のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 1 to 7, wherein an oxidizing gas is further introduced into the plasma reaction chamber. 前記酸化性ガスは、O、O、CO、CO、NO、NO、NOF、SOまたはCOSよりなる群から選択される少なくとも1種のガスを含むことを特徴とする、請求項8に記載のエッチング方法。 The oxidizing gas comprises at least one gas selected from the group consisting of O 2 , O 3 , CO, CO 2 , NO, N 2 O, NOF, SO 2 or COS. Item 8. The etching method according to Item 8. 前記Si含有材料が0.5:1〜20:1の深さ対幅のアスペクト比の凹部を有することを特徴とする、請求項1ないし請求項9のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 1 to 9, wherein the Si-containing material has a recess having an aspect ratio of 0.5: 1 to 20: 1. 前記Si含有材料が21:1〜300:1の深さ対幅のアスペクト比の凹部を有することを特徴とする、請求項1ないし請求項9のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 1 to 9, wherein the Si-containing material has a recess having an aspect ratio of 21: 1 to 300: 1. 前記Cの純度が99.9重量%以上100重量%未満であり、かつ、含酸素不純物が0重量ppm以上100重量ppm以下であることを特徴とする、請求項1ないし請求項11のいずれか1項に記載のエッチング方法。 1 to claim 1, wherein the purity of C 4 F 6 O 3 is 99.9% by weight or more and less than 100% by weight, and the oxygen-containing impurities are 0% by weight or more and 100% by weight or less. Item 2. The etching method according to any one of Item 11. 前記含酸素不純物としてHOを含み、前記HOの含有量が0.1重量ppb以上20重量ppm以下であることを特徴とする、請求項12に記載のエッチング方法。 Examples oxygen-containing impurity include H 2 O, wherein the content of the H 2 O is less than 0.1 ppb by weight or more 20 wt ppm, the etching method according to claim 12. 前記含酸素不純物としてトリフルオロ酢酸を含み、前記トリフルオロ酢酸の含有量が0.1重量ppb以上20重量ppm以下であることを特徴とする、請求項12または請求項13に記載のエッチング方法。 The etching method according to claim 12 or 13, wherein trifluoroacetic acid is contained as the oxygen-containing impurity, and the content of the trifluoroacetic acid is 0.1 wt ppb or more and 20 wt ppm or less. 前記Cは、前記プラズマ反応チャンバー内に導入される前に、表面粗度が0〜6ミクロンである内表面を有する金属製容器に収納されていることを特徴とする、請求項1ないし請求項14のいずれか1項に記載のエッチング方法。 Claimed that the C 4 F 6 O 3 is housed in a metal container having an inner surface having a surface roughness of 0 to 6 microns before being introduced into the plasma reaction chamber. The etching method according to any one of items 1 to 14. およびハロゲン化炭化水素を含み、
前記ハロゲン化炭化水素は、CF、CFI、C3I,CI、CI、C、C、C、C、C、C、C、CHF、CHF、CH、CHF、CHF、C、C、CHFおよびCよりなる群から選択される少なくとも1種の化合物を含むことを特徴とする、プラズマエッチング用材料。
Contains C 4 F 6 O 3 and halogenated hydrocarbons
The halogenated hydrocarbons are CF 4 , CF 3 I, C 2 F 3 I, C 3 F 5 I, C 3 F 7 I, C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F. 8 , C 4 F 6 , C 5 F 8 , C 6 F 6 , CH 3 F, CHF 3 , CH 2 F 2 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 4 , C 3 H 2 A material for plasma etching, which comprises at least one compound selected from the group consisting of F 6 , C 4 HF 7, and C 4 H 2 F 6.
JP2017125070A 2017-06-27 2017-06-27 Etching method and materials for plasma etching Active JP6896522B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2017125070A JP6896522B2 (en) 2017-06-27 2017-06-27 Etching method and materials for plasma etching
TW107113536A TWI749216B (en) 2017-06-27 2018-04-20 Etching method and plasma etching material
KR1020207001019A KR102563633B1 (en) 2017-06-27 2018-06-20 Etching methods and plasma etching materials
CN201880041923.8A CN110832623B (en) 2017-06-27 2018-06-20 Etching method and plasma etched material
PCT/EP2018/066486 WO2019002058A1 (en) 2017-06-27 2018-06-20 Etching method and plasma etching material
SG11201912232WA SG11201912232WA (en) 2017-06-27 2018-06-20 Etching method and plasma etching material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017125070A JP6896522B2 (en) 2017-06-27 2017-06-27 Etching method and materials for plasma etching

Publications (2)

Publication Number Publication Date
JP2019009335A JP2019009335A (en) 2019-01-17
JP6896522B2 true JP6896522B2 (en) 2021-06-30

Family

ID=62948071

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017125070A Active JP6896522B2 (en) 2017-06-27 2017-06-27 Etching method and materials for plasma etching

Country Status (6)

Country Link
JP (1) JP6896522B2 (en)
KR (1) KR102563633B1 (en)
CN (1) CN110832623B (en)
SG (1) SG11201912232WA (en)
TW (1) TWI749216B (en)
WO (1) WO2019002058A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102244862B1 (en) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 Etch gas mixture and pattern formation method using the same
KR102244885B1 (en) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 Etch gas mixture with high selectivity and pattern formation method using the same
KR102489934B1 (en) * 2021-02-17 2023-01-18 대전대학교 산학협력단 Etching treatment device and etching treatment method
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163476A (en) * 1992-11-18 1994-06-10 Sony Corp Dry etching method
IL119598A0 (en) * 1995-11-17 1997-02-18 Air Prod & Chem Plasma etch with trifluoroacetic acid or its derivatives
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
JP4095246B2 (en) 1997-07-22 2008-06-04 松下電器産業株式会社 Manufacturing method of semiconductor device
US6242359B1 (en) * 1997-08-20 2001-06-05 Air Liquide America Corporation Plasma cleaning and etching methods using non-global-warming compounds
JPH11124386A (en) * 1997-10-17 1999-05-11 Asahi Denka Kogyo Kk Stabilization of trimethoxysilane
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
JP2006128245A (en) * 2004-10-27 2006-05-18 Sony Corp Method of processing insulating film
KR102048959B1 (en) * 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method and etching gas for etching silicon-containing films
JP6327295B2 (en) * 2015-08-12 2018-05-23 セントラル硝子株式会社 Dry etching method

Also Published As

Publication number Publication date
CN110832623A (en) 2020-02-21
SG11201912232WA (en) 2020-01-30
TW201906004A (en) 2019-02-01
CN110832623B (en) 2024-01-19
TWI749216B (en) 2021-12-11
KR20200018627A (en) 2020-02-19
WO2019002058A1 (en) 2019-01-03
KR102563633B1 (en) 2023-08-07
JP2019009335A (en) 2019-01-17

Similar Documents

Publication Publication Date Title
JP7227135B2 (en) Iodine-containing compounds for semiconductor structure etching
JP7079872B2 (en) A method of depositing a nitrogen-containing compound on a semiconductor structure
JP6997237B2 (en) How to make 3D NAND flash memory
JP6811284B2 (en) Manufacturing method of 3D NAND flash memory
TWI781210B (en) Chemistries for etching multi-stacked layers
JP6896522B2 (en) Etching method and materials for plasma etching
TWI605514B (en) Dry-etch for selective tungsten removal
US9406523B2 (en) Highly selective doped oxide removal method
KR100978704B1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
TWI413179B (en) Method for trench and via profile modification
TW201543567A (en) Halogen-free gas-phase silicon etch
JP6735408B2 (en) Atomic layer etching with acid halides
JP7401593B2 (en) Systems and methods for forming voids
US20230272525A1 (en) Method of in situ ceramic coating deposition
TWI836370B (en) Isotropic silicon nitride removal
EP2879165A1 (en) Etching Process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200421

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210520

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210525

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210609

R150 Certificate of patent or registration of utility model

Ref document number: 6896522

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150