KR102244885B1 - Etch gas mixture with high selectivity and pattern formation method using the same - Google Patents

Etch gas mixture with high selectivity and pattern formation method using the same Download PDF

Info

Publication number
KR102244885B1
KR102244885B1 KR1020210015105A KR20210015105A KR102244885B1 KR 102244885 B1 KR102244885 B1 KR 102244885B1 KR 1020210015105 A KR1020210015105 A KR 1020210015105A KR 20210015105 A KR20210015105 A KR 20210015105A KR 102244885 B1 KR102244885 B1 KR 102244885B1
Authority
KR
South Korea
Prior art keywords
component
gas composition
etching gas
compound
etching
Prior art date
Application number
KR1020210015105A
Other languages
Korean (ko)
Inventor
조병옥
김영래
송한덕
김태형
이기찬
박희준
정헌종
Original Assignee
(주)원익머트리얼즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)원익머트리얼즈 filed Critical (주)원익머트리얼즈
Priority to KR1020210015105A priority Critical patent/KR102244885B1/en
Application granted granted Critical
Publication of KR102244885B1 publication Critical patent/KR102244885B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components

Abstract

The present invention relates to an etching gas composition, and specifically, to an etching gas composition, which exhibits excellent performance in a contact process by exhibiting high selectivity with respect to a metal-based lower layer (underlayer).

Description

높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정{ETCH GAS MIXTURE WITH HIGH SELECTIVITY AND PATTERN FORMATION METHOD USING THE SAME}Etching gas composition with high selectivity and manufacturing process of semiconductor memory device using the same {ETCH GAS MIXTURE WITH HIGH SELECTIVITY AND PATTERN FORMATION METHOD USING THE SAME}

본 발명은, 식각 가스 조성물에 관한 것으로, 특히 콘택트 형성시 높은 선택비(Selectivity)를 발휘하는 식각 가스 조성물에 관한 것이다. 또한, 이를 이용한 반도체 메모리 소자의 제조 공정에 관한 것이다.The present invention relates to an etching gas composition, and more particularly, to an etching gas composition exhibiting a high selectivity when forming a contact. In addition, it relates to a manufacturing process of a semiconductor memory device using the same.

최근, 반도체 메모리 소자의 고집적화에 따라 디자인 룰이 감소되고 이에 따라 콘택트 홀 (Contact hole)의 종횡비 (Aspect ratio)는 점차 증가하고 반도체 메모리 소자의 배선 사이 또는 기판과 배선을 수직으로 연결하는 콘택트 면적이 축소되고 있는 추세이다. 반도체 메모리 소자 제조 시, 트랜지스터의 소스/드레인/게이트에 연결된 콘택트를 통해 전기적 동작이 가능해지는 것이다. Recently, as semiconductor memory devices have become highly integrated, design rules have been reduced, and accordingly, the aspect ratio of the contact hole gradually increases, and the contact area between the interconnections of the semiconductor memory device or the vertical connection between the substrate and the interconnection is reduced. It is a trend that is shrinking. When manufacturing a semiconductor memory device, an electrical operation is possible through a contact connected to a source/drain/gate of a transistor.

따라서 반도체 메모리 소자의 고집적화에 따른 미세한 콘택트는 반도체 메모리 소자의 제조공정에 있어서 매우 중요한 요소이다. Therefore, fine contact due to the high integration of semiconductor memory devices is a very important factor in the manufacturing process of semiconductor memory devices.

한국공개특허공보 10-2019-0077587에서는 반도체 디바이스의 축소에 따라 3D NAND 기술을 이용하여 메모리 디바이스의 메모리 셀들의 밀도를 최소화하는 방법을 개시하고 있다. Korean Patent Laid-Open Publication No. 10-2019-0077587 discloses a method of minimizing the density of memory cells of a memory device by using 3D NAND technology in accordance with the reduction of semiconductor devices.

즉, 3D NAND 구조체의 제조 시, 워드 라인이 얇아짐에 따라 종래 건식 식각 조성물에 의한 워드라인 (Word line)의 에치-쓰루 (etch-through)가 발생되고, 이 발생된 에치-쓰루 (etch-through)의 콘택트 홀에 텅스텐 (W)으로 갭-필 (Gap-fill)이 되어 유발되는 쇼트를 방지하기 위하여 탄소-함유 봉지층 (encapsulation layer)을 스테어케이스 (staircase) 구조체 위에 증착하는 방법을 개시하고 있다. That is, when the 3D NAND structure is manufactured, as the word line becomes thinner, etch-through of the word line by the conventional dry etching composition occurs, and the generated etch-through. through) contact hole with tungsten (W) to prevent short-circuit caused by gap-filling, a method of depositing a carbon-containing encapsulation layer on the staircase structure. It is starting.

또한, 건식 식각 시 발생되는 텅스텐 (W) 워드라인 (Word line)의 에치-쓰루 (etch-through) 방지를 위하여 워드라인 (Word line)을 두껍게 증착하는 방법 등이 종래기술로 개시되고 있다(특허문헌 2).In addition, a method of depositing a thick word line to prevent etch-through of the tungsten (W) word line generated during dry etching has been disclosed as a conventional technique (patent Document 2).

상기의 방법들은 공정의 부가, 또는 워드라인 (Word line) 두께의 두꺼워짐에 따른 적층수의 감소로 이어져, 공정 비용의 증가나, 반도체 메모리 소자의 집적도에 치명적인 영향을 주게 된다.The above-described methods lead to a decrease in the number of stacks due to the addition of a process or an increase in the thickness of a word line, which significantly affects an increase in process cost or an integration degree of a semiconductor memory device.

이에 따라 반도체 메모리 소자의 제조공정에서의 콘택트 공정의 건식 식각 시 워드라인 (Word line)의 에치-쓰루 (etch-through) 문제 해결을 위한 많은 연구가 진행되고 있으며, 특히 건식용 식각 가스조성물에 대하여 요구가 높은 실정이다. Accordingly, many studies are being conducted to solve the etch-through problem of the word line during dry etching of the contact process in the manufacturing process of a semiconductor memory device. The demand is high.

한국공개특허공보 10-2019-0077587(2019.07.03. 공개)Korean Patent Laid-Open Publication No. 10-2019-0077587 (published on July 3, 2019) 한국등록특허공보 제10-0680969(2007.02.02. 등록)Korean Registered Patent Publication No. 10-0680969 (registered on February 2, 2007)

본 발명의 식각 가스 조성물은, 반도체 메모리 소자 제조 시 콘택트 공정에서 금속 계열 하부층 (언더레이어, underlayer)에 대한 우수한 선택비를 나타냄으로써 상기와 같은 문제점을 해결하기 위한 것으로서, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물(‘제1 성분’), 및 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물(‘제2 성분’)을 포함하는 식각 가스 조성물을 제공하는 것을 그 목적으로 한다. The etching gas composition of the present invention is to solve the above problems by exhibiting an excellent selectivity for a metal-based underlayer (underlayer) in a contact process when manufacturing a semiconductor memory device, and is used for CF bonding and CH An object thereof is to provide an etching gas composition comprising a fluorinated hydrocarbon compound containing a bond ('first component') and a fluorine-containing organic compound containing a C3 CF bond ('second component'). .

또한, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물(‘제1 성분’), 및 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물(‘제 2 성분’)을 포함하는 식각 가스 조성물을 이용하여 콘택트 형성 공정을 포함하는 반도체 메모리 소자의 제조공정을 제공하는 것을 또 다른 목적으로 한다.In addition, an etching gas containing a fluorinated hydrocarbon compound containing a C4 CF bond and a CH bond ('first component'), and a fluorine-containing organic compound containing a C3 CF bond ('second component') Another object of the present invention is to provide a process for manufacturing a semiconductor memory device including a process for forming a contact using a composition.

본 발명은 또한 상기한 명확한 목적 이외에 이러한 목적 및 본 명세서의 전반적인 기술로부터 이 분야의 통상인에 의해 용이하게 도출될 수 있는 다른 목적을 달성함을 그 목적으로 할 수 있다.The present invention can also aim to achieve these objects and other objects that can be easily derived by a person skilled in the art from the general description of the present specification in addition to the above-described clear objects.

본 발명의 식각 가스 조성물은 상술한 바와 같은 목적을 달성하기 위하여, 제1 성분의 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 플루오르화 탄화수소 화합물, 및 제2 성분의 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물을 포함하는 것을 특징으로 한다. The etching gas composition of the present invention comprises a fluorinated hydrocarbon compound including a C4 CF bond and a CH bond of a first component, and a C3 CF bond of a second component in order to achieve the above object. It is characterized by containing a fluorine-containing organic compound.

상기 제1 성분의 플루오르화 탄화수소 화합물은 화합물 내에 수소 원자를 포함할 수 있다.The fluorinated hydrocarbon compound of the first component may contain a hydrogen atom in the compound.

상기 제1 성분의 플루오르화 탄화수소 화합물은 C4H2F6로 표시될 수 있다.The fluorinated hydrocarbon compound of the first component may be represented by C 4 H 2 F 6.

그리고, 상기 제1 성분의 플루오르화 탄화수소 화합물은, (CF3)2C=CH2일 수 있다.In addition, the fluorinated hydrocarbon compound of the first component may be (CF 3 ) 2 C=CH 2.

상기 제2 성분의 불소함유 유기화합물은 CxHyFzSmOn (식 중, x는 3의 정수이고, y는 0 내지 7의 정수이고, z는 1 내지 8의 정수이며, m 및 n은 각각 0 내지 1의 정수임)로 표시될 수 있다. The fluorine-containing organic compound of the second component is C x H y F z S m O n (wherein x is an integer of 3, y is an integer of 0 to 7, z is an integer of 1 to 8, and m And n is an integer of 0 to 1, respectively).

구체적으로는 제2 성분의 불소함유 유기화합물은 아래의 화학식 1, 화학식 2 또는 화학식 3으로 표시될 수 있으며, 이들 중 바람직하게는 C3H2F6로 표시되는 화합물이다. Specifically, the fluorine-containing organic compound of the second component may be represented by the following formula (1), formula (2) or formula (3), preferably a compound represented by C 3 H 2 F 6.

[화학식 1][Formula 1]

Figure 112021013768013-pat00001
Figure 112021013768013-pat00001

[화학식 2][Formula 2]

Figure 112021013768013-pat00002
Figure 112021013768013-pat00002

(상기 화학식 1에서, R1은 F 또는 CF3이고, R2는 CHF2, CH2F, SH 또는 SOH이고, R3 및 R4는 각각 독립적으로 H, F 또는 CHF2이며, 상기 화학식 2에서, R5는 F 또는 CF3이고, R6는 F, CHF2, 또는 CH2F이다)(In Formula 1, R1 is F or CF 3 , R2 is CHF 2 , CH 2 F, SH or SOH, R3 and R4 are each independently H, F or CHF 2 , In Formula 2, R5 is F or CF 3 , R6 is F, CHF 2 , or CH 2 F)

[화학식 3][Formula 3]

Figure 112021013768013-pat00003
Figure 112021013768013-pat00003

상기 본 발명의 식각 가스 조성물에서 상기 제1 성분의 플루오르화 탄화수소 화합물은 상기 제2 성분의 불소함유 유기화합물 당 0.001 내지 1000의 비율(부피부) 일 수 있으며, 바람직하게는 0.005 내지 200이고, 더욱 바람직하게는 0.01 내지 100이다. In the etching gas composition of the present invention, the fluorinated hydrocarbon compound of the first component may be in a ratio of 0.001 to 1000 (volume part) per fluorine-containing organic compound of the second component, preferably 0.005 to 200, and further It is preferably 0.01 to 100.

또한, 상기 본 발명의 식각 가스 조성물은 탄소수 C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물과 탄소수 C1 내지 C5의 플루오르화 탄화수소 화합물인 제4 성분의 유기불소 화합물로 이루어진 군으로부터 선택되는 적어도 하나 이상의 화합물(이하 ‘제3 성분과 제4 성분의 유기불소 화합물 중 적어도 하나 이상의 화합물’이라 한다)을 더 포함할 수 있다. In addition, the etching gas composition of the present invention is from the group consisting of an organic fluorine compound of the third component, which is a perfluorocarbon compound having C1 to C6, and an organic fluorine compound of the fourth component, which is a fluorinated hydrocarbon compound having C1 to C5. At least one selected compound (hereinafter referred to as “at least one compound of the organic fluorine compound of the third component and the fourth component”) may be further included.

상기 제3 및 4 성분의 유기불소 화합물은 상기 제1 성분 및 제2 성분과는 다른 화합물이다.The organofluorine compound of the third and fourth components is a compound different from the first and second components.

상기 제3 성분의 유기불소 화합물의 퍼플루오로카본 화합물은 분자 내 수소 원자를 포함하지 않는 것으로서 포화 또는 불포화 화합물이다. The perfluorocarbon compound of the organofluorine compound of the third component is a saturated or unsaturated compound that does not contain hydrogen atoms in the molecule.

상기 제4 성분의 유기불소 화합물의 플루오르화 탄화수소 화합물은 C, H, F를 포함하는 것으로서 포화 또는 불포화 화합물이다. The fluorinated hydrocarbon compound of the organofluorine compound of the fourth component contains C, H, and F, and is a saturated or unsaturated compound.

상기 제3 성분과 제4 성분의 유기불소 화합물 중 적어도 하나 이상의 화합물은 제2 성분의 불소함유 유기화합물 기준으로, 0.001 내지 1000의 비율(부피부)로 혼합될 수 있으며, 바람직하게는 0.005 내지 200의 비율로, 더욱 바람직하게는 0.01 내지 100의 비율로 포함될 수 있다.At least one compound among the organic fluorine compounds of the third component and the fourth component may be mixed in a ratio of 0.001 to 1000 (volume part) based on the fluorine-containing organic compound of the second component, and preferably 0.005 to 200 It may be included in a ratio of, more preferably 0.01 to 100.

상기 본 발명의 식각 가스 조성물은 불활성 가스를 더 포함할 수 있으며, 상기 불활성 가스는 상기 식각 가스 조성물 100 부피부 당 1 내지 10,000의 비율(부피부) 일 수 있으며, 바람직하게는 5 내지 5000 비율로 더 포함되고, 더욱 바람직하게는 10 내지 5000 비율로 더 포함할 수 있다.The etching gas composition of the present invention may further include an inert gas, and the inert gas may be in a ratio of 1 to 10,000 (by volume) per 100 parts by volume of the etching gas composition, preferably in a ratio of 5 to 5000. It is further included, and more preferably may be further included in a ratio of 10 to 5000.

그리고, 상기 불활성 가스에는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 질소 (N2), 크립톤 (Kr), 제논 (Xe) 또는 이들의 혼합물이 포함될 수 있다.In addition, the inert gas may include argon (Ar), helium (He), neon (Ne), nitrogen (N 2 ), krypton (Kr), xenon (Xe), or a mixture thereof.

또한, 상기 본 발명의 식각 가스 조성물은 반응성 가스를 더 포함할 수 있으며, 상기 반응성 가스는 상기 식각 가스 조성물 100 부피부 당 0.1 내지 10,000의 비율(부피부)로 더 포함할 수 있으며, 바람직하게는 0.5 내지 5,000 비율로, 더욱 바람직하게는 1 내지 2,000 비율로 더 포함할 수 있다.In addition, the etching gas composition of the present invention may further include a reactive gas, and the reactive gas may further include 0.1 to 10,000 per 100 parts by volume of the etching gas composition (a volume part), preferably It may further include 0.5 to 5,000 ratio, more preferably 1 to 2,000 ratio.

그리고, 상기 반응성 가스에는 산소 (O2), 일산화탄소 (CO), 이산화탄소 (CO2), 일산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 수소 (H2), 암모니아 (NH3), 불화수소 (HF), 이산화황 (SO2), 이황화 탄소 (CS2), 황화카르보닐 (COS), CF3I, C2F3I, C2F5I 또는 이들의 혼합물이 포함될 수 있다.In addition, the reactive gas includes oxygen (O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ), nitrogen monoxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), hydrogen (H 2 ), and ammonia. (NH 3 ), hydrogen fluoride (HF), sulfur dioxide (SO 2 ), carbon disulfide (CS 2 ), carbonyl sulfide (COS), CF 3 I, C 2 F 3 I, C 2 F 5 I, or mixtures thereof May be included.

상기 본 발명의 식각 가스 조성물은 특히, 반도체 하부층 (언더레이어, underlayer)으로 사용되는 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브덴 (Mo) 및 코발트 (Co) 등과 같은 금속 계열 물질에 대한 우수한 선택비를 가지는 것을 특징으로 한다.In particular, the etching gas composition of the present invention is a metal-based material such as tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), and cobalt (Co) used as a semiconductor underlayer (underlayer). It is characterized in that it has an excellent selectivity for.

그리고, 본 발명에 의한 반도체 메모리 소자의 제조 공정은, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 포함하는 식각 가스 조성물을 이용하여 콘택트 형성을 위한 상부 구조물을 식각하는 단계를 포함하는 것을 특징으로 한다.In addition, the manufacturing process of the semiconductor memory device according to the present invention includes a fluorinated hydrocarbon compound of the first component including a C4 carbon number and a CH bond, and a fluorine-containing organic compound of the second component including a C3 C3 bond. It characterized in that it comprises the step of etching the upper structure for contact formation using the etching gas composition containing the compound.

상기 콘택트 형성 공정은, 박막기판 위에 형성된 하부층 (언더레이어, underlayer) 증착 및 상부 구조물의 건식 식각을 포함한다. The contact forming process includes depositing a lower layer (underlayer) formed on the thin film substrate and dry etching the upper structure.

상기 상부 구조물은 실리콘 함유막일 수 있다.The upper structure may be a silicon-containing layer.

또한, 상기 하부층은 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브덴 (Mo) 또는 코발트 (Co) 등과 같은 금속 계열 물질일 수 있으며, 상기 상부 구조물에는 BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO2 막, Si3N4 막, SiN 막 또는 SiON 막 등이 포함될 수 있다. In addition, the lower layer may be a metal-based material such as tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), or cobalt (Co), and the upper structure includes BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO 2 film, Si 3 N 4 film, SiN film or SiON film may be included.

또한, 콘택트 형성 공정에는 식각 보조막이 사용될 수 있으며, 이 식각 보조막에는 PR (Photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), SiO2 막, Si3N4 막, SiN 막, SiON 막 또는 멀티 펑션 하드 마스크막 (multi function hard mask) 등이 포함될 수 있다. In addition, an etching auxiliary layer may be used for the contact formation process, and the etching auxiliary layer includes a PR (Photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), a SiO 2 layer, a Si 3 N 4 layer, and a SiN layer. , SiON film, multi function hard mask film, etc. may be included.

또한, 본 발명의 상기 식각 가스 조성물에 에너지를 인가하여 얻어지는 플라즈마를 이용하여 상기 박막(상부 구조물)식각할 수 있다.In addition, the thin film (upper structure) may be etched using plasma obtained by applying energy to the etching gas composition of the present invention.

일 실시예로서, 본 발명에 의한 반도체 메모리 소자의 제조공정은, As an embodiment, the manufacturing process of the semiconductor memory device according to the present invention,

반도체 기판상에 교번하는 옥사이드 및 나이트라이드 막의 막 스택을 형성하는 단계;Forming a film stack of alternating oxide and nitride films on a semiconductor substrate;

상기 막 스택에 계단형 패턴을 형성하는 단계;Forming a stepped pattern on the film stack;

상기 계단형 패턴 위에 상부 구조물 형성 및 평탄화하는 단계;Forming and planarizing an upper structure on the stepped pattern;

막 스택을 슬릿 (Silt) 식각하는 단계; Slit etching the film stack;

상기 막 스택의 일부를 선택적으로 습식 식각으로 제거하는 단계;Selectively removing a portion of the film stack by wet etching;

상기 습식 식각으로 제거된 부분에 금속 계열 하부층 (언더레이어, underlayer)을 형성하는 단계;Forming a metal-based underlayer (underlayer) on the portion removed by the wet etching;

콘택트 형성을 위하여 상부 구조물 상에 식각 보조막을 형성하는 단계; Forming an etch auxiliary layer on the upper structure to form a contact;

식각 보조막 상에 콘택트 홀 (Contact hole) 형성을 위한 패턴 형성 및 식각하여 콘택트 홀 (Contact hole)이 형성되는 영역을 정의하는 단계; 및 Defining a region in which a contact hole is formed by forming and etching a pattern for forming a contact hole on the etching auxiliary layer; And

상기 식각 보조막을 식각마스크로 콘택트 형성을 위하여 상부 구조물을 식각 가스 조성물로 식각하는 단계;를 포함하는 것을 특징으로 한다. And etching the upper structure with an etching gas composition to form a contact with the etching auxiliary layer using an etching mask.

또한, 본 발명 반도체 메모리 소자의 제조공정에서는 상기 콘택트 형성을 위한 식각 단계 등에 식각 보조막을 사용하여 식각하는 것을 특징으로 한다.In addition, in the manufacturing process of the semiconductor memory device of the present invention, etching is performed using an etching auxiliary layer, for example, in the etching step for forming the contact.

상기 반도체 메모리 소자의 제조공정의 상기 막 스택은 실리콘 함유막일 수 있으며, 구체적으로는 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합일 수 있다. The film stack in the manufacturing process of the semiconductor memory device may be a silicon-containing film, specifically single crystal silicon, polycrystalline silicon (Poly-Si), SiO 2 film, Si 3 N 4 film, SiN film, SiON film, SiCN film , SiC film, SiOC film, a-SiN:H film, or a combination thereof.

또한, 상기 하부층은 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브데넘 (Mo) 또는 코발트 (Co) 등과 같은 금속 계열 물질일 수 있으며, 상기 상부 구조물은 BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO2 막, Si3N4 막, SiN 막 또는 SiON 막 등을 포함할 수 있다. In addition, the lower layer may be a metal-based material such as tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), or cobalt (Co), and the upper structure is BPSG (Boro Pospho Silicate Glass). ), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO 2 film, Si 3 N 4 film, SiN film or SiON film.

또한, 콘택트 형성 공정에는 식각 보조막이 사용될 수 있으며, 이 식각 보조막에는 PR (Photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), SiO2 막, Si3N4 막, SiN 막, SiON 막 또는 멀티 펑션 하드 마스크막 (multi function hard mask) 등이 포함될 수 있다.In addition, an etching auxiliary layer may be used for the contact formation process, and the etching auxiliary layer includes a PR (photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), a SiO2 layer, a Si3N4 layer, a SiN layer, a SiON layer, or A multi function hard mask may be included.

상기 식각 가스 조성물은 또한, DRAM의 커패시터 (Capacitor) 형성을 위한 식각 공정에서 랜딩 패드 (Landing pad)와 같은 금속 계열 하부층 (언더레이어, underlayer)에 대한 우수한 선택비를 가질 수 있다. The etching gas composition may also have an excellent selectivity for a metal-based lower layer (underlayer) such as a landing pad in an etching process for forming a capacitor of a DRAM.

또 다른 실시예로서 본 발명에 의한 반도체 메모리 소자 중 이러한 DRAM의 제조공정은, As another embodiment, the manufacturing process of such a DRAM among the semiconductor memory devices according to the present invention,

기판 상에 랜딩 패드 (Landing pad)를 형성하는 단계;Forming a landing pad on the substrate;

랜딩 패드 (Landing pad) 상에 상부 구조물을 형성하는 단계;Forming a superstructure on a landing pad;

형성된 상부 구조물 상에 패턴화된 마스크를 형성하는 단계; 및 Forming a patterned mask on the formed upper structure; And

상기 패턴화된 마스크를 식각마스크로 캐패시터 (Capacitor)를 형성하기 위해 상기 상부 구조물을 본 발명의 식각 가스 조성물로 식각하여 콘택트 홀 (Contact hole)을 형성하는 단계;를 포함하는 것을 특징으로 한다.And forming a contact hole by etching the upper structure with the etching gas composition of the present invention to form a capacitor using the patterned mask as an etching mask.

상기 DRAM의 제조공정의 상기 랜딩 패드 (Landing pad)는 텅스텐 (W), 티타늄 (Ti), 탄탈륨 (Ta), 루테늄 (Ru)과 같은 금속 계열이나, 텅스텐 질화물 (WN), 질화 티타늄 (TiN)과 같은 금속 질화물, 폴리실리콘 (Poly-Si), 도전성 카본, 또는 이들의 조합일 수 있다. The landing pad of the DRAM manufacturing process is a metal type such as tungsten (W), titanium (Ti), tantalum (Ta), and ruthenium (Ru), but tungsten nitride (WN), titanium nitride (TiN) It may be a metal nitride such as, polysilicon (Poly-Si), conductive carbon, or a combination thereof.

상기 상부 구조물은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 카본질화물 (SiCN), 또는 이들의 조합일 수 있다.The upper structure may be silicon oxide, silicon nitride, silicon oxynitride, silicon carbon nitride (SiCN), or a combination thereof.

또한, 커패시터 (Capacitor) 식각 공정에는 식각마스크가 사용 될 수 있으며, 이 식각마스크에는 폴리실리콘 (Poly-Si), 실리콘 산화물 또는 SOH (Spin on hardmask) 등이 포함될 수 있다. In addition, an etching mask may be used in the capacitor etching process, and the etching mask may include poly-silicon (Poly-Si), silicon oxide, or spin on hardmask (SOH).

본 발명에 따른 식각 가스 조성물은 기존 공정에 적용되는 가스 조합과는 다른 신규한 가스 조합으로서, 반도체 메모리 소자 제조 시 콘택트 공정에서 금속 계열 하부층 (언더레이어, underlayer)에 대한 우수한 선택비를 가지는 효과를 나타낸다. The etching gas composition according to the present invention is a novel gas combination different from the gas combination applied to the existing process, and has the effect of having an excellent selectivity for the metal-based underlayer (underlayer) in the contact process when manufacturing a semiconductor memory device. Show.

특히, 본 발명은 식각 가스 조성물을 사용함으로써 반도체 하부층(언더레이어, underlayer)으로 사용되는 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브덴 (Mo) 및 코발트 (Co) 등과 같은 금속 계열 물질에 대한 우수한 선택비를 나타내는 장점이 있다. In particular, the present invention uses an etching gas composition to form a metal series such as tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), and cobalt (Co) used as a semiconductor underlayer (underlayer). It has the advantage of showing an excellent selectivity for the material.

따라서, 본 발명에 의한 식각 가스 조성물은 모든 반도체 소자, 특히 V-NAND, DRAM, Logic 등과 같은 고집적 반도체 소자의 콘택트 형성을 위한 식각 소재로서 우수한 성능을 나타낼 수 있다. Accordingly, the etching gas composition according to the present invention can exhibit excellent performance as an etching material for contact formation of all semiconductor devices, particularly highly integrated semiconductor devices such as V-NAND, DRAM, and logic.

도 1은 통상적인 콘택트 홀 (Contact hole)을 나타낸 모식도이다.
도 2는 본 발명의 본 실험 및 비교실험 1, 2에 따른 식각 가스 조성물을 이용한 식각 공정 시 텅스텐 (W) 막 대비 옥사이드 막의 선택비를 나타낸 그래프이다.
도 3은 본 발명의 본 실험 및 비교실험 1, 2에 따른 식각 가스 조성물을 이용한 식각 공정 시 텅스텐 (W) 막 대비 나이트라이드 막의 선택비를 나타낸 그래프이다.
1 is a schematic diagram showing a typical contact hole.
2 is a graph showing a selectivity ratio of an oxide film to a tungsten (W) film during an etching process using the etching gas composition according to the present experiment and comparative experiments 1 and 2 of the present invention.
3 is a graph showing a selectivity ratio of a nitride film to a tungsten (W) film during an etching process using the etching gas composition according to the present experiment and comparative experiments 1 and 2 of the present invention.

이하, 본 발명의 바람직한 본 실험에 대하여 상세히 설명한다. Hereinafter, a preferred experiment of the present invention will be described in detail.

다만, 아래는 특정 본 실험을 예시하여 상세히 설명하는 것일 뿐, 본 발명은 다양하게 변경될 수 있고 여러 가지 형태를 가질 수 있기 때문에, 예시된 특정 본 실험에 본 발명이 한정되는 것은 아니다. 본 발명은 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.However, the following is only for describing in detail by exemplifying a specific experiment, and the present invention is not limited to the specific exemplified experiment since the present invention may be variously changed and may have various forms. It is to be understood that the present invention includes all changes, equivalents, and substitutes included in the spirit and scope of the present invention.

또한, 하기의 설명에서는 구체적인 구성요소 등과 같은 많은 특정사항들이 설명되어 있다. 이는 본 발명의 보다 전반적인 이해를 돕기 위해서 제공된 것일 뿐 이러한 특정 사항들 없이도 본 발명이 실시될 수 있음은 이 기술분야에서 통상의 지식을 가진 자에게는 자명하다 할 것이다. In addition, in the following description, many specific items, such as specific components, are described. It will be apparent to those of ordinary skill in the art that this is provided to help a more general understanding of the present invention, and that the present invention can be practiced without these specific matters.

그리고, 본 발명을 설명함에 있어서, 관련된 공지 기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.Further, in describing the present invention, when it is determined that a detailed description of a related known function or configuration may unnecessarily obscure the subject matter of the present invention, a detailed description thereof will be omitted.

또한, 본 출원에서 사용한 용어는 단지 특정한 본 실험을 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. In addition, terms used in the present application are only used to describe a specific experiment, and are not intended to limit the present invention. Unless otherwise defined, all terms used herein including technical or scientific terms have the same meaning as commonly understood by one of ordinary skill in the art to which the present invention belongs.

일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.Terms as defined in a commonly used dictionary should be interpreted as having a meaning consistent with the meaning in the context of the related technology, and should not be interpreted as an ideal or excessively formal meaning unless explicitly defined in the present application. Does not.

본 출원에서, 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현도 포함한다.In the present application, expressions in the singular also include expressions in the plural unless the context clearly indicates otherwise.

본 출원에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.In the present application, terms such as first and second may be used to describe various components, but the components should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another component. For example, without departing from the scope of the present invention, a first element may be referred to as a second element, and similarly, a second element may be referred to as a first element.

본 출원에서, '포함하다', '함유하다' 또는 '가지다' 등의 용어는 명세서 상에 기재된 특징, 구성요소 (또는 구성성분) 등이 존재함을 지칭하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 구성요소 등이 존재하지 않거나 부가될 수 없음을 의미하는 것은 아니다.In the present application, terms such as'include','include' or'have' are intended to refer to the presence of features, elements (or constituents), etc. described in the specification, but one or more other features or It does not mean that the component or the like does not exist or cannot be added.

본 발명의 식각 가스 조성물은 상술한 바와 같은 목적을 달성하기 위하여, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 포함하는 것을 특징으로 한다.The etching gas composition of the present invention comprises a fluorinated hydrocarbon compound of the first component including a CF bond and a CH bond of C4 carbon atoms, and a second component including a CF bond of C3 carbon atoms in order to achieve the above-described object. It is characterized by containing a fluorine-containing organic compound.

본 발명의 식각 가스 조성물의 일 구성요소인 상기 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물은 C4H2F6로 표시되는 화합물이며, 바람직하게는 이중결합이 하나인 플루오르화 탄화수소 화합물일 수 있다.The fluorinated hydrocarbon compound of the first component including a C4 CF bond and a CH bond, which is a constituent of the etching gas composition of the present invention, is a compound represented by C 4 H 2 F 6 , preferably a double bond It may be one fluorinated hydrocarbon compound.

구체적으로, 상기 제1 성분의 플루오르화 탄화수소 화합물로서 Specifically, as the fluorinated hydrocarbon compound of the first component

- Hexafluoroisobutene (CAS No. 382-10-5) -Hexafluoroisobutene (CAS No. 382-10-5)

- (2Z)-1,1,1,4,4,4-Hexafluoro-2-butene (CAS No. 692-49-9), -(2Z)-1,1,1,4,4,4-Hexafluoro-2-butene (CAS No. 692-49-9),

- (3R,4S)-1,1,2,2,3,4-Hexafluorocyclobutane (CAS No. 22819-47-2),-(3R,4S)-1,1,2,2,3,4-Hexafluorocyclobutane (CAS No. 22819-47-2),

- 2,3,3,4,4,4-Hexafluoro-1-butene (CAS No. 374-39-0), -2,3,3,4,4,4-Hexafluoro-1-butene (CAS No. 374-39-0),

- 1,1,2,2,3,3-Hexafluorocyclobutane (CAS No. 55101-70-7), -1,1,2,2,3,3-Hexafluorocyclobutane (CAS No. 55101-70-7),

- (2Z)-1,1,1,2,4,4-Hexafluoro-2-butene, -(2Z)-1,1,1,2,4,4-Hexafluoro-2-butene,

- (2Z)-1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17976-35-1), -(2Z)-1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17976-35-1),

- 1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17997-56-7), 또는-1,1,2,3,4,4-Hexafluoro-2-butene (CAS No. 17997-56-7), or

- (E)-1,1,1,4,4,4-Hexafluoro-2-butene (CAS No. 66711-86-2)을 포함할 수 있으며, -It may contain (E)-1,1,1,4,4,4-Hexafluoro-2-butene (CAS No. 66711-86-2),

바람직하게는 Hexafluoroisobutene (CAS No. 382-10-5)일 수 있다. Preferably, it may be Hexafluoroisobutene (CAS No. 382-10-5).

본 발명에 따른 식각 가스 조성물의 다른 일 구성요소인 상기 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물은 CxHyFzSmOn(식중, x는 3의 정수이고, y는 0 내지 7의 정수이고, z는 1 내지 8의 정수이며, m 및 n은 각각 0 내지 1의 정수임)로 표시될 수 있다. Another component of the etching gas composition according to the present invention, the fluorine-containing organic compound of the second component including the CF bond of C3 is C x H y F z S m O n (wherein x is an integer of 3 , y is an integer of 0 to 7, z is an integer of 1 to 8, m and n are integers of 0 to 1, respectively).

구체적으로는 제2 성분의 불소함유 유기화합물은 아래의 화학식 1, 화학식 2, 또는 화학식 3으로 표시될 수 있다. Specifically, the fluorine-containing organic compound of the second component may be represented by the following Chemical Formula 1, Chemical Formula 2, or Chemical Formula 3.

[화학식 1][Formula 1]

Figure 112021013768013-pat00004
Figure 112021013768013-pat00004

[화학식 2][Formula 2]

Figure 112021013768013-pat00005
Figure 112021013768013-pat00005

(상기 화학식 1에서, R1은 F 또는 CF3이고, R2는 CHF2, CH2F, SH 또는 SOH이고, R3 및 R4는 각각 독립적으로 H, F 또는 CHF2이며, 상기 화학식 2에서, R5는 F, 또는 CF3이고, R6는 F, H, CHF2, 또는 CH2F이다)(In Formula 1, R1 is F or CF 3 , R2 is CHF 2 , CH 2 F, SH or SOH, R3 and R4 are each independently H, F or CHF 2 , In Formula 2, R5 is F, or CF 3 , and R6 is F, H, CHF 2 , or CH 2 F)

[화학식 3][Formula 3]

Figure 112021013768013-pat00006
Figure 112021013768013-pat00006

상기 제2 성분의 불소함유 유기화합물은 더욱 구체적으로, 상기 화학식 1의 화합물로서는The fluorine-containing organic compound of the second component is more specifically, as the compound of Formula 1

- 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0),-1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0),

- 1,1,1,3,3-pentafluoropropane (CAS No. 460-73-1), 및 -1,1,1,3,3-pentafluoropropane (CAS No. 460-73-1), and

- 1,1,2,2,3-pentafluoropropane (CAS No. 679-86-7)으로 이루어진 군에서 선택된 적어도 하나를 포함하고,-Contains at least one selected from the group consisting of 1,1,2,2,3-pentafluoropropane (CAS No. 679-86-7),

상기 화학식 2의 화합물로서는, 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), 및 3,3,3-trifluoropropene (CAS No. 677-21-4)으로 이루어진 군에서 선택된 적어도 하나를 포함할 수 있다.Examples of the compound of Formula 2 include 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), and 3,3, It may contain at least one selected from the group consisting of 3-trifluoropropene (CAS No. 677-21-4).

상기 제2 성분의 불소함유 유기화합물은 바람직하게는 C3H2F6로 표시되는 화합물이며, 가장 바람직하게는 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0)일 수 있다. The fluorine-containing organic compound of the second component is preferably a compound represented by C 3 H 2 F 6 , and most preferably 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63- It can be 0).

본 발명의 식각 가스 조성물은 상기 제1 성분의 플루오르화 탄화수소 화합물과 상기 제2 성분의 불소함유 유기화합물을 소정의 비율로 포함하는 것을 특징으로 하며, The etching gas composition of the present invention is characterized in that it contains a fluorinated hydrocarbon compound of the first component and a fluorine-containing organic compound of the second component in a predetermined ratio,

상기 제1 성분의 플루오르화 탄화수소 화합물은 상기 제2 성분의 불소함유 유기화합물 당 0.001 내지 1000의 비율(부피부) 일 수 있으며, 바람직하게는 0.005 내지 200의 비율이고, 더욱 바람직하게는 0.01 내지 100의 비율이다. The fluorinated hydrocarbon compound of the first component may be in a ratio of 0.001 to 1000 (volume part) per fluorine-containing organic compound of the second component, preferably 0.005 to 200, more preferably 0.01 to 100 Is the ratio of.

상기 두 화합물이 위와 같은 범위 내의 비율로 혼합될 때 반도체 메모리 소자 제조 시 콘택트 공정에서 금속 계열 하부층 (언더레이어, underlayer)에 대한 우수한 선택비를 달성할 수 있다.When the two compounds are mixed in the ratio within the above range, it is possible to achieve an excellent selectivity for a metal-based underlayer (underlayer) in a contact process when manufacturing a semiconductor memory device.

본 발명에 따른 식각 가스 조성물은, 상기 제1 성분의 플루오르화 탄화수소 화합물과 상기 제2 성분의 불소함유 유기화합물 외에, C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물과 탄소수 C1 내지 C5인 플루오르화 탄화수소 화합물인 제4 성분의 유기불소 화합물로 이루어진 군으로부터 선택되는 적어도 하나 이상의 화합물을 포함할 수 있다. 이 경우에, 금속 계열 하부층 (언더레이어, underlayer)에 대한 우수한 선택비를 나타내는 콘택트 공정을 포함하는 다양한 공정 성능을 목적한 바에 맞게 조절할 수 있다.The etching gas composition according to the present invention includes, in addition to the fluorinated hydrocarbon compound of the first component and the fluorine-containing organic compound of the second component, the organic fluorine compound of the third component, which is a perfluorocarbon compound of C1 to C6, and the number of carbon atoms C1 It may include at least one compound selected from the group consisting of an organofluorine compound of the fourth component which is a fluorinated hydrocarbon compound of C5 to C5. In this case, various process performances including a contact process showing an excellent selectivity for a metal-based underlayer (underlayer) can be adjusted to suit the purpose.

상기 제3 성분의 유기불소 화합물 및 제4 성분의 유기불소 화합물 중 적어도 하나 이상의 화합물 성분은 상기 제2 성분의 불소함유 유기화합물을 기준으로, 0.001 내지 1000의 비율(부피부)로 혼합될 수 있으며, 바람직하게는 0.005 내지 200의 비율로, 더욱 바람직하게는 0.01 내지 100의 비율로 포함될 수 있다.At least one compound component of the organic fluorine compound of the third component and the organic fluorine compound of the fourth component may be mixed in a ratio of 0.001 to 1000 (volume part) based on the fluorine-containing organic compound of the second component, , Preferably it may be included in a ratio of 0.005 to 200, more preferably in a ratio of 0.01 to 100.

상기 탄소수 C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물은 수소를 포함하지 않는 화합물로서 C 및 F를 포함하며, 또한 C 및 F와 그리고 다른 헤테로 원자를 포함하는 화합물일 수 있다. 구체적으로는 C4F6, C3F6, C5F8, C6F6, C4F8, C2F6, CF4 등을 포함하거나, 또는 이들의 혼합물을 포함하는 것일 수 있다. The organic fluorine compound of the third component, which is a perfluorocarbon compound having C1 to C6, contains C and F as a compound that does not contain hydrogen, and may be a compound containing C and F and other heteroatoms. . Specifically, it may include C 4 F 6 , C 3 F 6 , C 5 F 8 , C 6 F 6 , C 4 F 8 , C 2 F 6 , CF 4 , or a mixture thereof. .

또한, 상기 탄소수 C1 내지 C5, 바람직하게는 C3 내지 C4의 플루오르화 알킬 화합물인 제4 성분의 유기불소 화합물은 C, H, F를 포함하는 화합물이다. 상기 제4 성분의 유기불소 화합물에 있어서, H:F의 비는 1:1, 1:2, 1:3을 포함하는 1:1 이상, 또는 2:1, 3:1을 포함하는 2:1 이상인 것이 바람직하다.In addition, the organic fluorine compound of the fourth component, which is a fluorinated alkyl compound having C1 to C5, preferably C3 to C4, is a compound containing C, H, and F. In the organofluorine compound of the fourth component, the ratio of H:F is 1:1, 1:2, 1:3, including 1:1 or more, or 2:1, 3:1, including 2:1 It is preferable that it is above.

상기 제3 성분 및 제4 성분의 유기불소 화합물은 포화, 또는 불포화화합물일 수 있고, 상기 제1 성분 및 제2 성분의 화합물과는 다른 것이다.The organic fluorine compounds of the third and fourth components may be saturated or unsaturated compounds, and are different from the compounds of the first and second components.

또한, 상기 본 발명의 식각 가스 조성물은 불활성 가스를 더 포함하는 것이 바람직하다. In addition, it is preferable that the etching gas composition of the present invention further includes an inert gas.

상기 불활성 가스는 상기 식각 가스 혼합물 100 부피부에 대하여, 1 내지 10,000 부피부로 더 포함할 수 있으며, 바람직하게는 5 내지 5,000 부피부로, 더욱 바람직하게는 10 내지 5,000 부피부로 더 포함할 수 있다. The inert gas may further include 1 to 10,000 parts by volume, preferably 5 to 5,000 parts by volume, more preferably 10 to 5,000 parts by volume, based on 100 parts by volume of the etching gas mixture. have.

그리고, 상기 불활성 가스는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 질소 (N2), 크립톤 (Kr), 제논 (Xe) 또는 이들의 혼합물을 포함할 수 있다.In addition, the inert gas may include argon (Ar), helium (He), neon (Ne), nitrogen (N 2 ), krypton (Kr), xenon (Xe), or a mixture thereof.

또한, 상기 본 발명의 식각 가스 조성물은 반응성 가스를 더 포함하고, 상기 반응성 가스는 상기 식각 가스 조성물 100 부피부에 대하여, 0.1 내지 10,000 부피부로 더 포함할 수 있으며, 바람직하게는 0.5 내지 5,000 부피부로, 더욱 바람직하게는 1 내지 2,000 부피부로 더 포함할 수 있다.In addition, the etching gas composition of the present invention further includes a reactive gas, and the reactive gas may further include 0.1 to 10,000 parts by volume, preferably 0.5 to 5,000 parts, based on 100 parts by volume of the etching gas composition. Skin, more preferably 1 to 2,000 parts by volume may be further included.

그리고, 상기 반응성 가스는 산소 (O2), 일산화탄소 (CO), 이산화탄소 (CO2), 일산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 수소 (H2), 암모니아 (NH3), 불화수소 (HF), 이산화 황 (SO2), 이황화 탄소 (CS2), 황화카르보닐 (COS), CF3I, C2F3I, C2F5I, 또는 이들의 혼합물을 포함할 수 있다.And, the reactive gas is oxygen (O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ), nitrogen monoxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), hydrogen (H 2 ), ammonia (NH 3 ), hydrogen fluoride (HF), sulfur dioxide (SO 2 ), carbon disulfide (CS 2 ), carbonyl sulfide (COS), CF 3 I, C 2 F 3 I, C 2 F 5 I, or these It may contain a mixture of.

본 발명에 의한 식각 가스 조성물은 반도체 메모리 소자의 제조 공정시 콘택트 공정에서 금속 계열 하부층 (언더레이어, underlayer)에 대한 우수한 선택비를 나타내는 것을 특징으로 할 수 있다. The etching gas composition according to the present invention may be characterized in that it exhibits an excellent selectivity for a metal-based underlayer (underlayer) in a contact process during a manufacturing process of a semiconductor memory device.

특히, 반도체 메모리 소자의 실리콘 산화막, 실리콘 질화막 등의 상부 구조물 대비 텅스텐 (W) 등의 금속 계열 하부층 (언더레이어, underlayer)에 대한 선택비가 우수하여 콘택트 공정의 건식 소재로 사용될 경우 우수한 성능을 발휘할 수 있다.Especially, Since the selectivity for a metal-based lower layer (underlayer, underlayer) such as tungsten (W) is excellent compared to an upper structure such as a silicon oxide film or a silicon nitride film of a semiconductor memory device, excellent performance can be exhibited when used as a dry material for a contact process.

또한, 상기 본 발명에 따른 식각 가스 조성물은 마스크에 대한 영향을 최소화하면서 목적하는 박막층에 대하여만 식각을 수행하는 선택비가 매우 뛰어나다. In addition, the etching gas composition according to the present invention has an excellent selectivity for etching only a target thin film layer while minimizing the effect on the mask.

한편, 본 발명에 의한 반도체 메모리 소자의 제조공정은, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 포함하는 식각 가스 조성물을 이용하여 콘택트 형성을 위한 상부 구조물을 식각하는 단계를 포함하는 것을 특징으로 한다.On the other hand, in the manufacturing process of the semiconductor memory device according to the present invention, a fluorinated hydrocarbon compound of the first component including a C4 carbon number and a CH bond, and a fluorine-containing organic compound of the second component including a C3 C3 bond. It characterized in that it comprises the step of etching the upper structure for contact formation using the etching gas composition containing the compound.

구체적으로 일실시예에 의한 본 발명에 의한 반도체 메모리 소자의 제조공정은, Specifically, the manufacturing process of the semiconductor memory device according to the present invention according to an embodiment,

반도체 기판상에 교번하는 옥사이드 및 나이트라이드 막의 막 스택을 형성하는 단계;Forming a film stack of alternating oxide and nitride films on a semiconductor substrate;

상기 막 스택에 계단형 패턴을 형성하는 단계;Forming a stepped pattern on the film stack;

상기 계단형 패턴 위에 상부 구조물 형성 및 평탄화하는 단계;Forming and planarizing an upper structure on the stepped pattern;

막 스택을 슬릿 (Silt) 식각하는 단계; Slit etching the film stack;

상기 막 스택의 일부를 선택적으로 습식 식각으로 제거하는 단계;Selectively removing a portion of the film stack by wet etching;

상기 습식 식각으로 제거된 부분에 금속 계열 하부층 (언더레이어, underlayer)을 형성하는 단계; Forming a metal-based underlayer (underlayer) on the portion removed by the wet etching;

콘택트 형성을 위하여 상부 구조물 상에 식각 보조막을 형성하는 단계; Forming an etch auxiliary layer on the upper structure to form a contact;

식각 보조막 상에 콘택트 홀 (Contact hole) 형성을 위한 패턴 형성 및 식각하여 콘택트 홀 (Contact hole) 형성 영역을 정의하는 단계; 및Forming a pattern for forming a contact hole on the etch auxiliary layer and etching to define a contact hole forming region; And

상기 식각 보조막을 식각마스크로 콘택트 형성을 위하여 상부 구조물을 본 발명의 식각 가스 조성물로 식각하는 단계;를 포함하는 것을 특징으로 한다. And etching the upper structure with the etching gas composition of the present invention in order to form a contact using the etching auxiliary layer with an etching mask.

또한, 본 발명 반도체 메모리 소자의 제조공정에서는 상기 콘택트 형성을 위한 식각 단계 등에 식각 보조막을 사용하여 식각하는 것을 특징으로 한다.In addition, in the manufacturing process of the semiconductor memory device of the present invention, etching is performed using an etching auxiliary layer, for example, in the etching step for forming the contact.

본 발명의 식각 가스 조성물을 이용하여 상부 구조물을 식각할 때, 상기 식각 가스 조성물을 구성하는 가스를 모두 동시에 또는 순차적으로 투입할 수 있다.When etching the upper structure using the etching gas composition of the present invention, all of the gases constituting the etching gas composition may be added simultaneously or sequentially.

상기 반도체 메모리 소자의 제조공정의 상기 막 스택은 실리콘 함유막일 수 있으며, 구체적으로는 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합일 수 있다. The film stack in the manufacturing process of the semiconductor memory device may be a silicon-containing film, specifically single crystal silicon, polycrystalline silicon (Poly-Si), SiO 2 film, Si 3 N 4 film, SiN film, SiON film, SiCN film , SiC film, SiOC film, a-SiN:H film, or a combination thereof.

또한, 상기 하부층은 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브데넘 (Mo) 또는 코발트 (Co) 등과 같은 금속 계열 물질일 수 있으며, 상기 상부 구조물에는 BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO2 막, Si3N4 막, SiN 막 또는 SiON 막 등이 포함될 수 있다. In addition, the lower layer may be a metal-based material such as tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), or cobalt (Co), and the upper structure includes BPSG (Boro Pospho Silicate Glass). ), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO 2 film, Si 3 N 4 film, SiN film or SiON film.

또한, 콘택트 형성 공정에는 식각 보조막이 사용될 수 있으며, 이 식각 보조막에는 PR (Photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), SiO2 막, Si3N4 막, SiN 막, SiON 막 또는 멀티 펑션 하드 마스크막 (multi function hard mask) 등이 포함될 수 있다.In addition, an etching auxiliary layer may be used for the contact formation process, and the etching auxiliary layer includes a PR (photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), a SiO2 layer, a Si3N4 layer, a SiN layer, a SiON layer, or A multi function hard mask may be included.

상기 박막(상부 구조물)을 식각하는 단계는 -100 내지 500 ℃, -10 내지 200 ℃, 또는 10 내지 100 ℃의 기판 온도 설정하에서 수행될 수 있다. The step of etching the thin film (upper structure) may be performed under a substrate temperature setting of -100 to 500°C, -10 to 200°C, or 10 to 100°C.

또한, 상기 식각 가스 조성물에 에너지를 인가하여 얻어지는 플라즈마를 이용하여 상기 박막(상부 구조물)을 식각할 수 있다.In addition, the thin film (upper structure) may be etched using plasma obtained by applying energy to the etching gas composition.

일 실시예에 의한 반도체 메모리 소자의 제조는 더욱 구체적으로 다음과 같은 공정단계를 포함할 수 있다. Manufacturing of a semiconductor memory device according to an embodiment may more specifically include the following process steps.

먼저 기판 상에 교번하는 옥사이드 및 나이트라이드 막의 막 스택을 형성한다. First, a film stack of alternating oxide and nitride films is formed on a substrate.

상기 막 스택은 실리콘 함유막 일 수 있으며, 구체적으로는 단결정 실리콘, 다결정 실리콘 (Poly-Si), SiO2 막, Si3N4 막, SiN 막, SiON 막, SiCN 막, SiC 막, SiOC 막, a-SiN:H 막, 또는 이들의 조합일 수 있다. The film stack may be a silicon-containing film, specifically single crystal silicon, polycrystalline silicon (Poly-Si), SiO 2 film, Si 3 N 4 film, SiN film, SiON film, SiCN film, SiC film, SiOC film, It may be an a-SiN:H film, or a combination thereof.

교번하는 옥사이드 및 나이트라이드 막 증착에 이어서, 계단형 패턴 형성을 위한 식각 공정을 수행한 후, 형성된 계단형 패턴 위에 상부 구조물 형성 및 평탄화 공정을 수행한다. After alternate deposition of oxide and nitride films, an etching process for forming a stepped pattern is performed, and then an upper structure is formed and a planarization process is performed on the formed stepped pattern.

식각의 대상이 되는 상부 구조물은 BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO2 막, Si3N4 막, SiN 막 또는 SiON 막 등을 포함할 수 있다. The upper structure to be etched may include BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO 2 film, Si 3 N 4 film, SiN film or SiON film. have.

상부 구조물 형성 후 상기 막 스택의 일부를 선택적으로 제거하기 위해 막 스택을 슬릿 (Silt) 식각 한 후, 습식 식각 공정을 수행한다. After forming the upper structure, in order to selectively remove a part of the layer stack, the layer stack is slit-etched, and then a wet etching process is performed.

상기 습식 식각으로 제거된 부분에 금속 계열 하부층 (언더레이어, underlayer)을 형성한다.A metal-based underlayer (underlayer) is formed on the portion removed by the wet etching.

금속 하부층 (언더레이어, underlayer)은 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브덴 (Mo) 또는 코발트 (Co) 등과 같은 금속 계열 물질일 수 있다.The metal lower layer (underlayer, underlayer) may be a metal-based material such as tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), or cobalt (Co).

다음으로, 콘택트 형성을 위하여 상부 구조물 상에 식각 보조막을 형성한다. 식각 보조막 상에 콘택트 홀 (Contact hole) 형성을 위한 패턴 형성 및 식각하여 콘택트 홀 (Contact hole)이 형성되는 영역을 정의한다.Next, an etch auxiliary layer is formed on the upper structure to form a contact. A pattern for forming a contact hole is formed on the etching auxiliary layer and a region in which a contact hole is formed by etching is defined.

상기 식각 보조막은 PR (Photo resist), SOH (Spin on hardmask), ACL (Amorphous carbon layer), SiO2 막, Si3N4 막, SiN 막, SiON 막 또는 멀티 펑션 하드 마스크막 (multi function hard mask) 등을 포함할 수 있다. The etching auxiliary layer includes a photo resist (PR), spin on hardmask (SOH), an amorphous carbon layer (ACL), a SiO2 layer, a Si3N4 layer, a SiN layer, a SiON layer, or a multi function hard mask layer. can do.

마지막으로, 상기 식각 보조막을 식각마스크로 하고, 본 발명에 따른 식각 가스 혼합물로부터 얻어지는 플라즈마를 이용하여 상부 구조물의 일부를 식각하여 콘택트 홀 (Contact hole)을 형성한다. Finally, the etching auxiliary layer is used as an etching mask, and a part of the upper structure is etched using plasma obtained from the etching gas mixture according to the present invention to form a contact hole.

상기 식각 가스 조성물은 전술한 바와 같으며, 탄소수 C4의 C-F 결합 및 C-H 결합을 포함하는 제1 성분의 플루오르화 탄화수소 화합물, 및 탄소수 C3의 C-F 결합을 포함하는 제2 성분의 불소함유 유기화합물을 포함하는 것을 특징으로 한다. The etching gas composition is as described above, and includes a fluorinated hydrocarbon compound of the first component including a CF bond and a CH bond of C4 carbon atoms, and a fluorine-containing organic compound of the second component including a CF bond of C3 carbon atoms. Characterized in that.

본 발명의 C-F 결합 및 C-H 결합을 포함하는 C4의 제1 성분 및 C3의 제2 성분을 포함하는 식각 가스 조성물의 전체 또는 일부로부터 얻어지는 플라즈마를 이용하여 박막을 식각할 때, CF, CF2, F, F2, CHF 등과 같은 라디칼이 형성될 수 있다.When etching a thin film using plasma obtained from all or part of the etching gas composition including the first component of C4 and the second component of C3 including CF bonds and CH bonds of the present invention, CF, CF 2 , F , F 2 , CHF and the like can be formed.

다른 일 실시예에 의한 반도체 메모리 소자의 제조는 다음과 같은 공정단계를 포함할 수 있으며, 이 제조방법은 DRAM 등의 제조에 사용될 수 있다. Manufacturing of a semiconductor memory device according to another exemplary embodiment may include the following process steps, and this manufacturing method may be used for manufacturing a DRAM or the like.

기판 상에 랜딩 패드 (Landing pad)를 형성하는 단계;Forming a landing pad on the substrate;

랜딩 패드 (Landing pad) 상에 상부 구조물을 형성하는 단계;Forming a superstructure on a landing pad;

형성된 상부 구조물 상에 패턴화된 마스크를 형성하는 단계; 및Forming a patterned mask on the formed upper structure; And

상기 패턴화된 마스크를 식각마스크로 캐패시터 (Capacitor)를 형성하기 위해 상기 상부 구조물을을 본 발명의 식각 가스 조성물로 식각하여 콘택트 홀 (Contact hole)을 형성하는 단계;를 포함하는 것을 특징으로 한다.And forming a contact hole by etching the upper structure with the etching gas composition of the present invention to form a capacitor using the patterned mask as an etching mask.

상기 랜딩 패드 (Landing pad)는 텅스텐 (W), 티타늄 (Ti), 탄탈륨 (Ta), 루테늄 (Ru)과 같은 금속 계열이나, 텅스텐 질화물 (WN), 질화 티타늄 (TiN)과 같은 금속 질화물, 폴리실리콘 (Poly-Si), 도전성 카본, 또는 이들의 조합일 수 있다. The landing pad is a metal series such as tungsten (W), titanium (Ti), tantalum (Ta), and ruthenium (Ru), but a metal nitride such as tungsten nitride (WN) and titanium nitride (TiN), poly It may be silicon (Poly-Si), conductive carbon, or a combination thereof.

상기 상부 구조물은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 카본질화물 (SiCN), 또는 이들의 조합일 수 있다.The upper structure may be silicon oxide, silicon nitride, silicon oxynitride, silicon carbon nitride (SiCN), or a combination thereof.

또한, 상기 커패시터 (Capacitor) 형성 식각 공정에는 식각마스크가 사용 될 수 있으며, 이 식각마스크에는 폴리실리콘 (Poly-Si), 실리콘 산화물 또는 SOH (Spin on hardmask) 등이 포함될 수 있다. In addition, an etching mask may be used in the etching process of forming the capacitor, and the etching mask may include poly-silicon (Poly-Si), silicon oxide, or spin on hardmask (SOH).

상기 본 발명의 식각 가스 조성물은 에너지원에 노출되어 활성화된 화학종을 포함하는 플라즈마의 형태로 막에 제공될 수 있다. 식각 가스 조성물의 플라즈마를 이용하여 막을 식각하기 위하여 플라즈마 식각 설비를 이용할 수 있다. 예를 들면, 식각 가스 조성물의 플라즈마를 이용하여 막을 식각하기 위하여, RIE (Reactive ion etch) 설비, MERIE (Magnetically enhanced reactive ion etch) 설비, ICP (Inductively coupled plasma) 설비, CCP (Capacitively coupled plasma) 설비, 중공 애노드형 플라즈마 (Hollow anode type plasma) 설비, 나선형 공명기 플라즈마 (Helical resonator plasma) 설비, ECR (Electron cyclotron resonance) 설비 등을 사용할 수 있다.The etching gas composition of the present invention may be provided to a film in the form of a plasma including a chemical species activated by exposure to an energy source. Plasma etching equipment may be used to etch the film by using the plasma of the etching gas composition. For example, in order to etch a film using plasma of the etching gas composition, RIE (Reactive ion etch) equipment, MERIE (Magnetically enhanced reactive ion etch) equipment, ICP (Inductively coupled plasma) equipment, CCP (Capacitively coupled plasma) equipment , Hollow anode type plasma facilities, spiral resonator plasma facilities, and ECR (electron cyclotron resonance) facilities can be used.

이하, 본 발명의 실시예인 본 실험에 대하여 설명한다.Hereinafter, this experiment, which is an embodiment of the present invention, will be described.

[실시예][Example]

하기 본 발명의 비제한적인 본 실험은 본 발명의 실시양태를 더욱 상세히 설명하기 위해 제공된다. 그러나, 본 발명의 본 실험은 포괄적인 것은 아니며, 본 명세서에 기재된 발명의 범주를 제한하려는 것이 아니다.This non-limiting experiment of the invention below is provided to further illustrate embodiments of the invention. However, this experiment of the present invention is not comprehensive and is not intended to limit the scope of the invention described herein.

본 발명의 본 실험 및 비교실험 1 및 2의 식각 공정은 Applied Materials 사의 MERIE (Magnetically enhanced reactive ion etch) 타입의 8인치 웨이퍼급 Precision 5000 etch 시스템을 사용하여 수행되었다.The etching processes of the present experiment and comparative experiments 1 and 2 of the present invention were performed using an 8-inch wafer-class Precision 5000 etch system of Applied Materials' MERIE (Magnetically enhanced reactive ion etch) type.

SiO2, Si3N4, 텅스텐 (W)으로 구성되는 기판에 하기 표 1과 같은 배합비의 식각 가스 조성물을 사용하여 하기 표 1의 유량 및 공정 조건으로 식각 공정을 실시하였다.SiO 2 , Si 3 N 4 , Tungsten (W) using an etching gas composition of the mixing ratio shown in Table 1 below, the etching process was performed under the flow rate and process conditions of Table 1.

본 발명의 본 실험에서는 제1 성분의 플루오르화 탄화수소 화합물인 C4H2F6로 표시되는 Hexafluoroisobutene (CAS No. 382-10-5)과 제2 성분의 불소함유 유기화합물인 C3H2F6로 표시되는 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0), 그리고 제3 성분의 가스로서 C4F6, 불활성 가스로는 아르곤 (Ar) 및 반응성 가스로 산소 (O2)를 사용하여 콘택트 공정에서 금속 계열의 하부층 (언더레이어, underlayer)에 대한 높은 선택비 성능을 발휘하는 식각 공정을 수행하였다. In this experiment of the present invention, Hexafluoroisobutene (CAS No. 382-10-5) represented by C 4 H 2 F 6 , which is a fluorinated hydrocarbon compound of the first component, and C 3 H 2 F, which is a fluorine-containing organic compound of the second component. 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0) represented by 6, and a gas of the third component C 4 F 6, the inert gas is argon (Ar) and a reactive gas An etching process exhibiting high selectivity performance for a metal-based underlayer (underlayer) in the contact process was performed using furnace oxygen (O 2 ).

본 발명의 본 실험에서는 구체적으로 C4H2F6 가스, C3H2F6 가스 및 제3 성분의 유기불소 화합물 식각 가스인 C4F6를 MFC (Mass flow controller)에 의해 각각 5 sccm (Standard cubic centimeter per minute), 5 sccm, 및 15 sccm의 양으로 동시에 Chamber 내부로 주입하여 식각 공정을 수행하였다. In the present experiment of the present invention, specifically, C 4 H 2 F 6 gas, C 3 H 2 F 6 gas, and C 4 F 6 , which is a third component of the organic fluorine compound etching gas, were each 5 sccm by MFC (Mass flow controller). (Standard cubic centimeter per minute), 5 sccm, and 15 sccm were simultaneously injected into the chamber to perform the etching process.

반응성 가스로는 산소 (O2)를 사용하였으며, 주입량은 MFC에 의해 35 sccm ~ 50 sccm으로 흘려주면서 산소 함량에 따른 에칭 속도와 선택비를 구하였다. 불활성 가스로는 아르곤 (Ar)을 첨가해 주었으며, 주입량은 15 sccm 이었다. Oxygen (O 2 ) was used as the reactive gas, and the injection amount was flowed from 35 sccm to 50 sccm by MFC, and the etching rate and selectivity were calculated according to the oxygen content. Argon (Ar) was added as an inert gas, and the injection amount was 15 sccm.

식각 공정을 위한 RF (Radio frequency) power는 500 W로 설정하였으며, 공정 압력은 35 mTorr로 60초의 시간 동안 공정을 수행하였다. The RF (Radio frequency) power for the etching process was set to 500 W, and the process pressure was 35 mTorr, and the process was performed for a time of 60 seconds.

비교실험 1은 C3H2F6 가스 및 제3 성분의 유기불소 화합물 식각 가스인 C4F6를 MFC에 의해 각각 5 sccm 및 15 sccm의 양으로 동시에 Chamber 내부로 주입하여 식각 공정을 수행하였다. In Comparative Experiment 1 , an etching process was performed by simultaneously injecting C 3 H 2 F 6 gas and C 4 F 6 , an etching gas of a third component of an organic fluorine compound, into the chamber in an amount of 5 sccm and 15 sccm, respectively, by MFC. .

반응성 가스로는 산소(O2)를 사용하였으며, 주입량은 MFC에 의해 35 sccm ~ 50 sccm으로 흘려주면서 산소 함량에 따른 에칭 속도와 선택비를 구하였다. 불활성 가스로는 아르곤 (Ar)을 첨가해 주었으며, 주입량은 15 sccm 이었다. Oxygen (O 2 ) was used as the reactive gas, and the injection amount was flowed from 35 sccm to 50 sccm by MFC, and the etching rate and selectivity were calculated according to the oxygen content. Argon (Ar) was added as an inert gas, and the injection amount was 15 sccm.

식각 공정을 위한 RF power는 500 W로 설정하였으며, 공정 압력은 35 mTorr로 60초의 시간 동안 공정을 수행하였다. The RF power for the etching process was set to 500 W, and the process pressure was 35 mTorr, and the process was performed for a time of 60 seconds.

비교실험 2는 C4H2F6 가스 및 제3 성분의 유기불소 화합물 식각 가스인 C4F6를 MFC에 의해 각각 5 sccm 및 15 sccm의 양으로 동시에 Chamber 내부로 주입하여 식각 공정을 수행하였다. In Comparative Experiment 2 , an etching process was performed by simultaneously injecting C 4 H 2 F 6 gas and C 4 F 6 , an etching gas of a third component of an organic fluorine compound, into the chamber in an amount of 5 sccm and 15 sccm, respectively, by MFC. .

반응성 가스로는 산소 (O2)를 사용하였으며, 주입량은 MFC에 의해 35 sccm ~ 50 sccm으로 흘려주면서 산소 함량에 따른 에칭 속도와 선택비를 구하였다. 불활성 가스로는 아르곤 (Ar)을 첨가해 주었으며, 주입량은 15 sccm 이었다. Oxygen (O 2 ) was used as the reactive gas, and the injection amount was flowed from 35 sccm to 50 sccm by MFC, and the etching rate and selectivity were calculated according to the oxygen content. Argon (Ar) was added as an inert gas, and the injection amount was 15 sccm.

식각 공정을 위한 RF power는 500 W로 설정하였으며, 공정 압력은 35 mTorr로 60초의 시간 동안 공정을 수행하였다. The RF power for the etching process was set to 500 W, and the process pressure was 35 mTorr, and the process was performed for a time of 60 seconds.

[본 실험 및 비교실험 1, 2의 텅스텐 (W) 하부층 (언더레이어, underlayer)에 대한 선택비 (Selectivity) 분석][Selectivity analysis for tungsten (W) underlayer (underlayer) in this experiment and comparative experiments 1 and 2]

Figure 112021013768013-pat00007
Figure 112021013768013-pat00007

<식각 공정 가스 혼합비 및 공정 조건> <Etch process gas mixing ratio and process conditions>

상기의 표 1과 같은 조건의 본 실험, 및 비교실험 1과 비교실험 2의 식각 가스 조성물에 의한 텅스텐 (W) 대비 SiO2 및 Si3N4의 선택비를 측정하여 그 결과를 아래 표 2 내지 표 5에 나타내었다. The selectivity of SiO 2 and Si 3 N 4 compared to tungsten (W) by the etching gas compositions of this experiment and Comparative Experiment 1 and Comparative Experiment 2 under the conditions as shown in Table 1 were measured, and the results are shown in Tables 2 to 2 below. It is shown in Table 5.

하기의 표 2에는 본 실험, 비교실험 1, 및 2의 각각의 식각 조건에 따른 식각 속도 및 SiO2/텅스텐 (W) 선택비를 나타내고 있다. Table 2 below shows the etching rate and SiO 2 /tungsten (W) selectivity according to the respective etching conditions of the present experiment, comparative experiments 1, and 2.

Figure 112021013768013-pat00008
Figure 112021013768013-pat00008

<식각 조건에 따른 식각 속도 및 SiO2/텅스텐 (W) 선택비><Etching rate and SiO 2 /tungsten (W) selection ratio according to etching conditions>

상기 표 2에서 보는 바와 같이, 본 발명의 본 실험에 의한 식각 가스 조성물 즉, 제1 성분의 C4H2F6와 제2 성분의 C3H2F6 가스의 조합 조건에서 얻어진 텅스텐 (W)에 대한 SiO2의 선택비는 비교실험 1 및 비교실험 2와 같이 C4H2F6 또는 C3H2F6만을 사용한 식각 가스 조성물에 비하여 우수한 SiO2/텅스텐 (W) 선택비를 가지는 것을 알 수 있다. As shown in Table 2, the etching gas composition according to the experiment of the present invention That is, the first component of C 4 H 2 F 6, and a second component of the C 3 H 2 F 6 tungsten obtained by the combination of the conditions of gas (W ) To SiO 2 as in Comparative Experiment 1 and Comparative Experiment 2, compared to the etching gas composition using only C 4 H 2 F 6 or C 3 H 2 F 6 , it has an excellent SiO 2 /tungsten (W) selectivity. I can see that.

산소(O2)를 유속 35 sccm으로 주입하는 경우, 본 발명 본 실험의 SiO2와 텅스텐 (W)의 에칭 속도는 각각 1224 Å/min, 231 Å/min이고, 선택비는 5.30이다. 동일 조건에서 비교실험 1의 SiO2와 텅스텐 (W)의 에칭 속도는 각각 1344 Å/min, 417 Å/min이고 선택비는 3.22이며, 비교실험 2의 SiO2와 텅스텐 (W)의 에칭 속도는 각각 1242 Å/min, 348 Å/min이고 선택비는 3.57이다. 따라서, 본 발명 본 실험의 식각 가스 조성물이 비교실험 1 및 2에 비하여 각각 1.64배 및 1.48배 이상의 높은 선택비를 보이고 있다. When oxygen (O 2 ) is injected at a flow rate of 35 sccm, the etching rates of SiO 2 and tungsten (W) in the present experiment of the present invention are 1224 Å/min and 231 Å/min, respectively, and the selectivity ratio is 5.30. Under the same conditions, the etching rates of SiO 2 and tungsten (W) in Comparative Experiment 1 were 1344 Å/min and 417 Å/min, respectively, and the selectivity was 3.22, and the etching rates of SiO 2 and tungsten (W) in Comparative Experiment 2 were 1242 Å/min and 348 Å/min, respectively, and the selectivity ratio was 3.57. Accordingly, the etching gas composition of the present invention of the present invention shows a high selectivity of 1.64 times and 1.48 times or more, respectively, compared to Comparative Experiments 1 and 2.

또한, SiO2/텅스텐 (W) 선택비의 경우, 산소 (O2)를 유속 50 sccm으로 주입하는 경우, 본 발명 본 실험의 SiO2와 텅스텐 (W)의 에칭 속도는 각각 1329 Å/min, 486 Å/min이고, 선택비는 2.73이다. 동일 조건에서 비교실험 1의 SiO2와 텅스텐 (W)의 에칭 속도는 각각 1374 Å/min, 678 Å/min이고 선택비는 2.03이며, 비교실험 2의 SiO2와 텅스텐 (W)의 에칭 속도는 각각 1323 Å/min, 588 Å/min이고 선택비는 2.25이다. In addition, in the case of the SiO 2 /tungsten (W) selectivity, when oxygen (O 2 ) is injected at a flow rate of 50 sccm, the etching rate of SiO 2 and tungsten (W) in the present experiment of the present invention is 1329 Å/min, respectively, 486 Å/min, and the selectivity ratio is 2.73. Under the same conditions, the etching rates of SiO 2 and tungsten (W) in Comparative Experiment 1 were 1374 Å/min and 678 Å/min, respectively, and the selectivity was 2.03, and the etching rates of SiO 2 and tungsten (W) in Comparative Experiment 2 were 1323 Å/min and 588 Å/min, respectively, and the selectivity ratio was 2.25.

따라서, 본 실험의 식각 가스 조성물이 비교실험 1 및 2에 비하여 모두 1.22배 이상 높은 선택비를 보이고 있다. Accordingly, the etching gas composition of this experiment showed a selectivity higher than 1.22 times higher than that of Comparative Experiments 1 and 2.

도 2에 본 발명 본 실험, 비교실험 1, 및 비교실험 2의 SiO2/텅스텐 (W) 선택비값을 그래프로 나타내었다. In FIG. 2, SiO 2 /tungsten (W) selectivity values of the present experiment, comparative experiment 1, and comparative experiment 2 of the present invention are graphed.

아래 표 3은 산소 (O2) 유속에 따른 SiO2/텅스텐 (W) 선택비를 비교실험 1 및 2에 대한 각각의 본 발명 본 실험 비율을 나타내고 있다.Table 3 below shows the ratio of the SiO 2 /tungsten (W) selectivity according to the oxygen (O 2 ) flow rate to the comparative experiments 1 and 2 of the present invention.

Figure 112021013768013-pat00009
Figure 112021013768013-pat00009

아래 표 4는 본 발명의 본실험, 비교실험 1, 및 비교실험 2의 각각의 식각 조건에 따른 식각 속도 및 Si3N4/텅스텐 (W) 선택비를 나타낸 것이다. Table 4 below shows the etching rate and Si 3 N 4 /tungsten (W) selectivity according to the respective etching conditions of the present experiment, comparative experiment 1, and comparative experiment 2 of the present invention.

Figure 112021013768013-pat00010
Figure 112021013768013-pat00010

<식각 조건에 따른 식각 속도 및 Si3N4/텅스텐 (W) 선택비><Etching rate and Si 3 N 4 /tungsten (W) selection ratio according to etching conditions>

Si3N4/텅스텐 (W) 선택비의 경우, 산소 (O2)를 유속 40 sccm으로 주입하는 경우, 본 실험의 Si3N4와 텅스턴 (W)의 에칭 속도는 각각 1188 Å/min, 294 Å/min이고, 선택비는 4.04이다. 동일 조건에서 비교실험 1의 Si3N4와 텅스턴 (W)의 에칭 속도는 각각 1458 Å/min, 492 Å/min이고 선택비는 2.96이고, 비교실험 2의 Si3N4와 텅스텐 (W)의 에칭 속도는 각각 1227 Å/min, 453 Å/min이고 선택비는 2.71이다. 따라서, 본 실험의 식각 가스 조성물이 비교실험 1 및 2에 비하여 각각 1.36배 및 1.49배 이상의 높은 선택비를 보이고 있음을 알 수 있다. In the case of Si 3 N 4 /tungsten (W) selectivity, when oxygen (O 2 ) is injected at a flow rate of 40 sccm, the etching rates of Si 3 N 4 and tungsten (W) in this experiment are each 1188 Å/min. , 294 Å/min, and the selectivity is 4.04. Under the same conditions, the etching rates of Si 3 N 4 and tungsten (W) in Comparative Experiment 1 were 1458 Å/min and 492 Å/min, respectively, and the selectivity was 2.96, and Si 3 N 4 and tungsten (W) in Comparative Experiment 2 were ), the etching rates were 1227 Å/min and 453 Å/min, respectively, and the selectivity ratio was 2.71. Accordingly, it can be seen that the etching gas composition of this experiment shows a high selectivity of 1.36 times and 1.49 times or more, respectively, compared to Comparative Experiments 1 and 2.

또한, 산소(O2)를 유속 50 sccm으로 주입하는 경우, 본 실험의 Si3N4와 텅스턴(W)의 에칭 속도는 각각 1371 Å/min, 486 Å/min이고, 선택비는 2.82 이다. 동일 조건에서 비교실험 1의 Si3N4와 텅스턴 (W)의 에칭 속도는 각각 1581 Å/min, 678 Å/min이고 선택비는 2.33이며, 비교실험 2의 Si3N4와 텅스턴(W)의 에칭 속도는 각각 1488 Å/min, 588 Å/min이고 선택비는 2.53이다.In addition, when oxygen (O 2 ) is injected at a flow rate of 50 sccm, the etching rates of Si 3 N 4 and tungsten (W) in this experiment are 1371 Å/min and 486 Å/min, respectively, and the selectivity ratio is 2.82. . Under the same conditions, the etching rates of Si 3 N 4 and tungsten (W) in Comparative Experiment 1 were 1581 Å/min and 678 Å/min, respectively, and the selectivity was 2.33, and Si 3 N 4 and tungsten ( The etching rates of W) were 1488 Å/min and 588 Å/min, respectively, and the selectivity ratio was 2.53.

따라서, 본 실험의 식각 가스 조성물이 비교실험 1 및 2에 비하여 모두 1.12배 이상의 높은 선택비를 보인다. Therefore, the etching gas composition of this experiment showed a selectivity higher than 1.12 times higher than that of Comparative Experiments 1 and 2.

도 3에 본 발명의 본 실험, 비교 실험 1, 및 비교 실험 2의 Si3N4/텅스텐 (W) 선택비값을 그래프로 나타내었다. In FIG. 3, Si 3 N 4 /tungsten (W) selectivity values of the present experiment, comparative experiment 1, and comparative experiment 2 of the present invention are graphed.

아래 표 5는 산소(O2) 유속에 따른 Si3N4/텅스텐 (W) 선택비를 비교실험 1 및 2 각각에 대한 본 실험 비율을 나타낸 것이다.Table 5 below shows the ratio of the Si 3 N 4 /tungsten (W) selectivity according to the oxygen (O 2 ) flow rate for each of Comparative Experiments 1 and 2.

Figure 112021013768013-pat00011
Figure 112021013768013-pat00011

이와 같이 본 발명 본 실험의 식각 가스 조성물은 비교실험 1 및 2에 비하여 하부층 (언더레이어, underlayer)으로 사용되는 금속 계열의 텅스텐 (W)에 대한 높은 선택비를 가짐에 따라 콘택트 공정을 위한 식각 가스로서 우수한 성능을 나타낸다는 것을 확인할 수 있었다. As described above, the etching gas composition of the present invention of the present invention has a higher selectivity to the metal-based tungsten (W) used as the lower layer (underlayer) compared to Comparative Experiments 1 and 2. It was confirmed that it shows excellent performance as.

상기에서 살펴본 바와 같이 본 발명에 의한 식각 가스 조성물에 의하면 금속 계열의 하부층 (언더레이어, underlayer)에 대한 높은 선택비를 발휘할 수 있어 콘택트 공정에 있어 매우 유리한 물질로 사용 및 응용될 수 있으며 관련 산업 분야에서도 광범위하게 활용될 수 있을 것으로 기대된다.As described above, according to the etching gas composition according to the present invention, a high selectivity for a metal-based lower layer (underlayer) can be exhibited, so that it can be used and applied as a very advantageous material in a contact process, and related industries It is expected that it can be widely used in

이상에서는 본 발명의 바람직한 본 실험에 대해서 설명하였으나, 본 발명은 상술한 특정의 본 실험에 한정되지 아니하며, 당해 기술분야에서 통상의 지식을 가진 자라면 본원 발명의 요지를 벗어남이 없이 다양한 변형 실시가 가능함은 물론이다. 따라서, 본 발명의 범위는 위의 본 실험에 국한해서 해석되어서는 안되며, 후술하는 청구범위뿐만 아니라 이 청구범위와 균등한 것들에 의해 정해져야 할 것이다.In the above, the preferred experiment of the present invention has been described, but the present invention is not limited to the specific present experiment described above, and those of ordinary skill in the art can implement various modifications without departing from the gist of the present invention. Of course it is possible. Therefore, the scope of the present invention should not be construed as limited to the above experiment, and should be determined by the claims and equivalents as well as the claims to be described later.

Claims (29)

탄소수 C4의 C-F 결합과 C-H 결합을 포함하는 플루오르화 탄화수소 화합물(‘제1 성분’); 탄소수 C3의 C-F 결합을 포함하는 불소함유 유기화합물(‘제2 성분’); 및 불활성 기체;를 포함하며,
상기 제1 성분은
- Hexafluoroisobutene (CAS No. 382-10-5);
- (3R,4S)-1,1,2,2,3,4-Hexafluorocyclobutane (CAS No. 22819-47-2);
- 1,1,2,2,3,3-Hexafluorocyclobutane (CAS No. 55101-70-7); 및
- (2Z)-1,1,1,2,4,4-Hexafluoro-2-butene;으로 이루어진 화합물그룹으로부터 선택되는 하나 이상인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
A fluorinated hydrocarbon compound containing C4 carbon atoms and a CH bond ('first component'); A fluorine-containing organic compound containing a C3 CF bond ('second component'); And an inert gas; and,
The first component is
-Hexafluoroisobutene (CAS No. 382-10-5);
-(3R,4S)-1,1,2,2,3,4-Hexafluorocyclobutane (CAS No. 22819-47-2);
-1,1,2,2,3,3-Hexafluorocyclobutane (CAS No. 55101-70-7); And
-(2Z)-1,1,1,2,4,4-Hexafluoro-2-butene; characterized in that at least one selected from the group consisting of, etching gas composition for a contact process.
삭제delete 삭제delete 청구항 1에 있어서,
상기 제1 성분은 Hexafluoroisobutene (CAS No. 382-10-5)인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method according to claim 1,
The first component is Hexafluoroisobutene (CAS No. 382-10-5), characterized in that, the etching gas composition for a contact process.
청구항 1에 있어서,
상기 제2 성분은 CxHyFzSmOn(식중, x는 3의 정수이고, y는 0 내지 7의 정수이고, z는 1 내지 8의 정수이며, m 및 n은 각각 0 내지 1의 정수임)로 표시되는 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method according to claim 1,
The second component is C x H y F z S m O n (where x is an integer of 3, y is an integer of 0 to 7, z is an integer of 1 to 8, m and n are each 0 to It is an integer of 1), characterized in that the etching gas composition for a contact process.
청구항 5에 있어서,
상기 제2 성분은 아래의 화학식 1, 화학식 2, 또는 화학식 3으로 표시되는 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
[화학식 1]
Figure 112021013768013-pat00012

[화학식 2]
Figure 112021013768013-pat00013

(상기 화학식 1에서, R1은 F 또는 CF3이고, R2는 CHF2, CH2F, SH 또는 SOH이고, R3 및 R4는 각각 독립적으로 H, F 또는 CHF2이며, 상기 화학식 2에서, R5는 F, 또는 CF3이고, R6는 F, H, CHF2, 또는 CH2F이다)
[화학식 3]
Figure 112021013768013-pat00014
The method of claim 5,
The second component is an etching gas composition for a contact process, characterized in that represented by the following Chemical Formula 1, Chemical Formula 2, or Chemical Formula 3.
[Formula 1]
Figure 112021013768013-pat00012

[Formula 2]
Figure 112021013768013-pat00013

(In Formula 1, R1 is F or CF 3 , R2 is CHF 2 , CH 2 F, SH or SOH, R3 and R4 are each independently H, F or CHF 2 , In Formula 2, R5 is F, or CF 3 , and R6 is F, H, CHF 2 , or CH 2 F)
[Formula 3]
Figure 112021013768013-pat00014
청구항 6에 있어서,
상기 화학식 1로 표시되는 화합물은
- 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0),
- 1,1,1,3,3-pentafluoropropane (CAS No. 460-73-1), 및
- 1,1,2,2,3-pentafluoropropane (CAS No. 679-86-7)으로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 6,
The compound represented by Formula 1 is
-1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0),
-1,1,1,3,3-pentafluoropropane (CAS No. 460-73-1), and
-Etching gas composition for a contact process, characterized in that at least one selected from the group consisting of 1,1,2,2,3-pentafluoropropane (CAS No. 679-86-7).
청구항 6에 있어서,
상기 화학식 2로 표시되는 화합물은 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), 및 3,3,3-trifluoropropene (CAS No. 677-21-4)으로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는, 콘택트 공정용 식각 가스조성물.
The method of claim 6,
The compound represented by Formula 2 is 2,3,3,3-tetrafluoropropene (CAS No. 754-12-1), 2,3,3-trifluoropropene (CAS No. 158664-13-2), and 3,3 ,3-trifluoropropene (CAS No. 677-21-4), characterized in that at least one selected from the group consisting of, a contact process etching gas composition.
청구항 5에 있어서,
상기 제2 성분은 C3H2F6로 표시되는 화합물인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 5,
The second component is an etching gas composition for a contact process, characterized in that the compound represented by C 3 H 2 F 6.
청구항 9에 있어서,
상기 제2 성분은 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0)인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 9,
The second component is 1,1,1,2,3,3-hexafluoropropane (CAS No. 431-63-0), characterized in that the etching gas composition for a contact process.
청구항 1에 있어서,
제1 성분의 플루오르화 탄화수소 화합물은 상기 제2 성분의 불소함유 유기화합물 당 0.001 내지 1000의 비율(부피부)로 포함되는 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method according to claim 1,
The fluorinated hydrocarbon compound of the first component is characterized in that it is contained in a ratio of 0.001 to 1000 per fluorine-containing organic compound of the second component (volume part), the etching gas composition for a contact process.
청구항 1에 있어서,
상기 제1 성분과 상기 제2 성분 이외에, C1 내지 C6의 퍼플루오로카본 화합물인 제3 성분의 유기불소 화합물과 탄소수 C1 내지 C5인 플루오르화 탄화수소 화합물인 제4 성분의 유기불소 화합물로 이루어진 군으로부터 선택되는 적어도 하나 이상의 화합물을 더 포함하며, 상기 제3 성분 및 제4 성분의 유기불소 화합물은 상기 제1 성분 및 제2 성분의 화합물과는 다른 화합물인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method according to claim 1,
In addition to the first component and the second component, from the group consisting of an organic fluorine compound of the third component, which is a C1 to C6 perfluorocarbon compound, and an organic fluorine compound of the fourth component, which is a fluorinated hydrocarbon compound having C1 to C5, Etching gas composition for a contact process, further comprising at least one selected compound, wherein the organic fluorine compound of the third component and the fourth component is a compound different from the compound of the first component and the second component .
청구항 12에 있어서,
상기 제3 성분 및 제4 성분 중 적어도 하나의 성분은 상기 제2 성분을 기준으로, 0.001 내지 1000의 비율(부피부)로 혼합되는 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 12,
At least one component of the third component and the fourth component is mixed in a ratio of 0.001 to 1000 (volume) based on the second component.
청구항 12에 있어서,
상기 제3 성분은, C 및 F를 포함하고 수소 원자를 포함하지 않는 것으로서 포화 또는 불포화 화합물인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 12,
The third component contains C and F and does not contain a hydrogen atom, and is a saturated or unsaturated compound.
청구항 12에 있어서,
상기 제3 성분은, C 및 F와 그리고 다른 헤테로 원자를 포함하고 수소 원자를 포함하지 않는 것으로서 포화 또는 불포화 화합물인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 12,
The third component is a saturated or unsaturated compound containing C and F and other heteroatoms and not containing a hydrogen atom. Etching gas composition for a contact process.
청구항 12에 있어서,
상기 제3 성분의 유기불소 화합물에는 C4F6, C3F6, C5F8, C6F6, C4F8, C2F6, CF4 또는 이들의 조성물이 포함되는 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 12,
The organofluorine compound of the third component includes C 4 F 6 , C 3 F 6 , C 5 F 8 , C 6 F 6 , C 4 F 8 , C 2 F 6 , CF 4 or a composition thereof. Etching gas composition for a contact process.
청구항 12에 있어서,
상기 제4 성분의 유기불소 화합물은 C, H, F를 포함하는 포화 또는 불포화 화합물인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 12,
The organic fluorine compound of the fourth component is characterized in that the saturated or unsaturated compound containing C, H, F, etching gas composition for a contact process.
청구항 17에 있어서,
상기 제4 성분의 유기불소 화합물은 H:F의 비가 1:1, 1:2, 1:3을 포함하는 1:1 이상, 또는 2:1, 3:1을 포함하는 2:1 이상인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 17,
The organic fluorine compound of the fourth component is characterized in that the ratio of H:F is 1:1, 1:2, 1:3, including 1:1 or more, or 2:1, 3:1, including 2:1 or more Etching gas composition for a contact process.
청구항 1에 있어서,
반응성 가스를 더 포함하는 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method according to claim 1,
Etching gas composition for a contact process, characterized in that it further comprises a reactive gas.
청구항 19에 있어서
상기 불활성 가스는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 질소 (N2), 크립톤 (Kr), 제논 (Xe) 또는 이들의 조성물이고, 상기 반응성 가스는 산소 (O2), 일산화탄소 (CO), 이산화탄소 (CO2), 일산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 수소 (H2), 암모니아 (NH3), 불화수소 (HF), 이산화황 (SO2), 이황화 탄소 (CS2), 황화카르보닐 (COS), CF3I, C2F3I, C2F5I 및 이들의 조성물로 이루어진 군으로부터 선택되는 하나 이상인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 19
The inert gas is argon (Ar), helium (He), neon (Ne), nitrogen (N 2 ), krypton (Kr), xenon (Xe) or a composition thereof, and the reactive gas is oxygen (O 2 ), Carbon monoxide (CO), carbon dioxide (CO 2 ), nitrogen monoxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), hydrogen (H 2 ), ammonia (NH 3 ), hydrogen fluoride (HF), sulfur dioxide (SO 2 ), carbon disulfide (CS 2 ), carbonyl sulfide (COS), CF 3 I, C 2 F 3 I, C 2 F 5 I, characterized in that at least one selected from the group consisting of , Etching gas composition for a contact process.
청구항 20에 있어서,
상기 반응성 가스는 산소 (O2)인 것을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 20,
The reactive gas is oxygen (O 2 ), characterized in that, the etching gas composition for a contact process.
청구항 20에 있어서,
상기 불활성 가스는 상기 식각 가스 조성물 100 부피부에 대하여, 1 내지 10,000 부피부로 더 포함되고, 상기 반응성 가스는 상기 식각 가스 조성물 100 부피부에 대하여, 0.1 내지 10,000 부피부로 더 포함을 특징으로 하는, 콘택트 공정용 식각 가스 조성물.
The method of claim 20,
The inert gas is further included in an amount of 1 to 10,000 parts by volume with respect to 100 parts by volume of the etching gas composition, and the reactive gas is further included in an amount of 0.1 to 10,000 parts by volume with respect to 100 parts by volume of the etching gas composition. , Etching gas composition for a contact process.
청구항 1, 및 4 내지 22 중 어느 하나의 항에 따른 콘택트 공정용 식각 가스 조성물을 이용하여 상부 구조물 형성단계, 하부 구조물층 형성단계, 콘택트 형성을 위한 상부 구조물을 식각하는 단계를 포함하는 것을 특징으로 하는, 반도체 메모리 소자의 제조공정.A method comprising the step of forming an upper structure, forming a lower structure layer, and etching an upper structure for forming a contact using the etching gas composition for a contact process according to any one of claims 1 and 4 to 22. To, the manufacturing process of the semiconductor memory device. 청구항 23에 있어서,
상기 하부 구조물층은 텅스텐 (W), 구리 (Cu), 알루미늄 (Al), 몰리브데넘 (Mo) 또는 코발트 (Co)인 것을 특징으로 하는, 반도체 메모리 소자의 제조공정.
The method of claim 23,
The lower structure layer is a manufacturing process of a semiconductor memory device, characterized in that tungsten (W), copper (Cu), aluminum (Al), molybdenum (Mo), or cobalt (Co).
청구항 23에 있어서,
상기 상부 구조물은 BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO2 막, Si3N4 막, SiN 막 또는 SiON 막인 것을 특징으로 하는, 반도체 메모리 소자의 제조공정.
The method of claim 23,
The upper structure is BPSG (Boro Pospho Silicate Glass), PSG (Pospho Silicate Glass), BSG (Boro Silicate Glass), SiO 2 film, Si 3 N 4 film, SiN film or SiON film, characterized in that the semiconductor memory device Manufacture process.
청구항 23에 있어서,
상기 막을 식각하는 단계는 -100 내지 500 ℃, -10 내지 200 ℃, 또는 10 내지 100 ℃의 기판 온도 설정하에서 수행되는 것을 특징으로 하는, 반도체 메모리 소자의 제조공정.
The method of claim 23,
The step of etching the film is characterized in that performed under a substrate temperature setting of -100 to 500 ℃, -10 to 200 ℃, or 10 to 100 ℃, the manufacturing process of the semiconductor memory device.
청구항 23에 있어서,
상기 식각 가스 조성물에 에너지를 인가하여 얻어지는 플라즈마를 이용하여 상기 막을 식각하는 것을 특징으로 하는, 반도체 메모리 소자의 제조공정.
The method of claim 23,
A process of manufacturing a semiconductor memory device, characterized in that the film is etched using plasma obtained by applying energy to the etching gas composition.
청구항 23 내지 청구항 27 중 어느 하나의 반도체 메모리 소자의 제조 공정으로 형성된 반도체 메모리 소자.A semiconductor memory device formed by the manufacturing process of any one of claims 23 to 27. 청구항 28에 있어서,
상기 반도체 메모리 소자가 V-NAND, DRAM, 또는 Logic 인것을 특징으로 하는, 반도체 메모리 소자.
The method of claim 28,
A semiconductor memory device, characterized in that the semiconductor memory device is V-NAND, DRAM, or Logic.
KR1020210015105A 2021-02-03 2021-02-03 Etch gas mixture with high selectivity and pattern formation method using the same KR102244885B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210015105A KR102244885B1 (en) 2021-02-03 2021-02-03 Etch gas mixture with high selectivity and pattern formation method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210015105A KR102244885B1 (en) 2021-02-03 2021-02-03 Etch gas mixture with high selectivity and pattern formation method using the same

Publications (1)

Publication Number Publication Date
KR102244885B1 true KR102244885B1 (en) 2021-04-27

Family

ID=75726045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210015105A KR102244885B1 (en) 2021-02-03 2021-02-03 Etch gas mixture with high selectivity and pattern formation method using the same

Country Status (1)

Country Link
KR (1) KR102244885B1 (en)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100680969B1 (en) 2005-08-18 2007-02-09 주식회사 하이닉스반도체 Method for forming wsix thin film
KR20100098580A (en) * 2008-01-04 2010-09-07 마이크론 테크놀로지, 인크. Method of etching a high aspect ratio contact
KR20140090241A (en) * 2012-10-30 2014-07-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Fluorocarbon molecules for high aspect ratio oxide etch
KR20150136103A (en) * 2013-03-28 2015-12-04 더 케무어스 컴퍼니 에프씨, 엘엘씨 Hydrofluoroolefin etching gas mixtures
KR20160105407A (en) * 2013-12-30 2016-09-06 더 케무어스 컴퍼니 에프씨, 엘엘씨 Chamber cleaning and semiconductor etching gases
KR20190077587A (en) 2016-11-23 2019-07-03 램 리써치 코포레이션 Stepped bag for 3D NAND manufacturing
KR20200018627A (en) * 2017-06-27 2020-02-19 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Etching Methods and Plasma Etching Materials

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100680969B1 (en) 2005-08-18 2007-02-09 주식회사 하이닉스반도체 Method for forming wsix thin film
KR20100098580A (en) * 2008-01-04 2010-09-07 마이크론 테크놀로지, 인크. Method of etching a high aspect ratio contact
KR20140090241A (en) * 2012-10-30 2014-07-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Fluorocarbon molecules for high aspect ratio oxide etch
KR20150136103A (en) * 2013-03-28 2015-12-04 더 케무어스 컴퍼니 에프씨, 엘엘씨 Hydrofluoroolefin etching gas mixtures
KR20160105407A (en) * 2013-12-30 2016-09-06 더 케무어스 컴퍼니 에프씨, 엘엘씨 Chamber cleaning and semiconductor etching gases
KR20190077587A (en) 2016-11-23 2019-07-03 램 리써치 코포레이션 Stepped bag for 3D NAND manufacturing
KR20200018627A (en) * 2017-06-27 2020-02-19 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Etching Methods and Plasma Etching Materials

Similar Documents

Publication Publication Date Title
US10868143B2 (en) Spacers with rectangular profile and methods of forming the same
US6844266B2 (en) Anisotropic etching of organic-containing insulating layers
US7964512B2 (en) Method for etching high dielectric constant materials
JP5671253B2 (en) Manufacturing method of semiconductor device
US8053323B1 (en) Patterning methodology for uniformity control
US20220359202A1 (en) Method for forming semiconductor structure
US20080023144A1 (en) Dielectric etch tool configured for high density and low bombardment energy plasma providing high etch rates
US20030036287A1 (en) Precision dielectric etch using hexafluorobutadiene
TWI797841B (en) Method to improve profile control during selectively etching of silicon nitride spacers
US11251051B2 (en) Dry etching method
US20040035825A1 (en) Dry etching gas and method for dry etching
KR20080060376A (en) Method for manufacturing semiconductor device
US20010030169A1 (en) Method of etching organic film and method of producing element
CN110970394A (en) Semiconductor structure and method for semiconductor process
KR102244885B1 (en) Etch gas mixture with high selectivity and pattern formation method using the same
US20050161640A1 (en) Etching gas composition for silicon oxide and method of etching silicon oxide using the same
US6900140B2 (en) Anisotropic etching of organic-containing insulating layers
CN107516646A (en) The forming method of semiconductor devices
KR102244862B1 (en) Etch gas mixture and pattern formation method using the same
US10998225B2 (en) Semiconductor device and method of forming the same
CN110073467B (en) Method for providing low-k spacers
JP2003133287A (en) Dry-etching method
JP2002289577A (en) Etching method of thin film of material containing organic silicon compound deposited on substrate
US20240162042A1 (en) Etching methods with alternating non-plasma and plasma etching processes
KR20240002570A (en) Method for manufacturing hard mask and method for manufacturing semiconductor device using the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant