TWI657535B - 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成 - Google Patents

用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成 Download PDF

Info

Publication number
TWI657535B
TWI657535B TW104120661A TW104120661A TWI657535B TW I657535 B TWI657535 B TW I657535B TW 104120661 A TW104120661 A TW 104120661A TW 104120661 A TW104120661 A TW 104120661A TW I657535 B TWI657535 B TW I657535B
Authority
TW
Taiwan
Prior art keywords
dielectric
layer
barrier layer
diffusion barrier
semiconductor substrate
Prior art date
Application number
TW104120661A
Other languages
English (en)
Other versions
TW201614769A (en
Inventor
湯瑪士 韋勒 茂希爾
惠榮 吳
巴德里N 凡拉德拉彥
納葛 珊卡
威廉T 李
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201614769A publication Critical patent/TW201614769A/zh
Application granted granted Critical
Publication of TWI657535B publication Critical patent/TWI657535B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

將介電擴散阻障層沉積於一基板上,該基板具有蝕刻於暴露的層間介電層中的穿孔以及上覆的凹槽,其中在該穿孔的底部,有來自下層內連線的暴露的金屬。為了提供從下層的金屬化層到形成於其上方的金屬化層之傳導路徑,將該介電擴散阻障層選擇性形成在該層間介電層上,而非在該穿孔的底部之暴露的金屬上。在一範例中,使用遠端電漿沉積以及含有矽與氮原子兩者的前驅物,來將介電SiCN擴散阻障層選擇性沉積在該層間介電層上。大致上,可在該層間介電層上選擇性形成具有介電常數介於約3.0-20.0的多種介電擴散阻障層之材料。

Description

用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成
本發明屬於在半導體處理期間形成材料層的方法。具體而言,本發明屬於選擇性形成金屬內連線的介電阻障層的方法。
鑲嵌處理為在積體電路上形成內連線的一方法。其涉及在蝕刻於一介電層(層間介電層或ILD)中的凹槽與穿孔內,形成鑲嵌的(inlaid)金屬線路。鑲嵌處理通常為較佳的整合方法,因為其比其他方法要求較少的處理步驟,且提供較高的良率。其亦特別地合適於不能以電漿蝕刻而輕易地圖案化的金屬,例如銅。
在一典型的鑲嵌製程流程中,將銅沉積於圖案化的介電層上,以填入被蝕刻於介電層中的凹槽與穿孔中。作為結果的金屬化層,典型地係直接地形成在乘載著主動裝置的層上、或形成在更低層的金屬化層上。在填入銅之前,以阻障層材料之薄層將穿孔與凹槽加內襯,其避免銅擴散進入ILD層。傳統上,使用傳導性金屬基材料(例如鉭、鈦、以及該者之氮化物)作為特徵部內的擴散阻障層。替代地,如提供於本文中呈現之實施例般,可使用非傳導性、介電質基擴散阻障層。在一般的積體電路(IC)中,多個內連線層堆疊在彼此之頂部,其中已填入金屬的穿孔和凹槽作為IC中的主動與被動元件之間的傳導路徑。在各個金屬層級中,線(或線路)跨越電路而水平地傳遞訊號,而在層級與層級之間,穿孔允許訊號垂直地通過。
該等內連線之製程中存在一些挑戰,該等挑戰隨著IC裝置的特徵部之尺寸繼續縮小而愈來愈顯著。在本文中透過提供介電性的,而非傳導性的穿孔內擴散阻障層,來解決一部分的該等挑戰。
在許多實施例中,提供用於形成介電質基銅擴散阻障層(或避免其他金屬擴散進入ILD的阻障層)的方法、設備、及系統。一般的基板(介電擴散阻障層沉積在其上方)具有蝕刻於ILD的暴露層中的穿孔以及上覆的凹槽,其中在該穿孔的底部,有來自下層內連線的暴露的金屬。為了提供從下方的金屬化層到形成於其上方的金屬化層之傳導路徑,必須將介電擴散阻障層選擇性形成在ILD層上,而非在穿孔的底部之暴露的金屬上。
介電擴散阻障層之選擇性形成,可為單一步驟或多步驟之處理。在選擇性形成的一實施中,將介電擴散阻障層沉積在ILD層上,而非在穿孔底部而沉積於金屬層上。選擇性可透過下列之一或更多方法達成:(i) 使用擴散阻障層前驅物,其配置用於ILD層上之選擇性沉積;(ii)使用沉積處理參數,其配置用於選擇性沉積;(iii) 使ILD對於沉積作用活化;(iv) 使金屬對於沉積作用鈍化 (例如藉由氮化作用),以及(v)該等方法之組合。在選擇性形成的另一實施中,將介電擴散阻障層,以比沉積在金屬上者更大的厚度,沉積在ILD層上,然後接續著將其從金屬上移除,而不從ILD層上完全地移除。沉積期間的部分選擇性(厚度差異),相似地可透過下列之一或更多方法達成:(i) 使用擴散阻障層前驅物,其優先地在ILD層上產生沉積;(ii) 使用沉積處理參數,其配置用於ILD層上之優先沉積;(iii) 使ILD對於沉積作用活化;(iv) 使金屬對於沉積作用鈍化(例如藉由氮化作用),以及(v)該等方法之組合。
在一些實施中,提供一方法,其包括:(a) 在基板的ILD層上選擇性形成介電擴散阻障層,該基板具有蝕刻於ILD層中的穿孔以及上覆的凹槽,其中有來自下方內連線層級的金屬被暴露在該穿孔的底部 ;(b)以金屬(例如銅、鈷、鎳、鎢、或該等金屬之合金)填入該穿孔與凹槽中,使得填入的金屬與穿孔底部上的金屬接觸;以及(c)若多餘的金屬存在於基板的場區上,則將其移除。可取捨地,在(b)中將內凹的特徵部填入之前,在形成於(a)的介電擴散阻障層上,一致性形成傳導性內襯層(例如Ru內襯層),以改善該等層之間的附著力。
被沉積的介電擴散阻障層由能夠避免金屬(例如銅、鈷、鎳、鎢、或該等金屬之合金)擴散進入ILD層的材料製成。在一些實施例中,介電擴散阻障層亦配置以避免水分或其他汙染物從ILD遷移進入被填入金屬的線路與穿孔中。合適的介電擴散阻障層為非孔洞性、具機械強度的材料,其典型地具有一介電常數,稍微高於周圍的ILD層的介電常數。例如,可使用介電常數介於約3.0-20.0的材料,更佳地,介於約3.5-8.0。
合適的介電材料之範例包括(不受限制):摻雜或未摻雜的矽碳化物(例如SiC、SiCN、SiCO)、摻雜或未摻雜的矽氮化物、含硼介電質(例如 BC、 SiBC、 BCN等)、金屬氧化物 (例如 TiOx )、金屬矽酸鹽(例如 MnSiOx )、金屬碳化物 (例如WC)、金屬氮化物(例如 AlN)、以及金屬碳氮化物(例如WCN)。應注意的係,部分的傳導性金屬氮化物,例如傳統上用作擴散阻障層的傳導性的TiN、及TaN,從所提供之實施例中排除。
可使用多樣的方法來沉積介電擴散阻障層,方法包括(但不限於):熱化學氣相沈積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、以及濺鍍或物理氣相沉積(PVD)。
在一些實施例中,介電擴散阻障層之選擇性形成,係藉由減少對ILD層的電漿暴露破壞之方法來完成,ILD層通常由化學性與機械性弱的材料製成,例如孔洞性、摻雜C的、氧化矽基材料。該等方法不在基板周圍施用高能量離子,並包括遠端(remote)電漿PECVD、低功率PECVD、熱CVD、熱ALD、以及其他。
在一些實施例中,將所提供的方法整合到包括微影圖案化的製程方案,其更包括:將光阻劑塗布於基板上;將光阻劑暴露到光;將光阻劑圖案化,並將圖案轉移至基板;以及將光阻劑從基板上選擇性移除。
在另一態樣,提供用於選擇性形成介電擴散阻障層的設備。該設備包括一處理腔室以及一控制器,其含有程式指令,用於執行本文中提供之任何方法。
在一些實施例中,提供一系統,其中該系統包括本文中描述的該設備、以及一步進器。
在另一態樣,提供一非暫態電腦機械可讀取電腦媒介,其中該媒介包括用於沉積設備的程式指令,其含程式碼,用於執行本文中描述之方法的任何操作。
本發明之該等及其他特徵與優點,將參考隨附圖式於下方詳細地描述。
在習知的鑲嵌處理中,將金屬基擴散阻障層(例如Ta/TaN雙層、或Ti/TiN雙層)施加於穿孔與凹槽之側壁上,以避免從填入銅的特徵部到周圍的ILD層的擴散作用。因為IC裝置的持續微型化,凹入的特徵部尺寸(穿孔與凹槽的尺寸)亦繼續縮小。相對應地,隨著各技術節點演進,為了維持最大可能的銅線路截面積,而減少特徵部內的阻障層厚度。然而,擴散阻障層的厚度不可能被無限地減少。在某一厚度之下,阻障層停止作為有效的阻障層。此外,金屬基擴散阻障層一般以物理氣相沉積(PVD)來沉積,而此方法難以保形地沉積擴散阻障層。PVD薄膜常常在特徵部之開口上呈現突出與凹入的輪廓,而干擾後續的銅填入。因為特徵部尺寸持續縮小,所以一旦達到最小的阻障層厚度限制,阻障層材料將消耗不斷增進的銅導體截面積的比例。因為金屬基阻障層的電阻大於銅的電阻,將不利於整體的線路與穿孔的電阻。
在本文中提供之實施例中,使用介電擴散阻障層作為對傳統使用之傳導性阻障層的替代方案。使用介電擴散阻障層,透過替換金屬線路之間一部分的低k介電性材料,可維持最大的導體截面積,並可反而消耗ILD的間距。大部分的介電阻障層材料具有一介電常數(k),其大於周圍的ILD的介電常數,而產生增加的線路電容。但因為在線路上下的電場的分散(dispersion),此影響將被削減。再者,由於蝕刻步驟,最初幾奈米的ILD被破壞,且具有更高的k值。將其替換成有目的地沉積的介電阻障層,能在導體周圍產生無效的k值(以及電容)增加。藉由使用合適的介電阻障層材料,電阻(R)的有利的減少量,超過電容(C)的增加量,而產生電阻-電容延遲(RC)之淨減少量。
將介電質基擴散阻障層整合到鑲嵌製程流程的主要挑戰之一,為達成連續線路層級(金屬化層)之間的電氣導通。大部分用於成長介電薄膜的製程,係在所有的暴露表面上沉積材料,該暴露表面包括穿孔底部的表面,而兩個金屬層級應該在該表面上產生電性接觸。因此,在金屬化之前,必須將穿孔底部的接觸區域中的介電材料移除。然而,必須在不降解該基板之其他部分(穿孔的側壁、凹槽的側壁、及尤其凹槽的底部)的介電阻障層之情況下,完成此材料之移除。
為了解決此困難,提供一方法,用於在暴露金屬存在的情況下,在ILD表面上選擇性形成介電阻障層。介電阻障層之選擇性形成可為單一步驟或多步驟的製程。在選擇性形成的一實施例中,將介電阻障層沉積在ILD層上(例如在穿孔及凹槽之側壁上、在凹槽之底部及場區上),且不在穿孔底部沉積於金屬層上。此選擇性可藉由下列方法達成: (i) 使用擴散阻障層沉積前驅物,其配置用於ILD層上之選擇性沉積;(ii)使用沉積處理參數,其配置用於選擇性沉積;(iii) 使ILD對於沉積作用活化;(iv) 使金屬對於沉積作用鈍化(例如藉由氮化作用),以及(v)該等方法之組合。
在選擇性形成的一實施中,將介電擴散阻障層,以比沉積在金屬上者更大的厚度,沉積在ILD層上(在本文中亦稱為非保形性沉積),然後將其從金屬上移除,但不從ILD層上完全地移除。例如,比起在金屬(在穿孔底部)上,在ILD(例如在凹槽底部)上將介電擴散阻障層沉積至更大的厚度,然後將其從該穿孔底部上移除,以暴露金屬,但不從ILD層上完全地移除。已知在一些實施中,沒有必要在所有的ILD表面上,將介電阻障層材料沉積至比在金屬表面上者更大的厚度。舉例來說,在一些實施中,在穿孔側壁上之ILD上的覆蓋率,不必大於在穿孔底部上之金屬上的覆蓋率,因為與從垂直表面移除相比,一些蝕刻處理可更有效地將材料從水平表面移除,藉此提供必要的選擇性。沉積期間之部分選擇性(厚度差異)可相似地透過下列方法來達成:(i)使用擴散阻障層沉積前驅物,其優先地在ILD層上產生沉積;(ii) 使用沉積處理參數,其配置用於ILD層上之優先沉積;(iii) 使ILD對於沉積作用活化;(iv)使金屬 對於沉積作用鈍化(例如藉由氮化作用),或(v)該等方法之組合。
本文中提供之方法,可使用於在ILD層與多樣的金屬(例如銅、鈷、鎳、鎢、或該等金屬之合金)之間的介面上形成介電阻障層。雖然提供的方法可使用於處理具有各種尺寸的內凹特徵部(穿孔與凹槽)的基板,但該等方法尤其適合於建立狹小的金屬線路。在一些實施例中,使用該等方法所形成的金屬線路(在穿孔與凹槽兩者中)的寬度介於約5-45nm,例如介於12-25nm。
一般合適的介電擴散阻障層為非孔洞性、具機械強度的材料,其具有一介電常數,稍微高於周圍的ILD層的介電常數。例如,可使用介電常數介於約3.0-20.0的材料,更佳地,介於約3.5-8.0。
介電擴散阻障層之合適的厚度,取決於阻障層材料的特定性質,包括其介電常數、及其擴散阻障層之性質。大致上,可形成具有介於5-50Å之厚度的阻障層。
合適的介電材料之範例包括(不受限制):摻雜或未摻雜的矽碳化物(例如SiC、SiCN、SiCO)、摻雜或未摻雜的矽氮化物、含硼介電質(例如 BC、 SiBC、 BCN等)、金屬氧化物 (例如 TiOx )、金屬矽酸鹽(例如 MnSiOx )、金屬碳化物 (例如WC)、金屬氮化物(例如 AlN)、以及金屬碳氮化物(例如WCN)。
介電擴散阻障層可使用多樣的方法來沉積,該等方法包括(但不限於): 熱化學氣相沈積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、以及濺鍍或物理氣相沉積(PVD)。
有關於介電阻障層之選擇性沉積的實施,以圖1A-1C所示之部分已製成的半導體基板的橫剖面繪圖來圖解、並在圖3A所示之流程圖中說明。本文中使用的「半導體基板」並不限於IC裝置的半導體部分,而可以廣泛地定義為含半導體的基板。參考圖3A,該製程從301開始,提供半導體裝置,其具有一介電層、以及蝕刻在該介電層中的穿孔與上覆的凹槽,其中有金屬被暴露在該穿孔之底部。圖1A提供此種基板101的橫剖面繪圖。該基板包括由低k介電材料(例如孔洞性氧化矽基介電質)組成的第一ILD層103、以及嵌入ILD層103中的銅線107。薄的擴散阻障層105位在ILD層103與銅線107之間的介面,以避免銅擴散進入介電層。擴散阻障層105可為傳統的金屬基層,其含Ta、Ti、W、TaN、TiN、WN中之一或更多者,或在一些實施例中,層105為本文中提供之介電阻障層,例如摻雜或未摻雜的碳化矽或氮化矽層。第二ILD層109(典型地亦為低k介電層),位在第一ILD層103上方,且透過薄的蝕刻停止或擴散阻障層110(例如碳化矽或氮化矽基層)來分隔其二者,以避免銅從銅線107擴散進入頂部的ILD層109。穿孔111形成在ILD層109中,使得下方的銅線107被暴露在穿孔111之底部。凹槽112被蝕刻在穿孔111上方。
為了確保在下與上的金屬層之間通過穿孔的傳導性,在圖3A所示之實施例中,在操作303中,將介電擴散阻障層選擇性沉積在介電層上,而不沉積在穿孔底部的金屬上。作為結果的結構呈現於圖1B中,其中在穿孔111的側壁上、在凹槽112的底部與側壁上、以及在該裝置的場區上,將選擇性沉積的介電阻障層113,塗布於ILD層109上,但在穿孔111之底部的暴露的金屬上,則未以介電阻障層113塗布。接下來,參考圖3A,在操作305中,使用金屬填入穿孔111與凹槽112中。例如,將薄的金屬晶種層沉積於基板表面之後,可使用電鍍方法以銅填入穿孔中。在另一範例中,可使用無電鍍沉積法,將鈷或銅、或其他金屬填入穿孔中。在一些情況下,當穿孔與凹槽被填入時, 一層多餘的金屬覆蓋物會同時地沉積在凹槽、及基板的場區上。在此情況下,在操作307中,將多餘的金屬移除,例如,透過化學性機械拋光(CMP)方法。作為結果的部分已製成結構呈現於圖1C中。在此範例中,銅層115被填入穿孔中,並直接與下方的銅層107接觸。介電阻障層113位於ILD層109與銅層115之間的介面上。在圖解的範例中,銅覆蓋物已從場區上被移除,而介電阻障層113被保留在基板之場區上。再次參考圖3A之流程圖,在操作309中,視需要可將介電阻障層從基板之場區上移除,例如,透過接續的CMP。應注意的係,在一些實施例中,可將場區上的介電阻障層留下,並將其作為接續的金屬化層形成期間的擴散阻障層或蝕刻停止層。再次參考圖解於圖3A中之製程,在接續的操作311中,在基板上沉積新的ILD材料層。
在某些情況下,達成介電阻障層之沉積的完全選擇性可能係困難的。在此種情況中,介電阻障層之選擇性形成係透過下列方法達成: 非保形性沉積阻障層材料、接著蝕刻,而蝕刻係配置以移除在穿孔底部覆於金屬上的介電阻障層,但不將介電層上的阻障層材料完全地移除。在一些實施例中,沉積於水平的ILD表面(如場區或凹槽底部)上的介電阻障層的厚度,大於在穿孔底部沉積於金屬上的介電阻障層的厚度至少1.5倍、較佳地,至少2倍。此方法以圖3B所示之製程流程圖、及圖2A-2D所示之製造過程中的例示性裝置來圖解。
參考圖3B,相似於圖3A所示之前述製程,該製程始於313,提供部分已製成的半導體裝置,其具有形成於介電層的穿孔與凹槽,其中在該穿孔之底部有金屬。此種部分已製成的半導體裝置的範例呈現於圖2A。接下來,在操作315中,將介電阻障層非保形性沉積在該基板上,使得沉積在介電層上的介電阻障層材料,比在穿孔之底部沉積於金屬層上者更多。作為結果的結構呈現於圖2B中,其圖解了介電阻障層113被沉積在基板的所有表面(包括場區、凹槽之側壁、凹槽之底部、穿孔之側壁、及穿孔之底部)上,而在場區的介電層上的阻障層厚度,比在穿孔底部的金屬上的阻障層厚度更大。在一些實施例中,沉積在穿孔與凹槽之側壁、以及凹槽之底部的介電層表面上的阻障層厚度,亦比在穿孔底部沉積於金屬上的阻障層厚度更大。接下來,在操作317中,蝕刻位在穿孔底部的介電阻障層以將下方的金屬層暴露出來,但不將基板上的其他地方完全地蝕穿,,且不暴露ILD層。蝕刻後所得之結構呈現於圖2C中,其呈現出: 在穿孔111之底部,介電阻障層113被完全地移除而暴露了金屬層107,且同時其在場區與凹槽112之底部被薄化。蝕刻之後,阻障層材料仍覆蓋穿孔與凹槽的側壁、凹槽的底部、及場區。合適的材料移除方法,視所使用的介電阻障層的類型而定。例如,可透過含F電漿蝕刻處理來移除矽氮化物。接下來,相似於圖3A中所述之製程般執行處理。在步驟319中,將金屬填入穿孔,然後在步驟312中,視需要可將基板平坦化,例如將金屬覆蓋物(若存在) 移除,而產生如圖2D所示之結構。視需要可將介電阻障層從場區上移除。接下來,在操作323,將第二層間介電層沉積在基板上,例如使用CVD、或旋塗方法。 選擇性
介電阻障層之沉積的選擇性可使用許多方法來達到。在一些實施例中,將介電阻障層材料選擇性沉積在基板的介電層表面上,但不沉積在穿孔之底部上。在其他實施例中,將介電阻障層非保形性沉積,使得沉積在介電層表面上的介電阻障層,比在穿孔之底部沉積於金屬上者更多。然後可透過蝕刻來移除在穿孔之底部的材料,但未將在基板的其他位置上的阻障層材料完全地移除。
在一些實施例中,沉積的選擇性可透過以下方法增強:使用沉積前驅物之分子,其含有兩個或更多的(更佳的係全部)在總的介電薄膜中存在的元素。例如,在此實施例中,對於SiCN層之沉積而言,使用含Si、及C,且更佳地,Si、C、及N的含矽分子。合適的前驅物之範例,包括胺基矽烷(例如雙二甲基胺基甲基矽烷)、以及含碳矽氮烷。相似地、對於SiCO層之沉積而言,較佳的係含Si、C、及O的前驅物,例如烷氧基矽烷、以及含碳矽氧烷。對於SiCNO層之沉積而言,使用含Si、C、N、O元素中之至少2者(更佳地為3者)的前驅物的混合物,例如胺基矽烷與烷氧基矽烷之混合物。在該等實施例之一者中,選擇性或非保形性沉積介電擴散阻障層的方法包括: (a) 提供在 ILD 層中有穿孔與凹槽的一基板,其中在該穿孔之底部有暴露的金屬; (b) 在未放置該基板的分離的電漿腔室中,於處理氣體(例如H2 )中形成電漿; (c) 透過饋送導管,將形成於電漿中的自由基提供到放置該基板的處理腔室中,並允許自由基吸附在該基板上; (d) 將前驅物提供到放置該基板的處理腔室中,而該前驅物含有被沉積的介電阻障層的元素中之至少2者(較佳地,該等元素中之至少3者),並允許該前驅物與該等自由基反應,以選擇性或部分選擇性(非保形性)形成介電擴散阻障層。
在一些實施例中,選擇性或部分選擇性沉積,係透過使用為此結果而配置的製程以及製程條件來達到。例如,在一些實施例中,較佳的係使用遠端電漿沉積,而非直接式電漿沉積,因為前者增強穿孔側壁的覆蓋率,並整體地有助於增強選擇性。
在一些實施例中,可透過下列方式促進介電擴散阻障層之選擇性或部分選擇性沉積: 對於沉積作用,活化暴露在基板上之介電層。如圖4A之製程流程圖所示,該製程從401開始,提供部分已製成半導體裝置,其具有形成在介電層中的穿孔,其中在該穿孔之底部有暴露的金屬。接下來,在操作403中,使介電層對於介電阻障層之沉積作用活化。活化之後,在操作405中,介電阻障層被主要地或排他地沉積在已活化的介電層之表面上。在操作407中,若介電擴散阻障層材料存在於穿孔之底部,則將其移除,以暴露金屬。
在一些實施例中,可透過下列方式促進介電擴散阻障層之選擇性或部分選擇性沉積:將在穿孔的金屬層鈍化,以抵抗阻障層的沉積。如圖4B的製程流程圖所示,該製程從409開始,提供部分已製成半導體裝置,其具有形成在介電層中的穿孔,其中在該穿孔之底部有暴露的金屬。接下來,在操作411中,將在穿孔之底部的金屬鈍化,以抵抗介電阻障層的沉積。鈍化之後,在操作143中,將介電阻障層主要地或排他地沉積在已活化的介電層之表面上。在操作415中,若介電擴散阻障層材料存在於該穿孔之底部,則將其移除,以暴露金屬。
舉例來說,在一些實施例中,提供含有銅在穿孔之底部的基板。透過在銅的表面上形成一層氮化銅來將銅鈍化,其係例如,藉由將基板與電漿中的含氮氣體進行接觸而達成。
選擇性增強處理的其他類型包括:熱處理、UV處理、遠端電漿處理、以及直接式電漿處理。該等處理的類型中之一或更多者,可在本文所述之任一介電擴散阻障層的沉積之前執行,以增強選擇性,但特別合適的係,在金屬氮化物(例如AlN)與金屬碳化物(例如WC)之CVD或ALD沉積之前執行。在熱處理的一個範例中,在無電漿的情況下,較佳地,在還原氣體(例如H2 )存在的情況下,以至少約300℃的溫度來加熱基板,而該基板含有暴露的ILD層、及在穿孔底部的暴露的金屬層。在UV處理的一實施中,在還原氣體(例如NH3 )存在的情況下,以至少約300℃的溫度,使用UV燈以UV光照射基板。在遠端電漿處理的一實施中,以NHX 自由基接觸基板,而該NHX 自由基係在一分離的腔室(帶有NH3 )中透過形成電漿而於遠端產生,然後被提供到放置該基板的處理腔室中。在直接式電漿處理的一實施中,以電漿來處理該基板,而該電漿係在放置基板的處理腔室中形成,在該處理腔室中,電漿形成於含有NH3 與N2 之一或更多者的處理氣體中。
在金屬鈍化選擇性增強處理的範例中,提供一基板,其含有暴露的ILD層、以及在穿孔(形成在該ILD層中)之底部的暴露的金屬(例如銅)。將基板與含硫醇基化合物進行接觸,其中該含硫醇基化合物在該穿孔之底部形成自組裝單層膜於該金屬的表面上。對於介電擴散阻障層的沉積作用,該自組裝單層膜將金屬表面鈍化。接下來,將該介電擴散阻障層排他地或優先地沉積在ILD之表面上。例如, 以ALD所沉積的SiOC或SiNC介電阻障層,為此實施例的合適的阻障層。將介電擴散阻障層從穿孔之底部移除(若存在),然後將自組裝單層膜從穿孔之底部移除,例如藉由退火(例如以至少約300℃的溫度加熱基板)。
在一些實施例中,可使用表面活化與去活化之組合。 實例
可使用下列選擇性增強處理技術中的一或更多者,將含Si介電擴散阻障層(例如摻雜或未摻雜的矽氮化物與矽碳化物)主要地或排他地沉積在ILD層上。首先,在一些實施例中,較佳的係使用遠端電漿沉積來沉積含Si介電層。在此沉積方法中,電漿係在一腔室中被遠端地產生,而該腔室與放置基板的處理腔室分離。該電漿係在一反應氣體中產生,而該反應氣體可包括H2 、N2 、及NH3 之一或更多者。在電漿生成腔室中的該反應氣體不包括含Si前驅物。產生電漿之後,透過饋送導管,將形成於電漿中的自由基傳送到該處理腔室中,並允許其吸附到該基板之表面上。與該反應氣體分開地,將含Si前驅物輸送到該處理腔室中。該含Si前驅物與該基板表面上的自由基發生反應,而形成一層含Si介電材料,例如SiCN、SiCO、SiCNO、以及其他。遠端電漿的使用係有利的,因為其提供更佳的穿孔側壁覆蓋率,並且比起仰賴直接式電漿之使用的方法,其產生較小的ILD破壞。再者,已知為了改良沉積選擇性,較佳的係使用含Si前驅物,其在一分子中含有矽與氧、或矽與氮、或矽、氮、及氧。合適的前驅物包括胺基矽烷、烷氧基矽烷、含碳矽氮烷、含碳矽氧烷、以及該者之混合物。例如,在該等實施例中的SiCN,可使用胺基矽烷(例如雙二甲基胺基甲基矽烷)或矽氮烷來沉積。可使用烷氧基矽烷或矽氧烷作為前驅物來沉積SiCO。可使用烷氧基矽烷與胺基矽烷之混合物來沉積SiCNO。與使用電漿中的NH3 來將矽烷與烷基矽烷改質相較之下,使用此種類型的分子,與自由基產生更受表面控制的反應,而因此導致增加的選擇性。在一些實施例中,藉由使用更高流速的反應氣體、以及藉由使用更高的用於產生遠端電漿的功率,來增加自由基的濃度,以改良選擇性。改良沉積選擇性的其他參數包括:處理腔室中的較低的壓力、以及含Si前驅物之較低的流速。例如,在一些實施例中,可透過下列方法來達成改良的選擇性:以介於約5-20slm的流速,提供反應氣體(例如H2 );使用介於約1-3kW的功率,遠端地在含有反應氣體的腔室中形成電漿(例如可使用以13.56MHz頻率所產生的HF電漿);以介於約1-50sccm的流速,提供含Si前驅物(例如含Si與N原子兩者的前驅物,例如雙二甲基胺基甲基矽烷)( 可單獨地引入該前驅物;在一些實施例中可加入惰性載氣);以及在介於約100-500℃的溫度下、與介於約0.5-5Torr的壓力下,進行沉積作用。舉例來說,透過遠端電漿沉積處理,而H2 作為反應氣體,且胺基矽烷(例如雙二甲基胺基甲基矽烷)作為含Si前驅物,可使用上述製程條件來選擇性或非保形性沉積SiCN。
當必要時,可使用氟基電漿蝕刻來蝕刻含矽介電阻障層。例如,可透過下列方法執行蝕刻:在含氟碳化合物或氫氟碳化合物的處理氣體(例如C4 F8 、CF4 、C2 F6 、CHF3 、CF2 H2 、及C4 F6 中之一或更多者) 、及第二氣體(例如Ar、He、O2 、N2 、及CO2 )中產生電漿,並將產生於該電漿中的自由基與基板進行接觸,以蝕刻在穿孔之底部的介電阻障層,而不暴露ILD。在一範例中,使用雙頻率電漿來產生電漿,針對2MHz的產生器使用介於約200-3000W的功率位準,針對27MHz的產生器使用介於約300-3000W的功率位準。可在介於約50mTorr與5Torr的壓力下執行蝕刻。
亦可沉積含硼介電擴散阻障層,相似於前述之含Si介電擴散阻障層(但使用含硼前驅物,例如硼烷),使用PECVD,而更佳地係使用遠端電漿CVD方法。任一所述之選擇性增強表面預處理,皆可在含硼層的沉積之前執行。針對含硼層之合適的沉積條件的範例,可見於美國專利案第7842604號,發明人為Yu等人,獲證日為2010年11月30日,該案加入本案之參考資料。
金屬氧化物與金屬矽酸鹽擴散阻障層之選擇性沉積可透過下列方法達成:將金屬全面性地沉積在基板上,然後在某些情況下將其退火以形成氧化物或矽酸鹽。可使用任何合適的方法(例如PVD、或ALD)來沉積金屬(例如鈦或錳),使得其存在於穿孔之底部與基板上的ILD兩者上方。然而,一旦與介電層接觸而自發性地、或一旦在高溫下退火,沉積在介電層上的金屬即形成氧化物(例如鈦被氧化矽基介電層氧化成TiOX )或矽酸鹽(錳可與介電層反應而形成MnSiO),但留在穿孔之底部的金屬則維持不被氧化,因為其未與該介電層發生接觸。因此,介電擴散阻障層(金屬氧化物或矽酸鹽)被選擇性形成在ILD上,但不在穿孔之底部形成於金屬上,在穿孔之底部維持沉積金屬之傳導層。用於沉積自形成之鈦氧化物的沉積條件的範例,可見於美國專利7727882,發明人為Wu等人,獲證日為2010年6月1日,該案加入本案之參考資料。應注意的係,在提供於本文中的實施例中,擴散阻障層不包括氮化鈦,與在Wu中呈現者不同。
在一些實施例中,使用ALD方法來沉積金屬碳化物與金屬碳氮化物, 該方法包括,在有或無電漿輔助下,以合適的有機金屬化合物(例如金屬羰基)以及還原劑,連續地接觸基板。更佳地,為了增強選擇性,在沉積之前執行表面預處理,例如UV預處理、遠端電漿預處理、熱預處理、或直接式電漿預處理。例如,可藉由ALD,使用有機鎢化合物(例如W(CO)6 、雙(三級丁基醯亞胺基)-雙-(二甲基醯胺基)鎢 (BTBMW) 、或甲基環戊二烯基-二羰基亞硝基鎢 (MDNOW)) ,來沉積WC。合適於WC之沉積的製程條件可見於,例如,美國專利申請案公開第2009/0163025號,公開日為2009年6月25日,發明人為Humayun等人,該案加入本案之參考資料。本文所述之選擇性增強預處理,可賦予(confer)對沉積之選擇性。
可透過使用下列之表面預處理與沉積技術中之一或更多者,來將氮化鋁介電擴散阻障層,主要地或排他地沉積在ILD層上。應注意的係,下方以AlN沉積為背景來描述的表面預處理條件,可使用於增強本文所述之任何層級的沉積之選擇性。
在一些實施例中,使用基板之UV預處理來增強AlN沉積之選擇性。在一些實施例中,在溫度介於約300-400℃、及壓力介於約2.4-90 Torr下,在NH3 (以介於約2.5-10 slm之流速來提供)存在的情況下,以UV光照射基板。預處理之後,藉由CVD或ALD,使用有機鋁前驅物以及含氮氣體(例如NH3 )來沉積AlN。合適的有機鋁前驅物包括三甲基鋁(TMA)、及任何提供於Yu等人的美國專利第8268722號(獲證日為2012年9月18日)中之前驅物,該案加入本案之參考資料。合適的沉積條件,包括提供於美國專利案第8268722號之條件、及/或下方所述之製程。該製程之開始為將預處理基板提供到ALD處理腔室中。將基板與三甲基鋁及N2 之混合物接觸,其中以介於約50-200sccm之流速來提供三甲基鋁,以及以介於約0.5-2slm之流速來提供N2 。在暴露基板幾秒之後,將處理腔室排氣,以將三甲基鋁完全地或部分地移除,然後以各氣體介於約2-10slm之流速來引進NH3 與N2 。將AlN層沉積在基板上。然後將處理腔室排氣,並視需要重複反應物暴露若干循環,以建立期望厚度的AlN薄膜。可在介於約180-400℃的溫度、及介於約1.2-8 Torr的壓力下執行沉積。
在一具體範例中,在NH3 (以約5000sccm之流速來提供)存在的情況下,以UV光(波長大於190nm、且功率約3.6kW)照射該基板。在350℃的溫度、及50Torr的壓力下,執行UV預處理。在UV預處理之後,使用循環的CVD方法將AlN沉積在基板上。在350℃、且4 Torr的壓力下,首先將該基板與混合N2 的TMA接觸(流速為90sccm之TMA,以及流速為0.95slm之 N2 )。然後將腔室排氣,以將TMA部分地移除,並將基板與NH3 (以7000sccm之流速來提供)及N2 (以2800sccm之流速來提供)之混合物接觸,以形成一層AlN。然後將該基板排氣,並接續地再次供給TMA與NH3 。在350℃之溫度與4Torr的壓力下執行該製程。
可增強AlN沉積之選擇性的預處理的其他類型,包括熱預處理、遠端電漿預處理、以及直接式電漿PECVD預處理。在熱預處理的一實施例中,在溫度介於約300-400℃(例如350℃)、且壓力介於2-9Torr(例如4Torr)下,以H2 接觸基板。以介於約5-10slm之流速(例如9500sccm),將H2 供應到處理腔室中。
在一實施例中,透過下列方式來執行遠端電漿預處理:使用遠端電漿腔室中的電漿而從NH3 氣體中產生NHx 自由基,並將該等自由基供應到放置基板的處理腔室中。以介於約 250–2500 sccm之流速(例如 800 sccm) 將NH3 氣體流入遠端電漿腔室中,而該電漿係使用13.56 MHz之產生器,以介於約12000 – 24000 W之功率產生。在介於約 150 - 400 °C 之溫度、及介於約 0.5 – 2.5 Torr之壓力下執行該製程。在一範例中,遠端電漿預處理之執行,係在 0.5 Torr的壓力、350 °C的溫度下、並且使用 2400 W之功率來產生電漿。在另一範例中,遠端電漿預處理之執行,係在 1.5 Torr的壓力、150 °C的溫度下、並使用 1200 W之功率來產生電漿。
在一實施例中,透過下列方式來執行直接式電漿預處理:在放置基板的腔室中,在含NH3 與 N2 的氣體中形成電漿。在一些實施中,電漿係使用HF電漿產生器來形成,以約13.56 MHz之頻率 、介於約350-750 W之功率(例如 600 W)來提供,視需要可使用 LF 組件,以400 kHz 之頻率、介於約 0-750W之功率。在一實施中, 在約 350 °C之溫度、及介於約2- 8 Torr 之壓力(例如2.4 Torr) 下、使用 NH3 (例如以 1000 sccm之流速來提供)與N2 (例如以約15 slm之流速來提供),來執行電漿預處理。 設備
本文中揭露之實施例的另一態樣為一設備,其配置以達成本文所述之方法。根據所揭露之實施例,合適的設備包括硬體,其用於達成製程操作,以及系統控制器,其具有用於控制製程操作的指令。用於達成製程操作的該硬體包括,ALD處理腔室、PECVD處理腔室、遠端電漿系統、及PVD處理腔室。該系統控制器典型地包括配置用於執行指令的一或更多的記憶體裝置、以及一或更多的處理器,使得該設備可執行根據所揭露之實施例之方法。根據所揭露之實施例,可將含指令(用於來控制製程操作)的機器可讀取媒介,結合到該系統控制器。
在實施例之一者中,用於沉積選擇性或非保形性(部分選擇性)介電阻障層的設備,為遠端電漿沉積設備。此種設備的簡化示意圖呈現於圖5中。設備501包括主處理腔室503,其中放置著支座505,配置以在沉積期間固持基板。分離式腔室507與一電漿產生器連接,並配置以在電漿中從處理氣體(例如H2 )中形成自由基。所產生之自由基透過一饋送導管而引導至主處理腔室503。分開地,前驅物(例如含Si前驅物) 從前驅物來源509被引導至處理腔室503。控制器511與處理腔室503電性地連接,並配置以控制沉積處理的一或些許參數,例如溫度、壓力、施加用於電漿生成的功率位準、以及處理氣體及前驅物之流速。例如,控制器可包括程式指令或內建邏輯,用以執行本文所述之任何處理。
上述之該設備/處理,可連同微影圖案化的工具或製程一起使用,例如用於半導體裝置、顯示器、LEDs、太陽能平板以及類似物的加工或製造。典型地但非必要地,此類工具/製程在共同的製造場所中被一起使用或操作。薄膜的微影圖案化典型地包括一些或全部下述之操作,每項操作藉由若干合理的工具而促成:(1)塗佈光阻劑於工件(即基板)上,使用旋塗或噴塗工具;(2)使光阻劑硬化,使用熱板或熔爐或UV硬化工具;(3)暴露該光阻劑到可見光或UV光或X光,使用如晶圓步進器的工具;(4)使該光阻劑顯影以選擇性地移除光阻劑並藉此使之圖案化,使用如濕式工作台的工具;(5)轉移該光阻劑圖案到下層的薄膜或工件中,使用乾式或電漿輔助蝕刻工具;以及(6)移除該光阻劑,使用如RF或微波電漿光阻剝離器的工具。 溫和的處理
雖然總的來說,可使用許多方法來選擇性形成介電擴散阻障層,但在一些實施例中,較佳的係使用無破壞性的溫和沉積方法來避免對ILD層的破壞。這在使用機械性質弱的介電層(例如孔洞性介電層)時尤其重要。在一些實施例中,特別係在使用介電常數小於2.5的ILDs(該者典型地無機械強度)時,該等沉積方法配置以避免破壞性的高能量離子與ILD接觸。例如,可使用熱ALD處理、熱化學氣相沉積(CVD)處理、低功率PECVD處理、或遠端電漿PECVD處理,來沉積介電阻障層。
在一些實施例中,可使用熱ALD處理來沉積介電擴散阻障層。熱ALD處理典型地使用兩個不同的化學品或前驅物,並且基於連續性、自限式表面反應(self-limiting surface reactions)來執行。以氣體狀態將該等前驅物連續地供給到反應腔室中,前驅物在該反應腔室中接觸晶圓基板的表面。例如,當第一前驅物被供給到反應腔室中時,其吸附於表面。然後,當第二前驅物被供給到該反應腔室中時,該第一前驅物在該表面上與該第二前驅物反應。藉由將表面反覆地暴露到前驅物的交替連續脈衝,來沉積阻障層材料之薄膜。熱ALD處理亦包括將表面暴露到單一前驅物的連續脈衝的處理,其亦可在表面上沉積阻障層材料之薄膜。熱ALD處理通常形成保形性薄膜,亦即忠實地依循下層表面之輪廓的層級。透過反覆地將前驅物暴露到表面,可沉積薄的介電擴散阻障層。介電擴散阻障層之最終厚度,取決於前驅物吸附層之厚度,以及前驅物暴露循環的次數。熱ALD處理與設備的一般敘述,可見於美國專利案第6878402號,該案加入本文之參考資料。
在一些其他的實施例中,可使用低功率PECVD處理來沉積介電擴散阻障層。在低功率PECVD處理中,在一些實施中,當沉積阻障層時,施加射頻(RF)功率以維持電漿放電。亦可使用有著高與低射頻功率供應器的雙頻率PECVD系統。低功率PECVD處理利用電漿來增強前驅物的化學反應速率。一些低功率PECVD處理,容許使用低功率之RF功率來沉積材料,而其對在晶圓基板表面上的ILD層產生很少的破壞或不產生破壞。
在使用低功率PECVD處理來沉積介電擴散阻障層的一些實施中,電漿為低功率電漿。在一些實施中,針對300mm晶圓基板,以小於約100W的功率,來施加用於產生電漿的RF功率。在一些實施中,該用於產生電漿的RF功率可約為25W到150W。使用低功率電漿的PECVD處理與設備的一般敘述,可見於美國專利申請案第12/070616號,案名為「PLASMA PARTICLE EXTRACTOR FOR PECVD」,而申請日為2008年2月19日,該案加入本文之參考資料。
在一些實施例中,可使用遠端電漿PECVD處理或遠端電漿ALD處理來沉積介電阻障層。在遠端電漿PECVD處理或遠端電漿ALD處理中,使用遠端電漿來源來產生電漿。使用以遠端電漿來源所產生的電漿,可減少或實質上消除電漿對晶圓基板所產生的破壞。遠端電漿PECVD處理或遠端電漿ALD處理相似於直接PECVD處理,除了工件(例如晶圓基板)未直接地位在電漿來源區域中。電漿來源在晶圓基板之上游,並激發及/或解離前驅物種類,以形成反應性離子與自由基。在一些實施中,還原劑氣體(包括NH3 及H),亦在遠端電漿來源內被解離成反應性離子與自由基。在一些實施中,可使用噴淋頭與面板以將離子濾出,使得只有自由基到達晶圓基板之表面。自由基對極低k介電質產生極小的破壞。再者,將晶圓基板從電漿來源的區域移開,可允許處理溫度下降到約室溫。遠端電漿PECVD處理與設備的一般敘述,可見於美國專利案第6616985號與美國專利案第6553933號,該兩者加入本文之參考資料。在一些實施中,在ALD型處理中亦可使用遠端電漿來源,以沉積一些實施中的介電阻障層。 實驗實例
將SiNC介電阻障層選擇性沉積在介電層上,而不在穿孔底部沉積於暴露的銅層上。具體而言,將SiNC層沉積在含有35-nm寬的內凹特徵部(深寬比為3:1)的基板上。基板之表面由暴露的TEOS與SiN組成,並且含在穿孔之底部的暴露的銅。藉由使用遠端電漿CVD,將SiNC層選擇性沉積在ILD層上,而不在穿孔底部沉積於銅上。使用13.56MHz的HF頻率、及2.5kW的功率位準來遠端地產生電漿。在10slm之流速下提供的H2 反應氣體中形成電漿。將由電漿產生的自由基提供到處理腔室中。分離地,以20sccm之流速將含Si前驅物(例如雙二甲胺基甲基矽烷)提供到處理腔室。在400℃的溫度與1.5Torr的壓力下執行沉積。在選擇性沉積SiNC 之後,透過無電鍍沉積,使用沉積化學品,來沉積鈷以填入穿孔, 描述於Kolics等人的美國專利案第6794288號,獲證日為2004年9月21日,該案加入本案之參考資料。因為在無電鍍處理中,鈷不會成長在介電層上,所以成功地沉積無電鍍鈷之事實,確認SiCN被選擇性沉積在ILD層上,且未在穿孔底部被沉積於銅上。 控制器
在一些實施中,控制器為系統的一部分,其可為上述範例之一部分。此種系統可包括半導體處理儀器,其包括單數或複數的處理工具、單數或複數的腔室、單數或複數的用於處理的平台、及/或特定的處理組件(晶圓支座、氣流系統等)。該等系統可與電子控制器整合,以在處理半導體晶圓之前、期間、之後控制該者的操作。該電子控制器可被稱為控制器,其可控制單數或複數的系統的許多組件或次元件。根據製程要求及/或系統之類型,將該控制器程式化,以控制本文所述之任何處理,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、定位與操作設定、晶圓傳送進出工具及其他傳送工具、及/或與特定系統連接或與其互動的負載閘。
廣泛來說,該控制器可界定為電子控制器,具有接收指令、發出指令、控制操作、啟動清洗操作、啟動端點量測、以及其他的許多積體電路、邏輯、記憶體、及/或軟體。該積體電路可包括韌體型態的晶片(儲存製程指令)、數位訊號處理器(DSPs)、界定為特殊應用積體電路(ASICs)的晶片、及/或一或更多的微處理器、或微控制器,用於執行程式指令(例如軟體)。程式指令可為一種指令,其以各種個別的設定(或程式檔案)的形式與控制器交流,針對半導體晶圓、或在其上方、或對於系統,界定出用於實現特定處理的操作性參數。在一些實施例中,該等操作性參數可為由製程工程師設定的配方之一部分,以達成在一或更多的層級、材料、金屬、氧化物、Si、SiO2 、表面、電路、及/或晶圓的晶粒的製程期間的一或更多的處理步驟。
在一些實施例中,該控制器可為電腦之一部分或與其結合,其與系統整合、結合、或建立網路到系統、或其中之組合。例如,該控制器可在「雲端」中、或在晶圓廠主電腦系統之全部或一部分中,可允許晶圓處理的遠端存取。該電腦能夠遠端存取系統,以監控製程操作之目前進度、檢視先前製程操作之歷史、從大量製程操作檢視趨勢或效能度量指標,用以改變當前處理的參數、用以設定接續當前處理的處理步驟、或用以開啟新的處理。在一些範例中,遠端電腦(例如伺服器)可利用網路將製程配方提供到系統,該網路可包括區域網路或網際網路。該遠端電腦可包括使用者介面,允許參數及/或設定的輸入或程式化、而之後參數及/或設定從該遠端電腦傳遞到該系統。在一些範例中,該控制器接收資料形式的指令,其規定參數,用於在一或更多的操作中待執行的各個處理步驟。應知悉的係,針對欲執行之處理的類型、以及工具的類型來規定該等參數,而該控制器係配置以控制、或與該者交流。因此,如上所述,可將該等控制器分散,例如透過組合一或更多的分散式控制器,該者以網路連結在一起,並針對相同的目的(例如本文中描述之處理與控制項)而運作。用於此種目的之分散式控制器的範例為,一腔室上的一或更多的積體電路與一或更多的分離地放置(例如在平台層級、或為遠端電腦的一部分)的積體電路交流,該者結合以控制該腔室上的處理。
不限制地,例示性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜面邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡(track)腔室或模組、以及使用於半導體晶圓之製造及/或加工或與其相關的任何其他半導體處理系統。
如上所載,根據欲使用工具執行的單數或複數的處理步驟,該控制器可與下列之一或更多者交流:其他工具電路或模組、其他工具組件、叢集部件、其他工具介面、相鄰的工具、附近的工具、坐落在整個工廠的工具、主電腦、另一控制器、或用於材料傳送的工具,其在半導體製程工廠中將晶圓之容器帶進或帶出工具位置、及/或負載埠。
101‧‧‧基板
103‧‧‧ILD層
105‧‧‧擴散阻障層
107‧‧‧銅線/銅層/銅
109‧‧‧ILD層
110‧‧‧蝕刻停止或擴散阻障層
111‧‧‧穿孔
112‧‧‧凹槽
113‧‧‧介電阻障層
115‧‧‧銅層
301‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
309‧‧‧操作
311‧‧‧操作
313‧‧‧操作
315‧‧‧操作
317‧‧‧操作
319‧‧‧操作
323‧‧‧操作
401‧‧‧操作
403‧‧‧操作
405‧‧‧操作
407‧‧‧操作
409‧‧‧操作
411‧‧‧操作
415‧‧‧操作
501‧‧‧設備
503‧‧‧處理腔室
505‧‧‧支座
507‧‧‧分離式腔室
509‧‧‧前驅物來源
511‧‧‧控制器
圖1A-1C根據本文中提供之一實施例,呈現在裝置製程期間產生的IC裝置結構之橫剖面繪圖。
圖2A-2D根據本文中提供之一實施例,呈現在裝置製程期間產生的IC裝置結構之橫剖面繪圖。
圖3A根據本文中提供之一些實施例,呈現用於半導體裝置處理之例示性製程流程圖。
圖3B根據本文中提供之一些實施例,呈現用於半導體裝置處理之例示性製程流程圖。
圖4A根據本文中提供之一實施例,呈現介電擴散阻障層之選擇性形成的例示性製程流程圖。
圖4B根據本文中提供之一實施例,呈現用於介電擴散阻障層之選擇性形成的例示性製程流程圖。
圖5根據本文中提供之一實施例,為適合用於介電阻障層之選擇性形成的遠端電漿沉積設備的示意圖。

Claims (17)

  1. 一種處理半導體基板的方法,該方法包括:(a)提供部分已製成半導體基板,其具有暴露的介電層,以及形成在該介電層中的穿孔,其中在該穿孔之底部有暴露的金屬層;以及(b)在該暴露的介電層上選擇性形成介電擴散阻障層,其中(b)包括使用前驅物來沉積該介電擴散阻障層之材料,該前驅物含有在總的介電擴散阻障層之材料中存在的元素其中二或更多者,且其中(b)包括使用選自下列所組成之群組的方法:(i)在該介電層上選擇性沉積該介電擴散阻障層之材料,但不在該金屬層上沉積該介電擴散阻障層;以及(ii)在該暴露的介電層上沉積該介電擴散阻障層之材料,同時在該暴露的金屬層上沉積較少的該介電擴散阻障層之材料,而藉此達成部分選擇性,接著透過蝕刻將該金屬層上的介電擴散阻障層之材料移除,但不將該介電層上的介電擴散阻障層之材料完全地移除。
  2. 如申請專利範圍第1項之處理半導體基板的方法,其中該介電擴散阻障層之材料具有介於約3.0-20.0的介電常數。
  3. 如申請專利範圍第1項之處理半導體基板的方法,其中該介電擴散阻障層選自由摻雜或未摻雜的矽碳化物、摻雜或未摻雜的矽氮化物所組成之群組。
  4. 如申請專利範圍第1項之處理半導體基板的方法,其中該介電擴散阻障層之材料為SiNC。
  5. 如申請專利範圍第1項之處理半導體基板的方法,其中該介電擴散阻障層選自金屬碳化物、金屬氮化物、金屬碳氮化物、金屬氧化物、含硼介電質、以及金屬矽酸鹽所組成之群組。
  6. 如申請專利範圍第1項之處理半導體基板的方法,其中該介電擴散阻障層之材料為AlN。
  7. 如申請專利範圍第1項之處理半導體基板的方法,其中提供於(a)中的半導體基板更包括在該穿孔上方的一凹槽。
  8. 如申請專利範圍第1項之處理半導體基板的方法,更包括在(b)之後:(c)以金屬填入該穿孔,使得填入的金屬與在該穿孔之底部的金屬層接觸;以及(d)在(c)之後,將在該半導體基板之場區中存在的多餘的金屬移除。
  9. 如申請專利範圍第8項之處理半導體基板的方法,更包括:在(b)之後與(c)之前,保形地沉積傳導性內襯層,以提升在(b)中所形成的介電擴散阻障層之材料、以及在(c)中所沉積之填入穿孔中的該金屬間的附著力。
  10. 如申請專利範圍第1項之處理半導體基板的方法,其中(b)包括使用胺基矽烷、或含碳矽氮烷作為前驅物來沉積SiCN介電擴散阻障層之材料。
  11. 如申請專利範圍第1項之處理半導體基板的方法,其中(b)包括使用含有Si、C、及O原子之前驅物來沉積SiCO介電擴散阻障層之材料。
  12. 如申請專利範圍第1項之處理半導體基板的方法,其中(b)包括:(i)在非放置該半導體基板的一分離的電漿腔室中,在處理氣體中形成電漿;(ii)透過一饋送導管,將形成於該電漿中的自由基提供到放置該半導體基板的處理腔室中,並允許該等自由基吸附於該半導體基板上;以及(iii)將含有被沉積的該介電擴散阻障層的元素其中至少二者的該前驅物提供到放置該半導體基板的處理腔室中,並允許該前驅物與該等自由基反應,以選擇性或部分選擇性形成該介電擴散阻障層。
  13. 如申請專利範圍第12項之處理半導體基板的方法,其中該處理氣體包含H2
  14. 如申請專利範圍第12項之處理半導體基板的方法,其中該前驅物為胺基矽烷,且所形成的該介電擴散阻障層之材料為SiCN。
  15. 如申請專利範圍第1項之處理半導體基板的方法,其中(b)包括將暴露的半導體基板之表面做預處理,以針對該介電擴散阻障層之材料的沉積,使該暴露的介電層活化、及/或使該暴露的金屬層鈍化。
  16. 如申請專利範圍第1項之處理半導體基板的方法,其中該暴露的金屬層包括銅。
  17. 如申請專利範圍第1項之處理半導體基板的方法,更包括:施加光阻劑到該基板;將該光阻劑暴露到光;將該光阻劑圖案化,並將圖案轉移到該基板; 以及將該光阻劑從該基板上選擇性移除。
TW104120661A 2014-06-30 2015-06-26 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成 TWI657535B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462018872P 2014-06-30 2014-06-30
US62/018,872 2014-06-30
US14/742,180 2015-06-17
US14/742,180 US9418889B2 (en) 2014-06-30 2015-06-17 Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Publications (2)

Publication Number Publication Date
TW201614769A TW201614769A (en) 2016-04-16
TWI657535B true TWI657535B (zh) 2019-04-21

Family

ID=54931321

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104120661A TWI657535B (zh) 2014-06-30 2015-06-26 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成

Country Status (3)

Country Link
US (1) US9418889B2 (zh)
KR (2) KR102542269B1 (zh)
TW (1) TWI657535B (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831171B2 (en) * 2014-11-12 2017-11-28 Infineon Technologies Ag Capacitors with barrier dielectric layers, and methods of formation thereof
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
EP3067439B1 (en) * 2015-03-13 2018-05-09 IMEC vzw Electroless metal deposition on a Mn or MnNx barrier
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9799605B2 (en) * 2015-11-25 2017-10-24 International Business Machines Corporation Advanced copper interconnects with hybrid microstructure
US9349687B1 (en) * 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9536780B1 (en) * 2016-04-15 2017-01-03 International Business Machines Corporation Method and apparatus for single chamber treatment
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9984870B2 (en) * 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US10211093B2 (en) * 2016-07-08 2019-02-19 Samsung Electronics Co., Ltd. Interconnect structure formed with a high aspect ratio single damascene copper line on a non-damascene via
US9786760B1 (en) * 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off
CN109690755A (zh) * 2016-09-30 2019-04-26 英特尔公司 使用含钨粘合层增强互连可靠性能以实现钴互连的微电子器件和方法
US9741609B1 (en) * 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US9859153B1 (en) * 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
US10199266B2 (en) * 2016-12-26 2019-02-05 Intel Corporation Integrated circuit interconnect structure having metal oxide adhesive layer
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP6525023B2 (ja) 2017-04-25 2019-06-05 横浜ゴム株式会社 反応性ホットメルト接着剤
WO2018204709A1 (en) * 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN110651064B (zh) * 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
KR102331718B1 (ko) 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10290544B2 (en) * 2017-10-10 2019-05-14 Globalfoundries Inc. Methods of forming conductive contact structures to semiconductor devices and the resulting structures
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10600684B2 (en) 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
JP6903171B2 (ja) * 2018-02-01 2021-07-14 東京エレクトロン株式会社 多層配線の形成方法および記憶媒体
CN110581050B (zh) * 2018-06-07 2024-06-11 东京毅力科创株式会社 处理方法和等离子体处理装置
US10916420B2 (en) * 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
US10707100B2 (en) * 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US10916505B2 (en) * 2018-08-11 2021-02-09 Applied Materials, Inc. Graphene diffusion barrier
US11121025B2 (en) * 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US10699944B2 (en) 2018-09-28 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification layer for conductive feature formation
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US10879107B2 (en) * 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
CN114930518A (zh) * 2019-11-21 2022-08-19 朗姆研究公司 具有选择性电镀通孔填料的互连结构
US11718023B2 (en) * 2020-05-18 2023-08-08 Qatar Foundation For Education, Science And Community Development 3D printing based on self-assembled molecular building blocks for materials design and bio-applications
US11527476B2 (en) 2020-09-11 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure of semiconductor device
US20220199401A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Deposition of boron films
IT202100014060A1 (it) * 2021-05-28 2022-11-28 St Microelectronics Srl Metodo di fabbricazione di uno strato di ridistribuzione, strato di ridistribuzione, circuito integrato e metodo per testare elettricamente il circuito integrato
CN117637598A (zh) * 2022-08-12 2024-03-01 长鑫存储技术有限公司 半导体结构及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
TW200409240A (en) * 2002-09-24 2004-06-01 Shipley Co Llc Electronic device manufacture
TW200428583A (en) * 2003-06-03 2004-12-16 Taiwan Semiconductor Mfg Method of fabricating copper damascene structure
TW200522265A (en) * 2003-10-16 2005-07-01 Taiwan Semiconductor Mfg A novel method to reduce Rs pattern dependence effect field of the invention
US20060128142A1 (en) * 2004-12-09 2006-06-15 Caroline Whelan Method for selective deposition of a thin self-assembled monolayer

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008117A (en) 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
JP2000195951A (ja) 1998-12-28 2000-07-14 United Microelectron Corp 多重レベル相互接続構造を持つ集積回路における二重ダマスク構造製造方法
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP2001284449A (ja) 2000-03-31 2001-10-12 Sony Corp 半導体装置の製造方法
JP4484345B2 (ja) * 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
JP4122792B2 (ja) 2002-02-15 2008-07-23 ソニー株式会社 半導体素子の製造方法
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
KR20050063888A (ko) * 2003-12-23 2005-06-29 삼성전자주식회사 반도체 소자의 금속배선 형성방법
KR100563785B1 (ko) * 2004-01-28 2006-03-27 삼성전자주식회사 반도체 장치의 구리 배선 형성 방법
JP4224434B2 (ja) 2004-06-30 2009-02-12 パナソニック株式会社 半導体装置及びその製造方法
JP2008147252A (ja) * 2006-12-06 2008-06-26 Renesas Technology Corp 半導体装置とその製造方法
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
JP5089244B2 (ja) 2007-05-22 2012-12-05 ローム株式会社 半導体装置
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
JP5366235B2 (ja) 2008-01-28 2013-12-11 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
US8946083B2 (en) * 2011-06-24 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
TW200409240A (en) * 2002-09-24 2004-06-01 Shipley Co Llc Electronic device manufacture
TW200428583A (en) * 2003-06-03 2004-12-16 Taiwan Semiconductor Mfg Method of fabricating copper damascene structure
TW200522265A (en) * 2003-10-16 2005-07-01 Taiwan Semiconductor Mfg A novel method to reduce Rs pattern dependence effect field of the invention
US20060128142A1 (en) * 2004-12-09 2006-06-15 Caroline Whelan Method for selective deposition of a thin self-assembled monolayer

Also Published As

Publication number Publication date
KR20230086648A (ko) 2023-06-15
US9418889B2 (en) 2016-08-16
KR20160002391A (ko) 2016-01-07
US20150380302A1 (en) 2015-12-31
KR102542269B1 (ko) 2023-06-09
TW201614769A (en) 2016-04-16

Similar Documents

Publication Publication Date Title
TWI657535B (zh) 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成
TWI591761B (zh) 在氣隙形成期間金屬內連線之選擇性加蓋
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
TWI627676B (zh) 於不同尺寸特徵部內之無空穴鎢塡充物
US10199235B2 (en) Liner and barrier applications for subtractive metal integration
US9082826B2 (en) Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
TWI541938B (zh) 用於互連的含金屬及矽覆蓋層
KR102345587B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
US20170194166A1 (en) Method and apparatus for anisotropic tungsten etching
US6946401B2 (en) Plasma treatment for copper oxide reduction
TWI670387B (zh) 選擇性地沉積鈷層的方法、用於形成無孔隙之特徵的選擇性地沉積鈷層的方法、及電腦可讀媒體
TW201833366A (zh) 鋁氧化物蝕刻停止層之沉積
US20010049181A1 (en) Plasma treatment for cooper oxide reduction
JP2016046532A (ja) コバルトの間隙をボイドなしで充填する方法及び装置
TW201907038A (zh) 在互連線金屬化中的釕層沉積方法及裝置
TW200419642A (en) Integration of ALD/CVD barriers with porous low k materials
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
TW201726963A (zh) 低介電常數含鋁蝕刻終止膜的形成方法
TW202338134A (zh) 金屬填充中的傾斜襯墊