JP6566727B2 - 重複する露光スポットを使用する線量不均一性の補償 - Google Patents

重複する露光スポットを使用する線量不均一性の補償 Download PDF

Info

Publication number
JP6566727B2
JP6566727B2 JP2015109552A JP2015109552A JP6566727B2 JP 6566727 B2 JP6566727 B2 JP 6566727B2 JP 2015109552 A JP2015109552 A JP 2015109552A JP 2015109552 A JP2015109552 A JP 2015109552A JP 6566727 B2 JP6566727 B2 JP 6566727B2
Authority
JP
Japan
Prior art keywords
aperture
dose
value
target
current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015109552A
Other languages
English (en)
Other versions
JP2015228501A (ja
Inventor
ブラッツグマー エルマー
ブラッツグマー エルマー
レイター ラファエル
レイター ラファエル
Original Assignee
アイエムエス ナノファブリケーション ゲーエムベーハー
アイエムエス ナノファブリケーション ゲーエムベーハー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アイエムエス ナノファブリケーション ゲーエムベーハー, アイエムエス ナノファブリケーション ゲーエムベーハー filed Critical アイエムエス ナノファブリケーション ゲーエムベーハー
Publication of JP2015228501A publication Critical patent/JP2015228501A/ja
Application granted granted Critical
Publication of JP6566727B2 publication Critical patent/JP6566727B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24507Intensity, dose or other characteristics of particle beams or electromagnetic radiation
    • H01J2237/24514Beam diagnostics including control of the parameter or property diagnosed
    • H01J2237/24535Beam current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Electron Beam Exposure (AREA)

Description

本発明は、エネルギ荷電粒子のビームによって基板またはターゲットの表面にパターンを形成するための荷電粒子マルチビーム露光装置に関する。さらに詳しくは、本発明は、荷電粒子マルチビームリソグラフィ装置でターゲットに所望のパターンを露光させるために露光パターンを計算するための方法に関し、ここで粒子ビームは、ターゲットの画像領域内の多数の画素を露光させることによって前記所望のパターンを描画するために前記粒子ビームが貫通する複数のブランキングアパーチャから構成されるアパーチャアレイを備えたパターン規定装置に向けられてこれを照射し、前記粒子ビームは前記アパーチャアレイの複数のブランキングアパーチャのための電流線量分布を有し、パターン規定装置では、前記複数のブランキングアパーチャは、ブランキングアパーチャの相互位置を規定する予め定められた配置構成に配列されることが好ましく、各ブランキングアパーチャは、それぞれの露光期間中にそれぞれのブランキングアパーチャを介してターゲット上の対応するアパーチャ像を露光すべき線量値に関して選択的に調整可能であり、前記線量値は離散グレースケールから選択されたそれぞれの値を取り、前記所望のパターンの描画処理中に、一連の露光期間が設けられ、各露光期間にブランキングアパーチャがターゲット上に結像されて対応する複数のアパーチャ像が生成され、アパーチャ像の位置は露光期間中にはターゲットに対して画素の位置に固定されているが、露光期間の合間にアパーチャ像の位置はターゲット上でずらされ、こうしてターゲット上の前記画像領域内の多数の画素が露光される。本発明は、一定の公称電流線量値からの粒子ビームの線量分布のずれを考慮に入れるように、前記方法を発展させることを目的とする。
上述した描画方法および重複露光スポット(「インターロッキンググリッド」)を含む発展型の方法は、本願出願人名義の様々な特許開示、特に米国特許第7,276,714号明細書、米国特許第7,781,748号明細書、米国特許第7,777,201号明細書、および米国特許第8,115,183号明細書の対象である。
荷電粒子ビーム投影による直接パターン転写のためのアドレス指定可能なパターン規定装置によるマルチビーム描画モードの適用は、1980年代から研究および開発の対象であった。そのような装置は、半導体技術で使用される粒子ビームリソグラフィの分野で有利であろう。そこで、リソグラフィ装置はターゲット、例えばシリコンウェハまたは6インチマスクブランク上に構造を規定するために使用される。(本開示全体を通して、ターゲットおよび基板の用語は相互に同義的に使用される。)基板上に所望のパターンを規定するために、基板は1層の感放射線レジストで被覆される。その後、リソグラフィ装置を用いてレジスト上に所望の構造が結像され、次いでレジストは、事前の露光ステップによって規定されたパターンに従って、部分的除去(ポジ型レジストの場合)によってパターン化され、次いでエッチングのようなさらなる構造化プロセスのためのマスクとして使用される。他の用途では、パターンは、レジスト無しで直接パターン化によって、例えばイオンミリングまたは反応性イオンビームエッチングまたは堆積によって生成することができる。
1997年にI.L.Berryらは、J.Vac.Sci.Technol.B,15(6),1997,pp.2382‐2386で、ブランキングアパーチャアレイおよびイオン投影システムに基づく描画戦略を発表した。Araiらの米国特許第5,369,282号明細書は、パターン規定手段の役割を果たすいわゆるブランキングアパーチャアレイ(BAA)を使用する電子ビーム露光システムを記載している。BAAは複数のアパーチャ列を備えており、アパーチャ列に対して垂直方向の制御された連続的動きにより、アパーチャの像は基板の表面上に走査される。列は、走査方向に沿って見たときにアパーチャが千鳥状の線を形成するように、インタレース状に相互に整列する。したがって、千鳥状の線は、それらが基板に対して移動するときにそれらの間に間隙を残すことなく、基板表面上に連続線を掃引し、こうして基板上の露光すべき全領域が網羅される。
上述したBerryらの論文は、列および千鳥状の線がn=4のアラインメント状態で辺長が5μmの3000×3000個のアパーチャのアレイを持つ「プログラム可能なアパーチャアレイ」を備えたパターン規定装置を記載している。論文は、BAAのアパーチャを基板上に結像するために、1/200の縮小イオン光学系を使用することを提案している。
Berryの概念から出発して、E.Platzgummerらは米国特許第6,768,125号明細書で、相互に上下に重ねられた複数のプレートを含み、それらの中にアパーチャアレイ手段およびブランキング手段を含むパターン規定装置を使用する、PML2(「投影マスクレスリソグラフィ」の略語)と呼ばれるマルチビーム直接描画の概念を提示している。これらのプレートは、例えばケーシング内に、定められた距離を置いて一緒に取り付けられる。アパーチャアレイ手段は、アパーチャを透過するビームレットの形状を規定する同一形状の複数のアパーチャを有し、ここでアパーチャは、複数の千鳥状の線を成すアパーチャから構成されるパターン規定フィールド内に配列され、ここでアパーチャは前記線内でアパーチャの幅の第1整数倍だけ間隔を置いて配置され、かつ隣接する線間で前記幅の整数倍の端数分だけ偏位する。ブランキング手段は、アパーチャアレイ手段のアパーチャに対応する配列状に配置された複数のブランキング開口を有し、特に対応する千鳥状の線を成すブランキング開口を有する。パターン規定装置のアーキテクチャおよび動作に関する米国特許第6,768,125号明細書の教示は、参照によって本開示の一部として本書に含まれる。
PML2マルチビーム直接描画概念の主な利点は、シングルビーム描画装置と比較して描画速度が大きく向上することである(マルチビーム手法とは、切換え可能なブランキング装置を含むアパーチャプレートによって動的に構造化される複数のサブビームで構成される荷電粒子ビームを意味する)。比較的最新の技術と比較した生産性の改善は、主に次の特徴から生じる。
‐動作電流密度が著しく低減される(電源要件が緩和される)。
‐動作シングルビームブランキングレートを低MHz領域に制限することができる。
‐空間電荷の重要性が低減される(広幅ビームが使用される場合、電流が大きい断面に配分されるため)。
‐(シーケンシャルラスタ走査ではなく)パラレル描画戦略のため画素転写率が向上する。
‐複数のビームレットのため高度の冗長性が可能になる(露光のグレースケール値の生成も可能になる)。
現状最新技術のPML2の概念は、基板が連続的に移動され、1列に配置されたアパーチャのその後の露光によって、構造化されたビームの投影像がグレー画素の全部を生成する戦略である。本願出願人による米国特許第7,777,201号明細書に記載するように、各画素に対し(機械的)走査方向に沿って1つまたは少数のビームレットを使用して、グレー画素の組全体を生成する、「トロッティングモード(trotting mode)」と呼ばれる描画戦略が提案される。この変形例の利点は、CMOS構造の複雑さの低減およびデータ管理の改善である。
米国特許第7,276,714号明細書 米国特許第7,781,748号明細書 米国特許第7,777,201号明細書 米国特許第8,115,183号明細書 米国特許第5,369,282号明細書 米国特許第6,768,125号明細書
J.Vac.Sci.Technol.B,15(6),1997,pp.2382-2386,1997,I.L.Berryら
現状最新技術でよく立証されている通り、各ビームレットの線量率が、荷電粒子源によって生成される照射ビームの局所的電流密度によって影響されることは不可避であり、該電流密度は、基本的に光軸からの距離の動径関数であるが、荷電粒子源の放出不均一性から、または照射システムのおそらく時間にも依存する変動に関係する他の作用から生じる、一般的位置依存成分をも有することがあり得る。したがって、アパーチャアレイの領域全体における線量率の均一化が非常に望ましい。荷電粒子光学系の伝達関数による電流密度の変動は、空間電荷および非常に高精度の電界計算を含む現状最新技術の荷電粒子光学系計算ルーチンを使用して、きわめて正確に事前計算することができる。そのような線量の不均一性は、本願発明者による米国特許第8,258,488号明細書によって記載されているような定常法により補正することができる。しかし、時間に依存するまたは変動する作用は、米国特許第8,258,488号明細書に記載された解決策によって対処することができず、電流密度分布のオンラインフィードバックおよびそれを補償することを可能にするオンラインアルゴリズムを含む解決策が必要である。
上記に鑑みて、本発明の課題は、先行技術の欠点を克服し、かつターゲット上のビームレットアレイの最終位置で、ビームレットアレイの全範囲にわたってグレーレベル当たりの均一な線量増分を有するビームレットアレイを形成するために、マルチビームアレイで基板に送達される線量(照射およびアパーチャサイズの不均一性を考慮に入れた、グレーレベル当たりの各ビームの物理的線量)の制御の改善を可能にする、方法を見出すことである。換言すると、アレイの角部のビームレットは、アレイの中心のビームレットと事実上同一のグレーレベル当たりの線量増分を有する(すなわち露光時間当たりに同一平均粒子数を転写する)必要がある。さらに、本発明の課題は、同一ビームレットが規則的なグリッド上に配列されるという想定に基づき、描画データの符号化を考慮に入れ、描画データを長期保存することなく過渡的に(すなわち実時間で)実現可能であり、オンラインデータ作成モジュールで実行することのできる、非常に効率的な補償を可能にすることである。
さらに詳しくは、本発明は、複数のブランキングアパーチャに対するビームの電流線量の分布の、均一な公称電流線量値からの、すなわち前記複数のブランキングアパーチャ全体にわたって一定であると想定される理想的な分布からのずれを考慮に入れて、冒頭に記載したように露光パターンを計算するための方法を提供する。該方法は次のステップを含む。
(i)前記分布のマップを提供し、各アパーチャを電流係数と相関させるステップ。前記電流係数はそれぞれのアパーチャの位置におけるビームの電流線量を記述するものであり、それは絶対値としてまたは(好ましくは)公称電流線量値に関連して指定することができる。
(ii)所望のパターンを提供し、公称露光パターンを多数の画素上に規定されたラスタグラフィックスとして算出するステップ。前記公称露光パターンは、所望のパターンの輪郭線を実現しかつ各画素に対しそれぞれの公称線量値yを含む、公称線量分布をターゲット上に生成するのに適している。
(iii)それぞれの公称線量値をそれぞれの画素に対応するアパーチャの電流係数に対応する補償係数qで除算することによって、各画素に対し、補償された線量値y’を算出するステップ。
(iv)離散グレースケールから補償された線量値に近似する値を選択することによって、各画素に対し離散値を決定するステップ。
(v)公称線量値の代わりにステップivで決定された離散値を使用することによって、前記描画プロセスによって所望のパターンを露光させるのに適した補償露光パターンを公称露光パターンから生成するステップ。
この解決策は、個々のビーム線量割当を修正するアルゴリズムを使用することによって、上記の問題を解決する。これは、「オンライン」ラスタライズ処理の過程で割当が事前計算される間に可能である。この文脈で、用語「オンラインラスタライズ処理」とは、ベクトルベースのパターンファイル、典型的には顧客固有のパターン修正を加えた設計データの実時間レンダリング、基板上の離散線量値(すなわちグレー値)のラスタグラフィックスアレイを指す。(照射システムおよびアパーチャ幅の不均一性が原因で)アレイ全体でかつ/または経時的に変動することのある個々のビームレットの電流線量に応じて、グレー線量値は、均一な公称値からの電流線量分布の不均一性を補償する修正値を取るように修正される。つまり、要するに、本発明は、測定可能な線量の不均一性を、ラスタライズ処理プロセス中に適切な補正によって補償する。
本開示において、表現「電流線量」(または短く「線量」)は、特定の時間間隔内に送達される電荷の量を表すために使用されることに留意されたい。別途指定されない限り、この時間間隔は1回の露光ステップT1の持続時間である(図7)。特に、各ブランキングアパーチャは、特定の電流線量をターゲット上の対応する像アパーチャに(すなわち露光ステップ中に)送達するそれぞれのビームレットを形成する。ブランキングアパーチャのアレイおよび対応するビームレットのアレイを考慮するときに、それぞれの電流線量は均一であることが理想的であるが、実際にはアパーチャ/ビームレット間で異なる。それぞれの線量は、「電流線量分布」、短く「線量分布」、または単に「分布」と呼ばれる位置依存関数によって記述される。
本発明の基本的発想によれば、線量誤差補償は、照射ビームの「電流密度マップ」(より正確には「電流線量マップ」)を使用して、ビームレット当たりの線量を適合させることによって行われ、照射の不均一性にもかかわらず、基板に送達される線量増分当たりの誤差の著しい低減を導く。アパーチャ像が相互に重複するように(オーバサンプリング)描画プロセスがアパーチャ像の位置決めを使用する場合、これはより微細な尺度の離散グレースケールを提供するので、補償方法はかなり改善される。一般的に、重複度が高ければ高いほど、本発明に係る補償方法はよく機能する。
したがって、本発明の1つの好適な展開は、上述した本発明の方法を、アパーチャ像(すなわち露光スポット)がターゲット上で相互に重複し、かつアパーチャ像がターゲット上の隣接アパーチャ像の画素位置間の距離の倍数である公称幅を有するようにする描画方法と組み合わせるものである。この組合せは、ステップivで離散値を所望のパターンに対してより微細に適合させることを可能にし、補償された露光パターンの残留誤差を低減する。すなわちステップivで、対応する補償線量値が離散グレースケールの2つの値の間に該当する画素に対し、前記補償線量値は、離散グレースケールの少なくとも2つの異なる値を、例えば近似されるべき値より上および下の2つの値のいずれかを、それぞれの画素に対応する像要素に影響するアパーチャ像に割り当てることによって近似され、(こうして割り当てられた値の平均をエミュレートするように)像要素に投与された総線量は、予め定められた誤差幅内で補償線量値を再生する。予想されるように、達成することのできる予め定められた誤差幅は、離散グレースケールの2つの値の差を、アパーチャ像の公称幅をターゲット上の隣接するアパーチャ像の中心位置間の前記距離で割った商として得られた値の二乗で除算したものとすることができる。所望のパターンの輪郭線位置決めのための対応する誤差幅は、ターゲット上の隣接するアパーチャ像の中心位置間の距離の二乗を、最大線量に対する線量増分とアパーチャ像の公称幅との積で除算したものである。
ステップivで各画素に対し離散値を決定するのに適した1つの方法は、離散グレースケール内の値の中で、補償線量値に算術的に最も近い値を選択することによるものである。
本発明の適切な態様によれば、ステップiiないしv、少なくともステップiiiないしv、は描画プロセス中に実時間で実行することができる。この場合、特に計算データの恒久的保存無しに、関連する計算を過渡的に実行することができる。
本発明の別の有利な態様は、マップ(ステップi)をどのように決定するかに関係する。例えば、マップは、それぞれ特定のブランキングアパーチャの電流線量値に対応するマップの各部分に対し、それぞれのブランキングアパーチャに対応するビーム部だけが電流測定装置に伝搬するように、パターン規定装置を制御しながら、ターゲットの代わりに配置される電流測定装置によって決定することができる。
さらに、マップは時間依存値を含むこともできる。すなわち、ターゲット上に存在する荷電粒子ビーム感応レジスト層のような、ターゲットの感応性のエージング関数に対応する時間依存性を有する。代替的に、またはそれと併せて、時間変動する総電流を有する源から粒子ビームが発生する場合、時間依存性は、源から放出される総電流の変動関数に対応する関数を含むことができる。そのような変動関数は、例えば前節に記載したような電流測定装置を使用してビームの電流を測定することによって、更新することができる。
離散グレースケールセットは、全てのアパーチャ像に対し均一であり、予め定められた最小値から予め定められた最大値まで等間隔の値を含むことが好ましい。以下の開示では、一般性を失うことなく、最小値および最大値はそれぞれ0および1を採用する。
本発明の1つの有利な実現では、ステップiでマップは、公称電流線量値からの前記分布の相対誤差を記述する値α(r)の数値アレイとして実現され、次いで、ステップiiiにおける電流係数による除算は、(1+α(r))で割ることによって行われる。
補償計算の適切な変形例では、ステップiiiにおける補償線量値の算出は、結果が予め定められた最大値より小さい場合にだけ、それぞれの公称線量値をそれぞれの画素に対応するアパーチャの電流係数で割ることによって行うことができ、それ以外の場合、代わりに前記最大値が採用される。
本発明のさらなる態様は、画素データの行方向の補正を考慮する。「行」は、走査方向と平行な、すなわちターゲット上のアパーチャ像の位置の時間平均移動の方向に対応する、線状に並んだアパーチャである。行方向の補正を実現するために、電流係数は、各行に対し均一であるが行の間で異なることのある行較正係数を含む。例えば、ある行の行較正係数は、それぞれの行のブランキングアパーチャに対応するビームレットだけが電流測定装置に伝搬するようにパターン規定装置を制御しながら、ターゲットの代わりに配置された電流測定装置によって決定することができる。次いで、こうして測定された総線量を全ての行の値の最小値、最大値、または平均値のような共通基準値で除算したものが、行較正係数とされる。行較正係数を決定する別の適切な方法は、それぞれの行のブランキングアパーチャからターゲットの位置に線構造を生成し、こうして生成された線の幅を測定し、前記幅を基準幅で除算することによるものである。この場合、例えば、線構造は、ターゲットの位置の計測装置によって直接測定することができ、あるいは線構造は、前記それぞれの行に対応する少なくとも1つのパターン線を含むパターン化線構造をターゲット上に描画し、前記少なくとも1つのパターン線の輪郭線幅を測定し、かつ全ての行の値の最小値、最大値、または平均値のような共通基準値に対して比較することを通して評価することができる。
以下で、本発明を概略的に示す図面に関連して、本発明をさらに詳しく説明する。
現状最新技術の荷電粒子マルチビームシステムの縦断面図である。 現状最新技術のパターン規定システムの縦断面図である。 ストライプを使用するターゲット上の基本描画戦略を示す図である。 ターゲット上に結像されたアパーチャの例示的配列を示す図である。 露光される例示的パターンの画素マップの例を示す図である。 M=2、N=2のアパーチャの配列を示す図である。 「二重グリッド」配列の画素のオーバサンプリングの例を示す図である。 1つのストライプの露光を示す図である。 本発明を適用した荷電粒子マルチビームシステムの縦断面図であり、基板へのビーム投影によりパターン規定装置によって生成された実際の電流密度マップを決定するために使用される、電流センサおよびそれに関係するコントローラを示す。 粒子源の不均一性を示す、均一な公称値からのパーセント単位の相対的ずれとして表された測定電流密度マップの例を示す図である。 本発明の線量分布補正装置を用いるデータ作成フローを示すフローチャートである。 非重複露光スポットを使用して図9のマップから得た補償後の相対線量率誤差のマップである。 o=2のオーバサンプリング係数で重複露光スポットを使用して図9のマップから得た補償後の相対線量率誤差のマップである。 o=4のオーバサンプリング係数で重複露光スポットを使用して図9のマップから得た補償後の相対線量率誤差のマップである。 最小電流線量を公称電流線量とした場合の図9のマップのシミュレーションによる位置決め誤差分布図である。 平均電流線量を公称電流線量とした場合のy=0.6のパターン線量に対する図9のマップのシミュレーションによる位置決め誤差分布図である。 平均電流線量を公称電流線量とした場合のy=0.7のパターン線量に対する図9のマップのシミュレーションによる位置決め誤差分布図である。 平均電流線量を公称電流線量とした場合のy=0.8のパターン線量に対する図9のマップのシミュレーションによる位置決め誤差分布図である。 平均電流線量を公称電流線量とした場合のy=0.9のパターン線量に対する図9のマップのシミュレーションによる位置決め誤差分布図である。 行較正および列較正に関する本発明のさらなる変形例を示す図である。
以下に記載する実施形態は本発明の適切な実現例を表わすにすぎず、本発明はそれらに限定されないことを理解されたい。

<リソグラフィ装置>
本発明の好適な実施形態を使用する、先行技術で公知のリソグラフィ装置の概要を図1に示す。以下では、本発明を開示するために必要な詳細だけを提示する。明確を期すために、図1における構成要素は一定の縮尺で描かれていない。リソグラフィ装置1の主要な構成要素は、この実施例では図1で垂直方向下向きに延びるリソグラフィビームlb、pbの方向に対応して、照射システム3、パターン規定(PD)システム4、投影システム5、および基板16を含むターゲットステーション6である。装置1全体は、装置の光軸cxに沿った荷電粒子のビームlb、pbの妨害されない伝搬を確実にするために高真空に維持された真空筐体2内に収容される。荷電粒子光学系3、5は、静電レンズおよび/または磁気レンズを用いて実現される。
照射システム3は、例えば電子銃7、抽出システム8、コンデンサレンズシステム9を含む。しかし、一般的には、電子の代わりに他の荷電粒子を使用することもできることに留意されたい。電子以外に、これらは例えば水素イオンもしくは重イオン、荷電原子クラスタ、または荷電分子とすることができる。
抽出システム8は、粒子を典型的には数KeV、例えば5KeVの定められたエネルギまで加速する。コンデンサレンズシステム9によって、粒子源7から出射された粒子は、リソグラフィビームlbとして作用する幅広の実質的にテレセントリックな粒子ビーム50に形成される。リソグラフィビームlbは次いで、複数の開口および/またはアパーチャを持つ複数のプレートを含むPDシステム4を照射する。PDシステム4は、リソグラフィビームlbの経路における特定の位置に保持され、リソグラフィビームはこうして複数のアパーチャおよび/または開口を照射し、複数のビームレットに分割される。
アパーチャおよび/または開口の一部は、それらを通過するビームの部分すなわちビームレット51がターゲットに到達することを可能にするという意味で、入射ビームに対し透明になるように、「スイッチオン」つまり「開放」される。他のアパーチャおよび/または開口は「スイッチオフ」つまり「閉鎖」される。すなわち、対応するビームレット52はターゲットに到達することができず、したがってこれらのアパーチャおよび/または開口は事実上、ビームに対し非透明(不透明)になる。したがって、リソグラフィビームlbはパターン化されたビームpbに構造化され、PDシステム4から出現する。スイッチオンされたアパーチャおよび/または開口、すなわちリソグラフィビームlbに対し透明なPDシステム4の部分だけのパターンは、荷電粒子感応レジスト17で被覆された基板16に露光されるべきパターンに従って選択される。露光されるべきパターンに関する情報は、電子パターン情報処理システム18を用いて実現されるデータ経路によって、PDシステム4に供給される。データ経路については、以下の「実時間データ経路」の節でさらに説明する。ビームレットの「スイッチオン/オフ」は通常、PDシステム4のプレートの1つに設けられる適切な種類の偏向手段によって実現されることに注目されたい。「スイッチオフ」されたビームレット52は、(非常に小さいが充分な角度だけ)それらの経路から逸らされるので、ターゲットに到達することはできず、リソグラフィ装置のどこかで、例えば吸収プレート11で吸収されるだけである。
パターン化ビームpbによって表されるパターンは、次いで、電気‐磁気‐光学投影システム5を用いて基板16上に投影され、そこでビームは「スイッチオン」されたアパーチャおよび/または開口の像を形成する。投影システム5は、2つのクロスオーバc1およびc2により、例えば200:1の縮小を実現する。基板16は、例えば荷電粒子感応レジスト層で被覆された6インチマスクブランクまたはシリコンウェハである。基板はチャック15によって保持され、ターゲットステーション6のウェハステージ14によって配置される。
図1に示す実施形態では、投影システム5は複数の連続した電気‐磁気‐光学プロジェクタステージ10a、10b、10cから構成され、それは静電レンズおよび/または磁気レンズ、ならびにおそらく他の偏向手段を含むことが好ましい。これらのレンズおよび手段の適用は先行技術で周知であるので、それらは象徴的に示すだけである。投影システム5は、クロスオーバc1、c2を介する縮小結像を使用する。両ステージの縮小係数は、数百分の1の全体的縮小、例えば200分の1の縮小が得られるように選択される。この程度の縮小は、PD装置の小型化の問題を緩和するために、リソグラフィセットアップに特に適している。
投影システム5全体に、色収差および幾何収差に関してレンズおよび/または偏向手段を充分に補償するために様々な装備が設けられる。像を全体的に側方に、すなわち荷電粒子光軸cwに対して垂直方向に沿ってシフトさせる手段として、偏向手段12a、12b、および12cがコンデンサ3および投影システム5に設けられる。各偏向手段は例えば、図1に偏向手段12bで示すように粒子源抽出システム(12a)またはクロスオーバの近くに、あるいは図1のステージ偏向手段12cの場合のようにそれぞれのプロジェクタの最終レンズ10cの後に配置される、多極電極システムとして実現することができる。この装置では、多極電極は、ステージの移動に対して像をシフトさせるため、およびアラインメントシステムと連動して結像システムを補正するための両方の偏向手段として使用される。これらの偏向手段10a、10b、10cは、停止プレート11と連動するPDシステム4の偏向アレイ手段と混同してはならない。後者は、パターン化ビームpdの選択されたビームレットを「スイッチオン」または「スイッチオフ」するために使用される一方、前者は単に粒子ビームを全体として取り扱うだけである。また、軸線方向の磁界を提供するソレノイド13を用いて、プログラム可能なビームの集合を回転させる可能性もある。
図2の詳細断面図は、PDシステム4の1つの適切な実施形態を示す。それは、連続的構成に積み重ねられた3つのプレート、すなわち「アパーチャアレイプレート」(AAP)20、「偏向アレイプレート」(DAP)30、および「フィールド境界アレイプレート」(FAP)40を含む。用語「プレート」はそれぞれの装置の全体的な形状を指しているが、プレートが単一のプレート部品として実現されることが通常は好ましい実現方法であるとしても、必ずしもそうであることを示すものではないことは注目に値する。依然として、特定の実施形態では、アパーチャアレイプレートのような「プレート」は、複数のサブプレートから構成することができる。プレートは、Z方向(図2の垂直軸)に沿って相互に距離を置いて、相互に平行に配置することが好ましい。
AAP20の平坦な上面は、荷電粒子コンデンサ光学系/照射システム11に対する定められたポテンシャル界面を形成する。AAPは、例えば中心部22が薄化された1枚の正方形または長方形のシリコンウェハ(厚さ約1mm)21から作ることができる。プレートは導電性保護層23によって被覆することができ、それは、水素またはヘリウムイオンを使用する場合、特に有利である(米国特許第6,858,118号のライン)。電子または重イオン(例えばアルゴンまたはキセノン)を使用する場合、層23とバルク部21、22との間に界面が存在しないように、層23もまた、それぞれ表面部分21および22によって提供されるシリコンとすることができる。
AAP20には、薄化部22を横断する開口によって形成される複数のアパーチャ24が設けられる。アパーチャ24は、薄化部22に設けられたアパーチャ領域内に予め定められた配置構成に配列され、こうしてアパーチャアレイ26が形成される。アパーチャアレイ26におけるアパーチャの配列は、例えば千鳥状配列または規則的な長方形もしくは正方形アレイとすることができる(図4参照)。図示する実施形態では、アパーチャ24は、層23内に作製された直線状プロファイル、および開口の下向きの出口25がアパーチャ24の主要部より広くなるように、AAP20のバルク層における「逆行」プロファイルを有するように実現される。直線状プロファイルおよび逆行プロファイルは両方とも、反応性イオンエッチングのような現状最新技術の構造化技術により作製することができる。逆行プロファイルは、開口を通過するビームのミラー帯電効果を強力に低減する。
DAP30は複数の開口33が設けられたプレートであり、それらの位置はAAP20のアパーチャ24の位置に対応しており、そこに、開口33を通過する個々のビームレットを選択的にそれらの経路から偏向させるように構成された電極35、38が設けられる。DAP30は、例えばASIC回路構成を備えたCMOSウェハを後処理することによって作製することができる。DAP30は例えば正方形または長方形の形状を有する1枚のCMOSウェハから作られ、薄化された(しかし22の厚みと比較して適切に厚くすることができる)中心部32を保持するフレームを形成する、より厚い部分31を含む。中心部32のアパーチャ開口33は24と比較して幅が大きい(例えば各辺が約2μmだけ大きい)。CMOS電子系34は、MEMS技術を用いて設けられた電極35、38を制御するために設けられる。各開口33に隣接して、「接地」電極35および偏向電極38が設けられる。接地電極35は相互接続され、共通接地電位に接続され、帯電を防止するための逆行部36、およびCMOS回路構成の望ましくないショートカットを防止するための隔離部37を含む。接地電極35はまた、CMOS回路構成34における、シリコンバルク部31および32と同一電位の部分にも接続することができる。
偏向電極38は、選択的に静電ポテンシャルを印加されるように構成される。そのような静電ポテンシャルが電極38に印加されると、これは電界を発生して、対応するビームレットに対し偏向を生じさせ、ビームレットを公称経路から逸れさせる。電極38もまた、帯電を防止するために逆行部39を有することができる。各々の電極38は、その下部をCMOS回路構成34内のそれぞれの接触部位に接続される。
ビームレット間のクロストーク効果を抑制するために、接地電極35の高さは偏向電極38の高さより高い。
図2に示すPDシステム4とDAP30の配置構成は幾つかの可能性の1つにすぎない。変形例(図示せず)では、DAPの接地および偏向電極35、38は、下流方向ではなく、上流方向に向ける(上向きにする)ことができる。当業者はさらなるDAP構成、例えば埋込み接地および偏向電極を考案することができる(出願人の名義の他の特許、例えば米国特許第8,198,601号明細書を参照されたい)。
FAPとして働く第3のプレート40は、下流の縮小荷電粒子投影光学系の第1レンズ部に向けられた平坦な表面を有し、したがって投影光学系の第1レンズ10aに対する定められたポテンシャル界面を提供する。FAP40の厚い部分41は、薄化された中心部42を持つシリコンウェハの一部分から作られた正方形または長方形のフレームである。FAP40には、AAP20の開口24およびDAP30の開口33に対応するが、後者と比較して幅の広い複数の開口43が設けられる。
PDシステム4、および特にその第1プレートであるAAP20は幅広の荷電粒子ビーム50を照射され(本書において「幅広」のビームとは、AAPに形成されたアパーチャアレイの全領域を網羅するのに充分に広幅のビームであることを意味する)、こうしてビームは、アパーチャ24を通過するときに、数千個のミクロンサイズのビームレット51に分割される。ビームレット51はDAPおよびFAPを妨げられることなく通過する。
既述の通り、偏向電極38がCMOS電子系を介して付勢されると、偏向電極と対応する接地電極との間に電界が発生し、通過するそれぞれのビームレット52の小さいが充分な偏向を導く(図2)。DAPおよびFAPのそれぞれの開口33および43は充分に幅広に作られているので、偏向されたビームレットはDAPおよびFAPを妨げられずに通過することができる。しかし、偏向されたビームレット52はサブカラムの停止プレート11で除去される(図1)。こうして、DAPによって影響されないビームレットだけが基板に到達する。
縮小荷電粒子光学系5の縮小率は、ビームレットの寸法およびPD装置4におけるそれらの相互距離、ならびにターゲットにおける構造の所望の寸法を考慮して、適切に選択される。これは、PDシステムにおけるミクロンサイズのビームレットを可能にする一方、ナノメートルサイズのビームレットが基板上に投影される。
AAPによって形成された(影響されない)ビームレット51の集合は、投影荷電粒子光学系の予め定められた縮小率Rで基板に投影される。こうして、幅BX=AX/RおよびBY=AY/Rをそれぞれ有する「ビームアレイフィールド」が基板に投影される。ここでAXおよびAYはそれぞれX方向およびY方向に沿ったアパーチャアレイフィールドのサイズを表す。基板におけるビームレット(すなわちアパーチャ像)の公称幅は、それぞれbX=aX/RおよびbY=aY/Rによって与えられる。ここで、aXおよびaYは、DAP30のレベルでそれぞれX方向およびY方向に沿って測定されたビームレット51のサイズを表す。
図2に示された個々のビームレット51、52は、二次元X‐Yアレイに配列されたずっと大きい数の、典型的には数千本ものビームレットを代表するものであることは注目に値する。出願人は、例えばR=200の縮小率を持つイオン用のマルチビーム荷電粒子光学系のみならず、何千本(例えば262,144本)ものプログラム可能なビームレットを持つ電子マルチビームカラムをも実現した。出願人は、基板におけるビームアレイフィールドが約82μm×82μmのカラムを実現した。これらの例は例証を目的として記載したものであって、限定例とみなすべきではない。
図3を参照すると、PDシステム4によって規定されたパターン像pmがターゲット16上に生成される。荷電粒子感応レジスト層17で被覆されたターゲットの表面は、露光される1つ以上の領域r1を含む。一般的に、ターゲット上に露光されたパターン像pmは、パターン化される領域r1の幅より通常かなり小さい有限サイズy0を有する。したがって、ターゲット上のビームの位置を絶え間なく変化させるように、入射ビームの下でターゲットを移動させる、走査ストライプ露光戦略が利用される。ビームは事実上、ターゲットの表面全体にわたって走査される。本発明の場合、ターゲット上のパターン像pmの相対運動だけが関係することを強調しておく。相対運動により、パターン像pmは領域r1上を移動して、幅y0の一連のストライプs1、s2、s3,…,sn(露光ストライプ)を形成する。ストライプの完全な組は基板表面の全領域を網羅する。走査方向sdは均一とすることができ、あるいは1ストライプ毎に交互に交替することができる。
図5は、10×16=180画素の大きさを持つ結像パターンpsの単純な例を示す。ここで露光領域の一部の画素p100は100%のグレーレベル401に露光され、他の画素p50はフルグレーレベルの50%しか露光されない402。残りの画素は0%の線量403に露光される(全く露光されない)。言うまでもなく、本発明の現実的な用途では、標準的な像の画素数はこれよりずっと多くなるであろう。しかし、図5では、分かり易くするために、画素数はわずか180である。また、一般的に、0%から100%までのスケール内でずっと多くのグレーレベルが使用されるであろう。
こうして、パターン像pm(図3)は、露光すべき所望のパターンに従った線量値を露光される複数のパターン画素pxから構成される。しかし、PDシステムのアパーチャフ
ィールドには有限数のアパーチャしか存在しないので、同時に露光できるのは画素pxのサブセットだけであることを理解されたい。スイッチオンされるアパーチャのパターンは、基板上に露光すべきパターンに従って選択される。こうして、実際のパターンでは、全部の画素がフル線量で露光されるわけではなく、一部の画素は、実際のパターンに従って「スイッチオフ」される。任意の画素に対し(または同義的に、画素を網羅する全てのビームレットに対し)、画素が「スイッチオン」されるかそれとも「スイッチオフ」されるかにかかわらず、ターゲット上で露光または構造化すべきパターンに応じて、露光線量を画素露光サイクル毎に変動することができる。
基板16が連続的に移動する間、ターゲット上のパターン画素pxに対応する同一像要素が一連のアパーチャの像によって何度も網羅されることがある。同時に、PDシステムのパターンはPDシステムのアパーチャを介して段階的にシフトされる。こうして、ターゲット上のある位置の1つの画素を考慮した場合、その画素を網羅している全てのアパーチャがスイッチオンされると、これは、最大露光線量レベル、すなわち100%に対応する「白」シェードをもたらす。「白」シェードに加えて、最小(「黒」)および最大(「白」)露光線量レベル間を補間する、より低い線量レベル(「グレーシェード」ともいう)に従って、ターゲットの画素を露光することが可能である。グレーシェードは例えば、1つの画素の描画に関与するアパーチャのサブセットだけをスイッチオンすることによって実現することができる。例えば16のアパーチャのうちの4つでは、25%のグレーレベルをもたらす。別の手法は、関与するアパーチャのアンブランキング露光時間を低減させるものである。こうして、1つのアパーチャ像の露光時間はグレースケールコード、例えば整数によって制御される。露光されたアパーチャ像は、ゼロおよび最大限の露光時間および線量レベルに対応する所与の数のグレーシェードの中の1つの顕現である。グレースケールは通常、1組のグレー値、例えば0、1/(ny−1)…、i/(ny−1)、…、1を定義する。nyはグレー値の個数であり、iは整数(「グレー指数」、0≦i≦ny)である。しかし、一般的に、グレー値は等間隔である必要はなく、0と1との間の非減少シーケンスを形成する。
図5は、基本的レイアウトに従ったPD装置のアパーチャフィールドのアパーチャの配列を示し、かつ以下で使用する幾つかの数量および略語をも示す。示されているのは、濃いシェードで示すターゲット上に投影されたアパーチャ像b1の配列である。主軸XおよびYはそれぞれ、ターゲットの移動の前進方向(走査方向sd)および直交方向に対応する。各アパーチャ像は、方向XおよびYに沿ってそれぞれ幅bXおよびbYを有する。アパーチャは、それぞれMX個およびMY個のアパーチャを有する列および行に沿って配列され、列および行における隣接アパーチャ間のオフセットはそれぞれNXおよびNYである。その結果、各アパーチャ像に、NX・bX・NY・bYの面積を有する概念上のセルC1が属することになり、アパーチャ配列は、長方形に配列されたMX・MY個のセルを含む。以下では、これらのセルC1を「露光セル」という。ターゲット上に投影される完全なアパーチャ配列は、BX=MX・NX・bX × BY=MY・NY・bYの寸法を有する。以下の論考では、一般性を制限することなく、以下の全ての説明で、長方形グリッドの特殊な事例として正方形グリッドを想定し、b=bX=bY、M=MX=MY、およびN=NX=NYと設定する。Mは整数である。したがって、「露光セル」はターゲット基板上でN・b×N・bのサイズを有する。
2つの隣接する露光位置間の距離を、以下では、eと表す。一般的に、距離eはアパーチャ像の公称幅bとは異なることがあり得る。2×2の露光セルC3の配列例について図6Aに示す最も単純な場合には、b=eであり、1つのアパーチャ像bi0は1つの画素(の公称位置)を網羅する。図6Bに示す(かつ米国特許第8,222,621号および米国特許第7,276,714号の明細書の教示に係る)別の興味深い事例では、eはアパーチャ像の幅bの分数b/oとすることができる。o>1は、オーバサンプリング係数とも呼ばれる整数である。この場合、アパーチャ像は、様々な露光の過程で空間的に重複し、作成すべきパターンの配置のより高い解像度を可能にする。その後に、アパーチャの各像は、一度に複数の画素、すなわちo2個の画素を網羅する。ターゲットに結像されるアパーチャフィールドの領域全体は、(NMo)2個の画素を含む。アパーチャ像の配置の観点から、このオーバサンプリングは、ターゲット領域を単純に網羅するために必要なグリッドとは(間隔がそれより細かいので)異なる、いわゆる配置グリッドに対応する。
図6Bは、配置グリッドと組み合わされたo=2のオーバサンプリングの一例、すなわちパラメータo=2、N=2を有する露光セルC4を持つアパーチャアレイの像を示す。こうして、各公称位置(図6Bの小さい正方形のフィールド)に、規則的グリッド上でXおよびYの両方向にピッチeだけオフセットされた4つのアパーチャ像bi1(破線)が印刷される。アパーチャ像のサイズは依然として同一値bであるが、配置グリッドのピッチeは今やb/o=b/2となる。以前の公称位置に対するオフセット(配置グリッドのオフセット)もサイズb/2となる。同時に、各画素の線量および/またはグレーシェードは、それぞれの画素を網羅するアパーチャ像に対し適切なグレー値を選択することによって、適応(低減)させることができる。その結果、サイズaの領域が印刷されるが、より微細な配置グリッドのため、配置精度は向上する。図6Bと図6Aとの直接の比較から、アパーチャ像の位置は、アパーチャ像自体が重複しながら、以前より2倍(一般的にo倍)細かく配置グリッド上に配列されることが分かる。露光セルC4は今や、描画プロセス中にアドレス指定される(No)2個の位置(すなわち「画素」)を含み、したがって以前のo2倍多い画素を含む。それに対応して、図6Bのo=2のオーバサンプリング(「ダブルグリッド」とも呼ばれる)の場合、アパーチャ像bxbのサイズを持つ領域bi1は、o2=4個の画素に関連付けられる。言うまでもなく、oはいずれかの他の整数値、特に4(「クワッドグリッド」、図示せず)または8を取ることもできる。
図7は、本発明に適した画素の露光スキームを示す。示されているのは一連のフレームであり、時間は上(より早い時間)から下(より遅い時間)に増大する。この図におけるパラメータ値はo=1、N=2である。また、長方形ビームアレイはMX=8およびMY=6と想定されている。ターゲットは左へ連続的に移動する一方、ビームの偏向は、図の左側に示されるようにシーソー関数(seesaw function)により制御される。長さT1の各時間間隔中、ビーム像はターゲット上のある位置(「配置グリッド」の位置に対応する)に固定されたままである。こうして、ビーム像は配置グリッドのシーケンスp11、p21、p31を通過することが示されている。配置グリッドの1つのサイクルは、ターゲットの移動vによって、時間間隔L/v=NMb/v内に露光される。各配置グリッドにおける露光時間T1は、長さLG=vT1=L/(No)2=bM/No2に対応し、それを「露光長さ」と呼ぶ。
ビームレットは、1組の像要素の露光中にターゲットと共にLGの距離にわたって移動する。換言すると、時間間隔T1中、全てのビームレットは基板の表面に対して固定位置を維持する。ビームレットがターゲットと共に距離LGに沿って移動した後、ビームレットは瞬時に(非常に短い時間内に)再配置され、次の配置グリッドの像要素の露光が開始される。配置グリッドサイクルの位置p11…p31を通る全サイクルの後、X方向(走査方向)と平行な長手方向オフセットL=bNMを加えて、シーケンスが新たに始まる。ストライプの始めおよび終わりに、この露光方法では連続したカバリングが生成されないことがあるので、完全には埋められない長さLのマージンが存在することがる。
先行技術では、伝搬ビームレットの幅を規定するアパーチャアレイプレート20のアパーチャの寸法aX、aYは基本的に同一であること、および照射ビームibの強度、すなわちその電流密度はアパーチャフィールド全体にわたって均一であることが通常想定されている。しかし、現実的な実現においては、ターゲットに伝達される線量は、主に光軸cwからの距離の関数として変化することが示されてきた。荷電粒子光軸cwの近くに位置するビームレットは通常、コンデンサの伝達関数の高次変化および照射源の角度輝度分布によって、周辺部のビームレットとは異なる(より高い、またはより低い)線量を伝達する。さらに、上述した補償規定にも関わらず、リソグラフィ装置の荷電粒子光学部品は、リソグラフィビームlbに残留する色収差および幾何収差をもたらす。さらに、変動するアパーチャサイズは、不均一性をさらに助長する原因になる。
多くの不規則性を考慮して、前記の問題を解決するために様々な手法を適用することができる。2つの基本的手法が、米国特許第8,258,488号明細書の図4および図5に示されている。アパーチャの幅w1、w2はアパーチャプレート上の位置によって異なることがあり、さらに、アパーチャは規則的グリッドに従って配置されるのではなく、結像不良を補償するように構成される小さいずれを含む。この手法は、アパーチャプレートの再設計を必要とするので、時間がかかり、したがって高価である。さらに、それはずれの静的補償のみを可能にし、描画プロセスの直前に決定されたずれを考慮に入れることができない。

<ビーム電流分布の測定>
図8は、本発明の第1実施形態に係るリソグラフィ装置を、図1のリソグラフィ装置に匹敵する断面図で示す。基板へのビームの投影後にパターン規定装置によって生成される電流密度マップをもたらすことを目的として、ビームアレイフィールド内の電流分布を測定するために電流センサ60が設けられる。電流センサは、実質的にターゲット16が配置される基板平面に近いカラムの端部に配置することができる。電流密度マップを測定する典型的なプロセスでは、ビームアレイの特定の関心領域だけがスイッチオンされ、他のビーム部52は全部スイッチオフされる。したがって、ビームアレイのこれらの部分だけが電流検出器に到達し、この領域内に特定の総電流を生じさせる。この方法論によって、どんな分布でも測定することができる。実務的な理由から、8×8または16×16のサブエリアを持つチェス盤型のアレイが便利であろう。電流密度マップは最終的に複数の相対電流値から構成され、例えば最大電流の領域に対し正規化される。
電流センサ60は、ステージに搭載されたファラデーカップ(FC)として実現され、電流計61に接続されることが好ましい。この目的のために、ビームアレイの全てのプログラム可能なビームレットがFC内に入るように、ステージは横に偏移される。PDシステムでビームを偏向させ、このように偏向させたビームを第2クロスオーバc2付近の停止プレート11で除去することによって、ビームレットのサブセットの電流を測定することが可能であり、サブセットは自由に選択することができる。これは、FCを動かす必要なく、ビームレットの異なるサブセットをプログラミングすることによって、ビームアレイフィールド内の電流分布を評価することを可能にする。
図9は、図8に示すセットアップによって測定された、電流密度マップMp(単に「マップ」とも呼ばれる)の典型例を示す。マップは、ビームアレイのそれぞれ指示された領域を体系的に起動(すなわちスイッチオン)させる一方、測定中は、他の領域を全部スイッチオフしながら、電流が電流センサに流れたときにビームアレイ全体の電流を測定することによって生成された。典型的には、マップでは、ビームアレイの角部付近の電流線量値は、マップ全体の平均に対して低減または増強される。図9の例では、ターゲットにおける82μm×82μmのビームアレイフィールドは、512×512=262,144個のプログラム可能なビームレットから構成された。図示するように、電流線量分布の8×8のマトリックスが測定され、各測定値は、それぞれの値を生成するために使用された262,144/64=16,384個のビームレットを含む。図9の根底にある電子源は、平坦なエミッタ表面を持つ熱電界放射陰極型(単結晶の例えばタングステンまたはLaB6)であり、抽出は抽出システム8によって行われた。電子は大きい方の表面(典型的には20μm)から放出されるので、機械的不完全さのため(例えば陽極に対するエミッタ面のアラインメント)または抽出電界強度の局所的差異のため、角電流密度がエミッタ全体で変動することは避けられない。
図9は、8×8の空間ラスタに実行された64の測定の結果を示す。明らかに、マトリックスが微細になった場合に、線量の不均一性の補償は改善される。しかし、線量の不均一性の満足できる補償を達成するには、典型的には8×8または16×16で充分であることが明らかになった。グリッドはビームアレイと同程度に微細になるように選択され、例えば全てのビームがその個別電流線量補正を有することが理想的であるが、これは、著しく余分の計算能力をもたらすことになるであろう。

<実時間データパス>
完全なパターン像は膨大な量の像データを含んでおり、これは、これらのデータの効率的な計算のために、露光すべき画素データを好ましくは実時間で生成する高速データパスが適している理由である。しかし、露光すべきパターンは典型的には、ベクトルフォーマットで、例えば長方形、台形、または一般的多角形のような幾何学的形状の集合として記載され、それは典型的にはより優れたデータ圧縮をもたらし、したがってデータ保存に関する要件を低減する。したがってデータパスは次の3つの主要部分から構成される。
1)ベクトルベースの物理的補正プロセス
2)ベクトルを画素データに変換するラスタライズ処理プロセス
3)画素データを描画プロセスのために一時的に保存するバッファ
図10はデータパス800のフローチャートを示す。データパスは、露光すべきパターンEXPPが供給されるとすぐに始動する。
ステージ801:ベクトルベースの物理的補正(VBPC)。露光すべきパターンは、おそらく幾何学的に重複する多数の小さいデータチャンクに分割される。ベクトルドメインで適用することのできる補正(例えば近接効果補正)は、全てのチャンクに対し独立しておそらく並行して実行することができ、結果的に得られたデータは保存され、かつ後続ステップの計算速度を改善するように符号化される。出力はチャンクの集合であり、全てのチャンクは幾何学的形状の集合を含む。チャンクは独立してラスタライズ処理プロセスに送られる。
ステージ802:ラスタライズ処理(RAST)。全てのチャンクの幾何学的形状はラスタグラフィックスアレイに変換され、ここで画素グレーレベルは、対応するアパーチャ像の物理的線量を表す。幾何学的形状の完全に内側にある全ての画素には多角形の色が割り当てられる一方、幾何学的形状の縁を超える画素の色は、その幾何学的形状によって網羅される画素の面積の端数によって重み付けされる。この方法は、幾何学的形状の面積とラスタライズ処理後の総線量との間の線形関係を含意する。線量は最初、浮動小数点数として算出される。その後でようやく、それらはPD装置によってサポートされる線量値の離散セットに変換される。ラスタライズ処理の結果として、画素データは浮動小数点数のフォーマットを取り、それぞれの画素に対する公称線量値yを表す。
ステージ803:オンライン線量補正器(DCORR)。浮動小数点線量値yは測定電流密度マップ(または線量補正マップ)の助けにより修正される一方、画素の個々の公称線量値と相対補正係数の乗算のような単純な数学演算が適用される。これは、全ての画素に露光装置の特定のビームレットが関連付けられ、かつしたがってPD装置の特定のアパーチャが関連付けられるという事実に基づく。したがって、補正の前に実験的に決定された補正値を用いて、電流密度マップ内のそれぞれのビームレットまたはビームの面積の補正値を公称線量値に適用することが可能である。このステップは、意図されたパターン(のそれぞれの領域)内の各ビームレットに対する補償された露光線量値を表す1組の修正された浮動小数点データy’を生み出す。
ステージ804:ディザリング(DITH)。ディザリングプロセス805は、予め定められたグレー値スケールに基づいて線量値データy’をグレー値データに変換する。これは、丸め誤差が近傍の画素全体で平均化されることを確実にする位置依存丸め処理であり、それはオーバサンプリングと組み合わされて、単一アパーチャの場合に利用可能な線量値の離散セットよりずっと微細な線量変化を可能にする(「補償方法の例証」節を参照されたい)。この変換は、可視画像データを画素グラフィックスに変換するための公知のアルゴリズムによって実現することができる。そのようなアルゴリズムは例えば、単純な算術丸めまたは他のオーダード法、パラメータによる確率論的方法、および一般的な確率論的方法を使用することができ、そのような方法は先行技術から周知であり、かつ予め定められた規則によって、複数の隣接画素全体の量子化から生じる誤差を分散することをも可能にする。
実際の補正(例えば不良アパーチャ補正)に応じてディザリングの直前または直後に画素ドメインで適用することができることを前提として、このステージで追加的な補正(これは本発明の一部ではない)を適用することが可能である。
ステージ805:画素パッケージング(PPACK)。ステージ804から得られた画素像は、配置グリッドシーケンスに従ってソートされ、画素バッファPBUFに送られる。画素データは、充分な量のデータ、典型的には少なくともストライプの長さが存在し、それによりストライプの露光がトリガされるまで、バッファリングされる。描画プロセス中にデータはバッファから取り出される。ストライプが描画された後、次のストライプのような次の領域のパターンデータに対し、上述したプロセスが新たに開始される。

<ビーム電流線量のずれの補償>
以下では、本発明に係る補償方法の特定の実現について考察する。照射システムの不均一性は、ビームレットに関連付けられるアパーチャのPDシステム上の位置rの関数である、ビームレット電流線量D(r)の変動を導く。理想的な事例では、ビームレット線量はアパーチャアレイ全体で一定になる。すなわち、最大グレーレベルに等しい一定の公称値cになる。現実的な用途では、ビームレット線量は、この値cからの空間的に変動する小さいずれを示す。これは、ターゲット上に生成される全てのアパーチャ像の範囲全体のターゲット上の標準線量からの相対的ずれとしてずれ関数α(r)を規定することを可能にする。
図9に示すような粗視化電流密度マップのエントリはdiと表される。これらの値は、各領域内の各アパーチャに例えば値Di=diを直接、またはdiデータのアレイから決定された補間値(例えば、マップの値をそれぞれ有しかつマップMpの各領域の中心位置にある支持点からの線形補間)を割り当てることによって、MX×MY個のアパーチャグリッド位置b1(図4参照)のグリッド上のr=riの各アパーチャに対し最大線量Di=D(ri)を割り当てるために使用される。次いで、相対的ずれα(r)は次のように定義される。
Figure 0006566727
ここで、cは公称線量値である。公称線量値は予め定められた値とすることができ、あるいは全測定値の以下に示す平均値c、または最小および最大発生線量の平均c=(max(Di))+(min(Di))/2、または最小線量c=min(Di)(これは式(2)の最小関数による切捨てを回避する)のように、実験データに基づいて選択することができる。

Figure 0006566727
PDシステムの位置rkに由来するビームレットkは、(完全露光は公称線量cにつながるので、アンブランキング露光の持続時間を低減することによって)公称線量yを送達することが期待されると仮定する。ここでyは0とcの間の範囲である。ビーム電流分布の空間的不均一性のため、ビームレットは実際には線量yphys=y・(1+α(r))を発生する。本発明に係る補償は次の基本的な手法を使用する。つまり、ビームレットに対し、yではなく、修正線量y’を割り当てる。

Figure 0006566727
y’<Dkの場合、それは次のようになる。

Figure 0006566727
こうして得られたy’の値は次いでさらに、「実時間データパス」節に記載したように適切なディザリング法を用いて処理され、グレー値に変換される。
換言すると、公称線量yは、電流線量分布の誤差に基づく補償係数q=1+α(r)で除算される(結果的に得られる数字y’が最大ビームレット線量Dkに等しいかそれより小さいことを前提とする)。最終描画プロセスに対しyの任意の(すなわち浮動小数点)値が利用可能であった場合、これは誤差をぴったり相殺することを可能にするであろう。しかし、描画プロセスは所与の離散スケールのグレー値に限定されるので、実際の描画プロセスでは、補償線量値y’がグレースケールからそれぞれの値に変換されるために発生する「丸め誤差」に対応する残留誤差が存在する。例えば、4ビットのグレースケールでは(o=1と仮定する)、16個の異なる値(1/15=6.6%間隔)が利用可能である。したがって、残留誤差が残る。相対誤差α(r)が丸め誤差より小さい場合、提示されたアルゴリズムでは補償は不可能である。例えば3%の誤差は、利用可能な線量間隔が6.6%であった場合、有意義に補償することができない。
本発明はまた、時間の関数として変動するずれを補償することを可能にする。例えば、規則的な時間間隔で、例えば各描画プロセスの前、またはシフトの開始時に電流検知器を用いて測定を実行することによって、粒子源の変動を捕捉することが可能である。また、描画プロセス中の時間変動f(t)も、そのような時間変動が理論的考察および/または実験データから分かることを前提として、補償することができる。また、レジストのエージングのような時間依存プロセスも考慮に入れることが可能である。時間の関数f(t)としてのレジストの感応性の変化が分かれば、補償係数を入力する際にこの関数を追加補正係数として使用することができる。すなわちq=(1+α(r))・f(t)となる。同じ手法で、粒子源の全体的強度の時間依存変動を考慮に入れることが可能になる。

<補償方法の例証>
図11〜図13に関連して、本節では、前節で説明したように、線量不均一性の補正におけるオーバサンプリングの役割を例証する単純なモデルを提示する。
モデルは、ビームレットのアパーチャ像がbの辺長を持つ正方形領域(例えばbi1、図6B参照)における非ゼロだけのターゲット上の線量分布であるという(単純化の)仮定に基づいており、それは均等分布線量(配置グリッドの1点を中心とする2D矩形関数)を有する。さらに、各アパーチャ像は、隣接するアパーチャ像の中心間の距離がe=b/oとなるように、隣接するアパーチャ像と重ね合わされる。その結果、各像要素(4つの隣接する配置グリッド点内の正方形領域)はo2個のアパーチャ像によって露光され、像要素に適用される総線量は、当該像要素に寄与するアパーチャ像のグレー値に関連付けられる線量の総和となる。これは、可能な総線量の数をo2倍に増大させる。例えば、nY=2Gの等間隔グレー値(すなわち0、1/(nY−1)、…、y/(nY−1)、…、1、つまり増分が(nY−1))を持つグレースケールから始めた場合、像要素に適用される総線量は、(nY−1)・o2+1の値(以下「有効グレーレベル」という)の1つを有し、相対線量増分を1/(nY−1)・o2に下げる。同時に、各アパーチャ像はo2個の像要素に一斉に寄与し、それは1つの像要素に適用される総線量を段階的に(nY−1)・o2+1ずつ、しかし像要素毎に個別的にではなく、調整することを可能にする。
有効グレーレベルを使用して、上述した6.6%(像要素に適用される最大線量に対する線量増分)を超える補正が可能である。nY=16に対する相対線量増分w0は、o=1に対してはw1=1/(15・12)=6.66%であり、o=2に対してはw2=1/(15・22)=1/60=1.66%であり、o=4に対してはw4=1/(15・42)=1/240=0.4166%である。
以下では、図9に示す現実的な実験的に測定された線量マップα(r)(8×8のグリッド上で測定した一定公称値cからのずれ)を使用する。像要素は、同一の相対的ずれαを持つo2個のアパーチャ像によって描画されると仮定される(例えば対応するビームレットはPDの同一部分に由来するか、あるいはそれらは単一のビームレットによって描画される)。その結果、像要素はビームレットと同一相対誤差αを有し、誤差補償は、より複雑な像要素の代わりにビームレット/アパーチャに基づいて示すことができる(図11〜13参照)。次いで、公称線量yに補正(2)を適用した後、補正された線量y’は、w0/2の最大丸め誤差(つまりw1/2=3.33%、w2/2=0.833%、およびw4/2=0.20833%)で次の有効グレーレベルに丸められる。
図11〜図13は、線量y=c(つまり公称最大線量)かつそれぞれo=1、o=2、およびo=4の場合について、PDのそれぞれの領域(これは、上に概説した通り、このモデルでは像要素に直接関係する)に対する補償後の相対線量率誤差を示す。(簡潔を期すために、補正線量y’<Dkである、つまり補正線量はアパーチャの最大線量より高くない、と仮定する。)これらの図は、本発明の方法による効率的な補償、およびオーバサンプリング係数oが高くなるにつれて補償が徐々に改善されることをも実証する。図表に示すデータの計算を、左上のずれの測定値α=0.02963について、以下で例証する。補正された線量(方程式2)は、y’=y/(1+α)=c/(1+0.02963)=0.9712・cとなる。次いで、y’は可能な限り最も近いグレーレベルy’Y=Round(y’/c・u)・c/uに丸められる。ここでu=(nY−1)・o2であり(o=1の場合u=15、o=2の場合u=60、o=4の場合u=240)、Round()は、次の整数への丸めのような、実引数から整数結果への丸め関数である。特に、これは結果的に、o=1に対してはy’Y=1・c、o=2に対してはy’Y=0.966・c、o=4に対してはy’Y=0.9708・cとなり、o=1に対してはy’Y,phys=y’Y(1+α)=1.03・c、o=2に対してはy’Y,phys=0.995・c、o=4に対してはy’Y,phys=0.9996・cの物理線量(方程式3)を導く。図11〜図13に示された誤差はcからの相対的ずれであり、それらは、記載した事例の場合、o=1では2.96%(原誤差と同一)、o=2では−0.47%、o=4では−0.04%である。
所望のパターンの輪郭線位置決めの精度(すなわち誤差幅)は、丸め誤差に直接関係する。像要素内の輪郭位置は、像要素に線量を与えるアパーチャ像の線量に線形依存する。直接パターン縁部にあるアパーチャ像だけが、(輪郭線は像要素の左縁から右縁にシフトするという意味で、eの最大変動を持つ‐図6B参照)輪郭線位置を画定するために使用され、その結果、(像要素の総線量の場合の(nY−1)・o2個の有効グレーレベルと同様に)eの範囲内に輪郭線の位置決めのために(nY−1)・o個の有効グレーレベルが存在する。輪郭線の位置決め精度はe/((nY−1)o)=b/((nY−1)o2)であり、それは、o=1に対しては1.3nm、o=2に対しては0.3n、o=4に対しては0.083nmである。
単純な線構造のような関心のある典型的な特徴を考慮すると、線量値の誤差は、逆の、しかしあり得なくはない事例では、反対側の線縁を反対方向にシフトさせるおそれがある。線幅誤差はいわゆるCD均一性に影響を及ぼすものであり、できるだけ低くしなければならない。その結果、個々のビームレットのグレーレベルだけを使用する補正(すなわちo=1)では、離散グレーレベル増分が大きすぎる場合、不十分な精度を免れない。他方、マルチビーム描画装置の所要データレートは、グレーレベルの数と共に増加する。したがって、業界要件(例えば1.2nmのCD変動、6シグマ)を満たすために、意図される線量不均一性補正のための離散線量レベルの制限に取り組む必要がある。

<線量値の確率論的丸め>
線量値を整数の(離散)線量値に丸めるための別の方法として、パラメータ化または一般的確率論的方法を使用することができる。これは上述した算術的丸めの代替または補足とすることができる。一例として、確率分布P(x)に基づく確率論的方法について記載
する。当業者には他の実現が明白になるであろう。そのような事場合、y’は可能な限り最も近いグレーレベルy’Y=Trunc(y’)+Round(P(y’))として決定される。ここでP(x)は端数部分に対応する期待値、例えば0.5による間隔(0,1)の確率分布である。したがって、確率論的丸め関数SR(x)=Trunc(x)+Round(P(x))を定義することができる。y’がすでに離散線量レベルに等しい場合、確率論的確率分布の適用は省くことができることに注目されたい。
関数P(x)およびSR(x)は一般的に、平均および偏差の要求値を満たすことを前提として、いずれかの適切な分布関数とすることができる。例えばP(x)およびSR(x)は0.5の平均および0.1の標準偏差を持つガウス分布とすることができる。こうして、最も近くのより低い、または最も近くのより高いグレーレベルのグレーレベルが選択されるが、単一の実現に対し、結果は(予め)決定されない。所与のy’に対し、実現の平均は「平均すると」y’に対応する。そのような非決定論的挙動は系統的丸め誤差の低減に寄与することができる。他方、ノイズが導入される(ガウス分布の例では、ノイズは標準偏差程度である)。本発明すなわちマルチビームリソグラフィの文脈では、離散線量値におけるこのノイズもまたプリント構造物のエッジ配置の(小さい)変動に変換され、高周波ノイズをもたらす。しかし、小さい振幅のランダム(「ホワイト」)ノイズは一般的にプリント品質を制限しないので、これは有害にはならないが、その一方で、系統的な特徴の変動は通常、大きい不都合な効果を有し、描画されたマスクを拒絶させることがあり、検査ツールによって容易に検出される。

<ビーム電流線量補償の実証>
図14は、「ビーム電流線量のずれの補償」節で概説した方法のシミュレーション結果を示す。補正方法が欠如したシミュレーションにおける所望のパターンの輪郭線の位置を、図9に示すような現実的な電流密度マップおよび最小線量のcの選択を使用した、補正方法を含むシミュレーションにおける位置と比較する。示されているのは、位置決め誤差Δxの分布p(Δx)である。シミュレーションは線量y=0.9cを有する長方形のテストパターンに基づいて行われた。非補正シナリオp(細い線)の場合、線縁の変動は約0.5nm幅である。加えて、変動の中心は約0.8nmターゲットからずれており、それは最小線量のcの選択の結果である。本発明に係る補償は結果的に、典型的には約0.1nm幅(1シグマ)の変動を有するシナリオp’(太い線)をもたらし、変動の中心は事実上、ターゲット位置である。これは、本発明の補償によってもたらされる位置決め精度の改善を実証している。
統計は、各所望パターンのそれぞれ右側の輪郭線だけを含む。すなわち、長方形パターンの場合、長方形の右境界に対応する輪郭線を考慮した。上、下、および左側の輪郭線の統計は、ΔxをΔy(上、下)に置き換え、かつ原点(左下)に対し分布を鏡像化する以外は同等である。輪郭線は0.5cの位置にある。
図15Aないし図15Dは、同様のシミュレーションの結果を示すが、テストパターンの所望の線量yが変化し(図15Aではy=0.6・c、図15Bではy=0.7・c、図15Cではy=0.8・c、図15Dではy=0.9・c)、cの選択は平均線量である。図表で分かるように、補正前および補正後の精度は、パターンの線量yに依存する。線量が高ければ高いほど、パターンの輪郭線の勾配が高くなり、それは誤差の伝搬を低減させる。すなわち、線量の変化に対する輪郭線位置の従属性(この場合、線量の不均一性)を低減させる。
以上のことから、本発明の補償方法は、全てのビームレットの範囲全体で理想化された均等な分布からのビーム強度のずれの望ましくない効果を補償する効率的な方法を提供するものであることが明らかであろう。さらに、オーバサンプリング(すなわちアパーチャ像の重複)を考慮に入れると、グレーレベル間の線量増分よりかなり小さい線量の変動を補償することが可能である。

<行較正による補償>
図16に関連して、本発明のさらなる有利な変形例は、LCDU(局所的線幅均一性)の改善をもたらす。LCDUは、パターン描画のための特別な要求事項の1つであり、現今の産業におけるもっとも厳しい要求事項の1つである。例えば、線の場合、0.2nmで1シグマより小さいLCDUが要求される。これは、パターン規定手段のより大きい領域からのビームレットが寄与する特徴の場合、特に大きい課題である。非常に小さい線量誤差は、特に、走査方向に沿って1行のビームレットによってパターン化される線に対し役割を果たす。典型的には1%の線量変化当たりのCD変化が0.25nmの場合、アパーチャ行に沿った線に対する厳しいLCDU要件は、1行当たりの積算線量の1%よりずっと優れた線量制御を必要とする。この精度を確実にするために、上述した補償方法は、追加の「行較正係数」を導入することによって修正される。ここで、各行rmのアパーチャに対し(mは行の指数であり、m=1、…、Mである)、各行全体に沿った全てのアパーチャ(ビームレット)の線量は、同一係数q1、…、qm、…、qMにより較正される。換言すると、アパーチャアレイ内のm行目でn列目のアパーチャによって生成されるアパーチャ像の線量値yは、関連する行較正係数y’=y/qmによって除算される。
行較正係数は、特定の行のビームレットによって生成される積算線量の変動を補償することによって、CD制御を改善するために導入される。行較正係数を決定するための1つの方法は、図9について上述した、1行のビームレットをスイッチオンし、図8の検出器60のような電流検出器により電流を測定することによる方法と同様である。これは各行r1、…、rm、…、rMに対し総電流値Q1、Q2、…、Qm、…、QMをもたらす。行較正係数は、これらの値Q1…QMを共通基準値Q0に、例えば集合Q1…QMの平均値またはそれらの最大値もしくは最小値に関連付けることによって算出される。すなわちqm=Qm/Q0である。
行較正係数を決定するための代替的な、おそらくより正確な方法論は、行較正係数を決定しようとする行のビームレット(アパーチャ)に沿ってビームアレイを走査し、ブランキング装置を使用して、1アパーチャ行だけが各線に寄与する線構造をパターン化するものである。Nが行数である場合、全ての行較正係数を規定するために、少なくともN本の線パターンを形成しなければならない。CDを実験的に決定するために、高精度の計測(CD‐SEM)を使用して、N本の全ての線の線幅(CD)を測定することができる。
図9に示すように線量マップを使用する二次元線量補償アルゴリズム、および行較正アルゴリズムの両方を使用することが好ましく、(前節に記載した通り)行較正係数の実験による決定は、すでに線量補償を使用している。こうして、線量を補正したビームアレイにより線構造が生成され、次いで行較正係数は、CD性能に影響する残留誤差を取り除く。
同様の手法で列較正係数を導入することが可能であり、各列のアパーチャに対し、それぞれの列の全てのアパーチャ(ビームレット)の線量値は、それぞれの列較正係数k1、…kn、…、kM(ここでnは列の指数である)を用いてスケーリングされる。列較正係数は、列の平均線量(または最大もしくは最小線量)に対して正規化される。列較正係数を決定する方法論は、行較正係数の場合と同様であり、個々の列をスイッチオンおよびスイッチオフしながら電流を測定するか、あるいは列と平行な線上で計測することによりパターン化するかのいずれかによる(ビームアレイは次いでこの較正目的で、例外的に列の方向に沿って走査される)。
行および列線量較正の1つの大きな利点は、それが高効率と較正時間の小さい投資とを兼ね備えることである。すでに示した通り、典型的なビームアレイは、かなり多数の、例えば512×512=262,144本の個別ビームを有する。全てのビームレット個別に補正する代わりに、データ処理および計算量の費用をかなり低減する手法として、電流密度マップの8×8または16×16の測定に加えてわずか512個の行較正係数qmを使用することで、描画装置のCDおよびLCDU性能をかなり改善することができる。電流密度マップとは対照的に、行較正係数は行によって著しく変動することがあるので、補間法は使用できない。
列較正係数は、走査(典型的には水平)方向の線に対しては、「トロッティングモード」描画戦略のため誤差平均化効果が存在し(図7参照)、走査方向に直交する線に対しては、それぞれの行および列の局所的ビームレットが寄与するだけであるが、それらは二次元線量補償マップの同一領域内に位置し、したがって線量補正マップのため正確な線量値を有することが期待されるので、より低い改善度ではあるが、追加的な改善をもたらす。
アレイの全てのビームレットが個々の較正係数(すなわち上記の例では512×512個の係数)によって補正された場合、主に、走査方向に直交する線のLCDU、ならびに線縁および線幅の粗さが一般的に改善される一方、CDおよびLCDU値は顕著には改善しない。

Claims (15)

  1. ターゲット上の像領域内の多数の画素(px)に露光することによって所望のパターンを描画するために、粒子ビーム(pb)が通過する複数のブランキングアパーチャ(24、33、43)から構成されたアパーチャアレイ(26)を備えたパターン規定装置(4)に粒子ビーム(lb、50)を向かわせて照射する、荷電粒子リソグラフィ装置のターゲット(16)上に前記所望のパターンを露光するための露光パターンを計算する方法であって、前記粒子ビーム(pb)は前記アパーチャアレイ(26)の複数のブランキングアパーチャの電流線量(Di)の分布を有し、前記方法は、前記複数のブランキングアパーチャ全体で一定と想定される公称電流線量値(c)からの前記分布(Di)のずれを考慮に入れ、
    前記パターン規定装置で、前記複数のブランキングアパーチャ(24、33、43)は、前記ブランキングアパーチャの相互位置を規定する予め定められた配置構成に配列され、各ブランキングアパーチャは、それぞれの露光期間中に前記それぞれのブランキングアパーチャを介して前記ターゲット上の対応するアパーチャ像上に露光される線量値に関して選択的に調整可能であり、前記線量値は離散グレースケールから選択されたそれぞれの値を取り、
    前記所望のパターンの描画プロセス中に一連の露光期間(T1)が設けられ、各露光間隔に前記ブランキングアパーチャが前記ターゲット(16)上に結像され、こうして対応する複数のアパーチャ像(bl、bi0、bi1)が生成され、アパーチャ像の位置は露光期間中は前記ターゲットに対して画素(px)の位置に固定されているが、露光期間の合間にアパーチャ像の位置は前記ターゲット上でずらされ、こうして前記ターゲット上の前記像領域内の多数の画素が露光され、
    (i)前記分布(Di)のマップ(Mp)を提供し、それぞれのアパーチャの位置におけるビームの電流線量(Di)を記載する電流係数と各アパーチャを相関させるステップと、
    (ii)前記所望のパターンを提供し、前記所望のパターンの輪郭線を実現しかつ各画素に対するそれぞれの公称線量値(y)を含む公称線量分布を前記ターゲット上に形成するのに適した公称露光パターンを、多数の画素上に規定されたラスタグラフィックス(ps)として算出するステップと、
    (iii)前記それぞれの公称線量値をそれぞれの画素に対応するアパーチャの電流係数に対応する補償係数(q)で除算することによって、各画素に対し補償された線量値(y’)を算出するステップと、
    (iv)前記補償された線量値に近似する値を離散グレースケールから選択することによって、各画素に対し離散値を決定するステップと、
    (v)前記公称線量値の代わりにステップiで決定された前記離散値を使用することによって、前記描画プロセスによって前記所望のパターンを露光するのに適した補償された露光パターンを前記公称露光パターンから生成するステップと、を含む方法。
  2. 前記アパーチャ像は前記ターゲット上で相互に重複し、前記アパーチャ像は、前記ターゲット上の隣接するアパーチャ像の画素位置間の距離(e)の倍数である公称幅(b)を有し、ステップivで、前記対応する補償された線量値が離散グレースケールの2つの値の間に該当する画素に対し、前記補償された線量値は、前記離散グレースケールの少なくとも2つの異なる値を前記それぞれの画素に影響するアパーチャ像に割り当てることによって近似され、こうして割り当てられた値の平均から、予め定められた線量誤差幅の範囲内の補償された線量値が再生される、請求項1に記載の方法。
  3. 前記アパーチャ像は前記ターゲット上で相互に重複し、前記アパーチャ像は、前記ターゲット上の隣接するアパーチャ像の画素位置間の距離(e)の倍数である公称幅(b)を有し、ステップivで、前記対応する補償された線量値が離散グレースケールの2つの値の間に該当する画素に対し、前記補償された線量値は、前記離散グレースケールの少なくとも2つの異なる値を前記それぞれの画素に影響するアパーチャ像に割り当てることによって近似され、こうして割り当てられた値の平均は確率論的確率分布に従い、前記確率論的確率分布は、補償された線量値を再生する期待値を有する、請求項1に記載の方法。
  4. 前記予め定められた線量誤差幅は、前記アパーチャ像の公称幅(b)を前記ターゲット上の隣接するアパーチャ像の画素位置間の前記距離(e)で除算した商の二乗で前記離散グレースケールの2つの値の差を除算した値である、請求項2または3に記載の方法。
  5. ステップivで、各画素に対する離散値の決定は、前記離散グレースケール内の値の中で前記補償された線量値に算術的に最も近い値を選択することによって行われる、請求項1ないし4のいずれか一項に記載の方法。
  6. 少なくともステップiiiないしvは、関連する計算を過渡的に実行し、計算されたデータを永久保存することなく、描画プロセス中にリアルタイムで実行される、請求項1ないし5のいずれか一項に記載の方法。
  7. 前記マップ(Mp)は、それぞれ特定のブランキングアパーチャの電流線量値に対応する前記マップ(Mp)の各部分に対し、それぞれのブランキングアパーチャに対応するビーム部分だけが電流測定装置(60)に伝搬するように、前記パターン規定装置を制御しながら、前記ターゲットの代わりに配置された電流測定装置(60)を用いて決定される、請求項1ないし6のいずれか一項に記載の方法。
  8. 前記マップ(Mp)は、ターゲット上に存在する荷電粒子感応レジスト層のようなターゲットの感応性のエージング関数に対応する時間依存性(f(t))を有する時間依存値を含む、請求項1ないし7のいずれか一項に記載の方法。
  9. 前記マップ(Mp)は時間依存値を含み、前記粒子ビームは総電流を有する源から生成され、前記時間依存値は前記源から放出される総電流の変動関数に対応する時間依存性(f(t))を有する、請求項1ないし8のいずれか一項に記載の方法。
  10. 前記変動関数は、電流測定装置(60)を使用してビームの電流を測定することによって更新される、請求項9に記載の方法。
  11. 離散グレースケールセットは全てのアパーチャ像に対して均一であり、予め定められた最小値から予め定められた最大値まで等間隔の値を含む、請求項1ないし10のいずれか一項に記載の方法。
  12. ステップiで、前記マップ(Mp)は、前記公称電流線量値(c)からの前記分布(Di)の相対誤差を記述する値α(r)の数値アレイとして実現され、ステップiiiで、前記電流係数に対応する前記補償係数(q)による除算は(1+α(r))による除算によって行われる、請求項1ないし11のいずれか一項に記載の方法。
  13. 前記電流係数は行較正係数(qm)を含み、前記係数は、前記ターゲット上のアパーチャ像の位置の時間平均移動の方向に対応する走査方向(sd)に平行な各行(rm)のアパーチャに対し均一である、請求項1ないし12のいずれか一項に記載の方法。
  14. 各行(rm)に対し、それぞれの行較正係数(qm)は、前記ターゲットの代わりに配置された電流測定装置(60)を用いて、それぞれの行のブランキングアパーチャに対応するビームレットだけが前記電流測定値(60)に伝搬されるように前記パターン規定装置を制御しながら、かつこうして測定された値(Qm)を共通基準値(Q0)で除算することによって決定される、請求項13に記載の方法。
  15. 各行(rm)に対し、それぞれの行較正係数(qm)は、それぞれの行(rm)のブランキングアパーチャから前記ターゲット(16)の位置に線構造を生成し、こうして生成された線の幅を測定し、かつ前記幅を基準幅で除算することによって決定され、前記線構造は前記ターゲットの位置で計測装置によって直接測定され、あるいは前記線構造は、前記それぞれの行(rm)に対応する少なくとも1つのパターン線を含むパターン化線構造を前記ターゲット上に描画し、かつ前記少なくとも1つのパターン線の輪郭幅を測定しかつ比較することを通して評価される、請求項13に記載の方法。
JP2015109552A 2014-05-30 2015-05-29 重複する露光スポットを使用する線量不均一性の補償 Active JP6566727B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP14170611 2014-05-30
EP14170611.9 2014-05-30

Publications (2)

Publication Number Publication Date
JP2015228501A JP2015228501A (ja) 2015-12-17
JP6566727B2 true JP6566727B2 (ja) 2019-08-28

Family

ID=50841646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015109552A Active JP6566727B2 (ja) 2014-05-30 2015-05-29 重複する露光スポットを使用する線量不均一性の補償

Country Status (3)

Country Link
US (1) US9495499B2 (ja)
EP (2) EP2950325B1 (ja)
JP (1) JP6566727B2 (ja)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6616986B2 (ja) * 2015-09-14 2019-12-04 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
EP3258479B1 (en) * 2016-06-13 2019-05-15 IMS Nanofabrication GmbH Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10444629B2 (en) 2016-06-28 2019-10-15 D2S, Inc. Bias correction for lithography
JP2018082120A (ja) 2016-11-18 2018-05-24 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置
EP3355337B8 (en) 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Advanced dose-level quantization for multibeam-writers
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
JP7201364B2 (ja) 2017-08-25 2023-01-10 アイエムエス ナノファブリケーション ゲーエムベーハー マルチビーム描画装置において露光される露光パターンにおける線量関連の特徴再形成
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
JP6863208B2 (ja) * 2017-09-29 2021-04-21 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
EP3518272A1 (en) 2018-01-09 2019-07-31 IMS Nanofabrication GmbH Non-linear dose- and blur-dependent edge placement correction
JP6930431B2 (ja) * 2018-01-10 2021-09-01 株式会社ニューフレアテクノロジー アパーチャのアライメント方法及びマルチ荷電粒子ビーム描画装置
US10338013B1 (en) * 2018-01-25 2019-07-02 Kla-Tencor Corporation Position feedback for multi-beam particle detector
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7024616B2 (ja) * 2018-06-08 2022-02-24 株式会社ニューフレアテクノロジー データ処理方法、データ処理装置、及びマルチ荷電粒子ビーム描画装置
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10593509B2 (en) * 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
JP7239282B2 (ja) * 2018-08-03 2023-03-14 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
CN111097106B (zh) * 2018-10-25 2023-06-02 锐珂(上海)医疗器材有限公司 确定剂量面积乘积的***及方法
KR20240005104A (ko) 2018-12-31 2024-01-11 에이에스엠엘 네델란즈 비.브이. 샘플을 스캐닝하기 위한 하전 입자 빔 시스템
CN109814110B (zh) * 2019-02-21 2022-05-17 哈尔滨工程大学 深海长基线定位阵形拓扑结构的布阵方法
KR20200128363A (ko) * 2019-05-03 2020-11-12 아이엠에스 나노패브릭케이션 게엠베하 멀티 빔 라이터에서의 노출 슬롯의 지속 시간 조정
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210099516A (ko) 2020-02-03 2021-08-12 아이엠에스 나노패브릭케이션 게엠베하 멀티―빔 라이터의 블러 변화 보정
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
EP4009349A1 (en) * 2020-12-03 2022-06-08 ASML Netherlands B.V. Charged particle tool, calibration method, inspection method
CN113392618B (zh) * 2021-04-23 2022-07-05 武汉大学 一种印刷电路板掩膜线宽补偿量预测方法
US20230015805A1 (en) 2021-07-14 2023-01-19 Ims Nanofabrication Gmbh Electromagnetic Lens
JP2023056384A (ja) * 2021-10-07 2023-04-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2023166336A (ja) 2022-05-09 2023-11-21 アイエムエス ナノファブリケーション ゲーエムベーハー シャント装置を有する調節可能永久磁石レンズ
JP2024012118A (ja) 2022-07-15 2024-01-25 アイエムエス ナノファブリケーション ゲーエムベーハー 温度制御要素を有する調節可能永久磁石レンズ
JP2024091535A (ja) 2022-12-22 2024-07-04 アイエムエス ナノファブリケーション ゲーエムベーハー 荷電粒子レンズ、電磁レンズ及び荷電粒子光学装置

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1033741A (en) 1911-02-08 1912-07-23 Bona Sims Armored tread for pneumatic tires.
US1420104A (en) 1921-05-10 1922-06-20 Edward W Howe Brush-block-boring machine
US1903005A (en) 1930-11-20 1933-03-28 Gen Motors Corp Oil pump screen
US2187427A (en) 1937-09-11 1940-01-16 Leslie H Middleton Dashboard fuse mounting
US2820109A (en) 1952-03-22 1958-01-14 Cgs Lab Inc Magnetic amplifier
US2920104A (en) 1958-07-01 1960-01-05 Vanderbilt Co R T Stabilized solutions of a dithiocarbamate
DE2302938C3 (de) 1973-01-22 1979-07-12 Polymer-Physik Gmbh & Co Kg, 2844 Lemfoerde Mehrstufiger Beschleuniger für geladene Teilchen mit Hochvakuumisolation
US4467211A (en) 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
JPH0628231B2 (ja) 1985-07-09 1994-04-13 富士通株式会社 電子ビ−ム露光方法
EP0289885A1 (de) 1987-05-08 1988-11-09 Siemens Aktiengesellschaft Blendensystem zur Erzeugung mehrerer Teilchensonden mit veränderbarem Querschnitt
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
EP0508151B1 (en) 1991-03-13 1998-08-12 Fujitsu Limited Charged particle beam exposure system and charged particle beam exposure method
GB9107207D0 (en) 1991-04-05 1991-05-22 Tycho Technology Ltd Mechanical manipulator
US5369282A (en) 1992-08-03 1994-11-29 Fujitsu Limited Electron beam exposure method and system for exposing a pattern on a substrate with an improved accuracy and throughput
JP3206143B2 (ja) 1992-10-20 2001-09-04 富士通株式会社 荷電粒子ビーム露光方法
JP3437306B2 (ja) 1995-02-01 2003-08-18 富士通株式会社 荷電粒子ビーム露光方法及び装置
US5841145A (en) 1995-03-03 1998-11-24 Fujitsu Limited Method of and system for exposing pattern on object by charged particle beam
US6229595B1 (en) 1995-05-12 2001-05-08 The B. F. Goodrich Company Lithography system and method with mask image enlargement
JP3565652B2 (ja) 1996-04-25 2004-09-15 富士通株式会社 荷電粒子ビーム露光装置用透過マスク及びそれを利用した露光装置
JP3335845B2 (ja) 1996-08-26 2002-10-21 株式会社東芝 荷電ビーム描画装置及び描画方法
US6225637B1 (en) 1996-10-25 2001-05-01 Canon Kabushiki Kaisha Electron beam exposure apparatus
US5876902A (en) 1997-01-28 1999-03-02 Etec Systems, Inc. Raster shaped beam writing strategy system and method for pattern generation
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JP3787417B2 (ja) 1997-06-11 2006-06-21 キヤノン株式会社 電子ビーム露光方法及び電子ビーム露光装置
CN1207107C (zh) 1997-12-17 2005-06-22 国际涂料有限公司 粉末涂装方法
US6552353B1 (en) 1998-01-05 2003-04-22 Canon Kabushiki Kaisha Multi-electron beam exposure method and apparatus and device manufacturing method
US6014200A (en) 1998-02-24 2000-01-11 Nikon Corporation High throughput electron beam lithography system
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6043496A (en) 1998-03-14 2000-03-28 Lucent Technologies Inc. Method of linewidth monitoring for nanolithography
JP2000056960A (ja) 1998-08-13 2000-02-25 Ricoh Co Ltd ユーザインターフェイス装置
US6252339B1 (en) 1998-09-17 2001-06-26 Nikon Corporation Removable bombardment filament-module for electron beam projection systems
US6111932A (en) 1998-12-14 2000-08-29 Photoelectron Corporation Electron beam multistage accelerator
US9188874B1 (en) 2011-05-09 2015-11-17 Kenneth C. Johnson Spot-array imaging system for maskless lithography and parallel confocal microscopy
JP2000252198A (ja) 1999-03-02 2000-09-14 Advantest Corp 荷電ビーム露光装置
JP2000260686A (ja) 1999-03-08 2000-09-22 Toshiba Corp 露光方法及び露光装置
KR100339140B1 (ko) 1999-04-28 2002-05-31 히로시 오우라 전자빔 노출 장치
US6720565B2 (en) * 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
US6472673B1 (en) 1999-07-29 2002-10-29 Ims Ionen-Mikrofabrikations Systeme Gmbh Lithographic method for producing an exposure pattern on a substrate
WO2001039243A1 (en) 1999-11-23 2001-05-31 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
JP2001168018A (ja) * 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び露光補正データの決定方法、該方法を適用したデバイスの製造方法。
JP4585661B2 (ja) 2000-03-31 2010-11-24 キヤノン株式会社 電子光学系アレイ、荷電粒子線露光装置およびデバイス製造方法
WO2001075949A1 (fr) 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition multifaisceau comprenant une lentille electronique multiaxe, et procede de fabrication d'un dispositif a semi-conducteur
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
DE10127836A1 (de) 2001-06-08 2003-01-30 Giesecke & Devrient Gmbh Vorrichtung zur Untersuchung von Dokumenten
US7302111B2 (en) 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3730153B2 (ja) 2001-10-18 2005-12-21 セイコーインスツル株式会社 プリンタのカッター装置
US6671975B2 (en) 2001-12-10 2004-01-06 C. William Hennessey Parallel kinematic micromanipulator
DE10161152B4 (de) 2001-12-12 2014-02-13 Medical Intelligence Medizintechnik Gmbh Positionierung des Behandlungsstrahls eines Strahlentherapiesystems mittels eines Hexapoden
US6768125B2 (en) * 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
TW546595B (en) 2002-07-23 2003-08-11 Internet Motion Navigator Corp Six-axis translation-type dynamic simulation device
KR100480609B1 (ko) 2002-08-09 2005-04-06 삼성전자주식회사 전자 빔 리소그래피 방법
US6896037B2 (en) 2002-10-29 2005-05-24 Duramax Marine, Llc Keel cooler with fluid flow diverter
US6767125B2 (en) 2003-01-21 2004-07-27 Red Devil Equipment Company Keyed paint container holder for a paint mixer
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
JP2004282038A (ja) 2003-02-28 2004-10-07 Canon Inc 偏向器、偏向器を製造する方法、偏向器を適用した荷電粒子線露光装置
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
CN100576003C (zh) 2003-06-06 2009-12-30 株式会社尼康 光学元件保持装置、镜筒、曝光装置及设备的制造方法
GB2406704B (en) 2003-09-30 2007-02-07 Ims Nanofabrication Gmbh Particle-optic electrostatic lens
DE102004025832A1 (de) 2004-05-24 2005-12-22 Carl Zeiss Smt Ag Optikmodul für ein Objektiv
JP4313145B2 (ja) 2003-10-07 2009-08-12 株式会社日立ハイテクノロジーズ 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
GB2408143B (en) 2003-10-20 2006-11-15 Ims Nanofabrication Gmbh Charged-particle multi-beam exposure apparatus
GB2408383B (en) 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
GB2414111B (en) 2004-04-30 2010-01-27 Ims Nanofabrication Gmbh Advanced pattern definition for particle-beam processing
GB2413694A (en) 2004-04-30 2005-11-02 Ims Nanofabrication Gmbh Particle-beam exposure apparatus
JP4634076B2 (ja) 2004-06-30 2011-02-16 キヤノン株式会社 荷電粒子線露光装置及びデバイス製造方法
JP2006079911A (ja) * 2004-09-09 2006-03-23 Hitachi High-Technologies Corp 電子ビーム電流計測方法、電子ビーム描画装置および電子ビーム検出器
JP2006126823A (ja) 2004-09-30 2006-05-18 Fujitsu Ltd 可変矩形型電子ビーム露光装置及びパターン露光・形成方法
JP4460987B2 (ja) 2004-09-30 2010-05-12 株式会社東芝 電子線描画方法および磁気記録媒体の製造方法
DE102004052994C5 (de) 2004-11-03 2010-08-26 Vistec Electron Beam Gmbh Multistrahlmodulator für einen Partikelstrahl und Verwendung des Multistrahlmodulators zur maskenlosen Substratsstrukturierung
US7772574B2 (en) * 2004-11-17 2010-08-10 Ims Nanofabrication Ag Pattern lock system for particle-beam exposure apparatus
US7459247B2 (en) 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8304749B2 (en) 2005-02-11 2012-11-06 Ims Nanofabrication Ag Charged-particle exposure apparatus with electrostatic zone plate
WO2006107664A2 (en) 2005-04-01 2006-10-12 Trustees Of Stevens Institute Of Technology Flexible parallel manipulator for nano-, meso-or macro-positioning with multi-degrees of freedom
JP4648087B2 (ja) 2005-05-25 2011-03-09 キヤノン株式会社 偏向器の作製方法、荷電粒子線露光装置、および、デバイス製造方法
DE602006020899D1 (de) 2005-09-06 2011-05-05 Applied Materials Israel Ltd Teilchenoptische Anordnung mit teilchenoptischer Komponente
JP4638327B2 (ja) 2005-10-17 2011-02-23 新日本工機株式会社 パラレルメカニズム装置、パラレルメカニズム装置のキャリブレーション方法、キャリブレーションプログラム、及び記録媒体
TWI383996B (zh) * 2006-01-31 2013-02-01 Shinetsu Chemical Co 高分子化合物、光阻保護膜材料及圖型之形成方法
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
US7738077B2 (en) 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
JP5241195B2 (ja) 2006-10-30 2013-07-17 アイエムエス ナノファブリカツィオン アーゲー 荷電粒子露光装置
US20080142728A1 (en) 2006-10-30 2008-06-19 Applied Materials, Inc. Mechanical scanner
JP4932433B2 (ja) 2006-11-02 2012-05-16 株式会社ニューフレアテクノロジー 電子ビーム描画装置及び電子ビーム描画方法
DE102008010123A1 (de) 2007-02-28 2008-09-04 Ims Nanofabrication Ag Vielstrahl-Ablenkarray-Einrichtung für maskenlose Teilchenstrahl-Bearbeitung
NL2001369C2 (nl) 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
US7930653B2 (en) 2007-04-17 2011-04-19 Micronic Laser Systems Ab Triangulating design data and encoding design intent for microlithographic printing
JP5491704B2 (ja) 2007-05-14 2014-05-14 イーエムエス ナノファブリカツィオン アーゲー 対向電極アレイ板を有するパターン定義装置
JP4996978B2 (ja) 2007-05-28 2012-08-08 株式会社ニューフレアテクノロジー 描画方法
DE102007034232B4 (de) 2007-07-23 2012-03-01 Bruker Daltonik Gmbh Dreidimensionale Hochfrequenz-Ionenfallen hoher Einfangeffizienz
EP2019415B1 (en) 2007-07-24 2016-05-11 IMS Nanofabrication AG Multi-beam source
JP2011511465A (ja) 2008-02-05 2011-04-07 ニル・テクノロジー・エーピーエス 電子ビームリソグラフィを行うための方法
EP2297766B1 (en) 2008-06-04 2016-09-07 Mapper Lithography IP B.V. Writing strategy
US8227768B2 (en) 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
NL2003304C2 (en) 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
DE102008053180B4 (de) * 2008-10-24 2012-07-12 Advanced Mask Technology Center Gmbh & Co. Kg Teilchenstrahlschreibverfahren, Teilchenstrahlschreibvorrichtung und Wartungsverfahren für selbige
EP2187427B1 (en) 2008-11-17 2011-10-05 IMS Nanofabrication AG Method for maskless particle-beam exposure
EP2190003B1 (en) 2008-11-20 2014-10-01 IMS Nanofabrication AG Constant current multi-beam patterning
JP5634052B2 (ja) 2009-01-09 2014-12-03 キヤノン株式会社 荷電粒子線描画装置およびデバイス製造方法
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
EP2251893B1 (en) 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
CN104810232B (zh) * 2009-05-20 2017-12-29 迈普尔平版印刷Ip有限公司 两次扫描
CN102460633B (zh) * 2009-05-20 2014-12-17 迈普尔平版印刷Ip有限公司 用于光刻***的图案数据转换器
JP5801288B2 (ja) * 2009-05-20 2015-10-28 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフ処理のための2レベルパターンを発生する方法およびその方法を使用するパターン発生器
EP2478548B1 (en) 2009-09-18 2017-03-29 Mapper Lithography IP B.V. Charged particle optical system with multiple beams
US8546767B2 (en) 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
US8542797B2 (en) 2010-09-24 2013-09-24 Elekta Ab (Publ) Radiotherapy apparatus configured to track a motion of a target region using a combination of a multileaf collimator and a patient support
JP5662756B2 (ja) 2010-10-08 2015-02-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5809419B2 (ja) 2011-02-18 2015-11-10 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR101854148B1 (ko) 2011-05-09 2018-05-03 삼성전자주식회사 전자빔 노광 장치 및 이를 이용한 레티클 제조 방법
JP2013004216A (ja) 2011-06-14 2013-01-07 Canon Inc 荷電粒子線レンズ
CN202204836U (zh) 2011-07-28 2012-04-25 辽宁省电力有限公司 高压试验设备绝缘支架
US9075934B2 (en) 2011-09-24 2015-07-07 Globalfoundries Inc. Reticle defect correction by second exposure
US10346729B2 (en) 2011-11-29 2019-07-09 Asml Netherlands B.V. Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method
JP5977941B2 (ja) * 2011-12-19 2016-08-24 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP5383786B2 (ja) 2011-12-27 2014-01-08 キヤノン株式会社 荷電粒子線描画装置および描画方法、それを用いた物品の製造方法
JP2013165121A (ja) * 2012-02-09 2013-08-22 Canon Inc 描画装置、生成方法、プログラム及び物品の製造方法
JP6014342B2 (ja) 2012-03-22 2016-10-25 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP5956797B2 (ja) * 2012-03-22 2016-07-27 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
EP2757571B1 (en) 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
JP6195349B2 (ja) 2013-04-26 2017-09-13 キヤノン株式会社 描画装置、描画方法、および物品の製造方法
TWI533096B (zh) 2013-05-24 2016-05-11 Nuflare Technology Inc Multi - charged particle beam mapping device and multi - charged particle beam rendering method
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
JP6211435B2 (ja) 2014-02-26 2017-10-11 株式会社アドバンテスト 半導体装置の製造方法
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
US20150311031A1 (en) 2014-04-25 2015-10-29 Ims Nanofabrication Ag Multi-Beam Tool for Cutting Patterns
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer

Also Published As

Publication number Publication date
EP3358599A1 (en) 2018-08-08
JP2015228501A (ja) 2015-12-17
EP2950325A1 (en) 2015-12-02
EP3358599B1 (en) 2021-01-27
US20150347660A1 (en) 2015-12-03
EP2950325B1 (en) 2018-11-28
US9495499B2 (en) 2016-11-15

Similar Documents

Publication Publication Date Title
JP6566727B2 (ja) 重複する露光スポットを使用する線量不均一性の補償
US9373482B2 (en) Customizing a particle-beam writer using a convolution kernel
US9568907B2 (en) Correction of short-range dislocations in a multi-beam writer
US10325757B2 (en) Advanced dose-level quantization of multibeam-writers
US10410831B2 (en) Multi-beam writing using inclined exposure stripes
JP6681233B2 (ja) 限界寸法が緩和されたパターンエリアのマルチビーム描画
JP7183315B2 (ja) マルチビーム描画機におけるブラー変化の補正
KR102268192B1 (ko) 중첩 노출 점을 사용한 선량 불균일성의 보상
JP7299705B2 (ja) 非線形的線量およびブラー(ボケ)に依存するエッジ配置の補正
JP7094112B2 (ja) マルチビーム描画機のための改善されたドーズレベルの量子化
JP7064831B2 (ja) マルチビーム描画装置においてパターン露光密度の変化により生じるパターン位置決めエラーの補償方法
EP3093869B1 (en) Multi-beam writing using inclined exposure stripes
US12040157B2 (en) Pattern data processing for programmable direct-write apparatus
US20220384143A1 (en) Pattern Data Processing For Programmable Direct-Write Apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180409

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190709

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190730

R150 Certificate of patent or registration of utility model

Ref document number: 6566727

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350