KR20210099516A - 멀티―빔 라이터의 블러 변화 보정 - Google Patents

멀티―빔 라이터의 블러 변화 보정 Download PDF

Info

Publication number
KR20210099516A
KR20210099516A KR1020210008501A KR20210008501A KR20210099516A KR 20210099516 A KR20210099516 A KR 20210099516A KR 1020210008501 A KR1020210008501 A KR 1020210008501A KR 20210008501 A KR20210008501 A KR 20210008501A KR 20210099516 A KR20210099516 A KR 20210099516A
Authority
KR
South Korea
Prior art keywords
blur
target
exposure
pattern
value
Prior art date
Application number
KR1020210008501A
Other languages
English (en)
Inventor
크리스토프 스팽글러
볼프 나에타르
요하네스 라이트너
Original Assignee
아이엠에스 나노패브릭케이션 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아이엠에스 나노패브릭케이션 게엠베하 filed Critical 아이엠에스 나노패브릭케이션 게엠베하
Publication of KR20210099516A publication Critical patent/KR20210099516A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/213Exposing with the same light pattern different positions of the same surface at the same time
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • H01J2237/30461Correction during exposure pre-calculated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • H01J2237/30477Beam diameter
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31755Lithography using particular beams or near-field effects, e.g. STM-like techniques using ion beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electron Beam Exposure (AREA)

Abstract

공칭 타겟 평면 (150)에 대한 타겟 (141)의 다양한 고도 (h)의 원하지 않는 효과를 보상하기 위해, 하전-입자 빔 장치에서 타겟 상에 원하는 패턴을 기록하는 동안, 이 패턴은 공칭 타겟 평면 (150)으로부터 세그먼트 내 타겟의 고도 (h)를 판정하는 단계; 타겟의 고도에 대한 블러의 의존성과 관련하여 고도 (h)에 대응하는 블러의 실제 값을 나타내는 로컬 블러 값을 판정하는 단계; 로컬 블러 값을 실현하는 포인트 확산 함수를 나타내는 컨볼루션 커널을 계산하는 단계; 및 이 커널을 패턴에 적용함으로써 공칭 노출 패턴을 재계산하는 단계에 의해 타겟 평면의 다수의 세그먼트 각각에서 다시 계산된다. 컨볼루션 커널은 세그먼트의 패턴에 추가 블러를 도입하여 모든 세그먼트에 균일한 주어진 타겟 블러 값으로 블러를 증가시키는 것에 해당한다.

Description

멀티―빔 라이터의 블러 변화 보정{CORRECTION OF BLUR VARIATION IN A MULTI―BEAM WRITER}
(본 발명의 기술 분야 및 종래 기술의 설명)
본 발명은 전기적으로 하전 입자의 구조화된 빔에 의해 타겟을 노광하기 위한 하전 입자 멀티-빔 프로세싱 장치 분야에 관한 것이며, 더욱 상세하게는 하전 입자 리소그래피 장치에서 타겟 상에 희망 패턴을 노광하기 위한 노광 패턴을 계산하는 방법에 관한 것이다. 이러한 장치에서 입자 빔은 조명 시스템에서 생성되어 입자 빔이 통과하는 복수의 블랭킹 애퍼처로 구성된 애퍼처 어레이를 포함하는 패턴 정의 장치로 보내지고 패턴 정의 장치를 조명한 후, 공칭(nominal) 빔 방향에 따라 타겟에 충돌하는 입자 빔에 의해, 타겟 상의 노광 영역 내의 다수의 픽셀을 노광함으로써 희망 패턴을 기록하기 위해 투영 광학부재 시스템에 의해 타겟 상에 이미지화되며; 이 때 타겟은 공칭 빔 방향에 실질적으로 수직인 공칭 타겟 평면을 따라 배향된다. 그러한 희망 패턴을 기록하기 위한 기록 프로세스는 일련의 노광 인터벌로 타겟 상에 블랭킹 애퍼처를 이미징하여 대응하는 복수의 애퍼처 이미지를 생성하는 것을 포함하며, 타겟 상에 블랭킹 애퍼처를 이미징하는 것은 아래에 더 설명한 바와 같이 블러(blur)를 포함한다.
본 출원인은 언급된 유형의 하전 입자 멀티-빔 도구를 구현하였고, 대응하는 하전 입자 광학부재, 패턴 정의(PD) 장치 및 멀티-빔 기록 방법, 특히 50keV 전자 멀티-빔 라이터를 개발하여 EUV 리소그래피용 마스크 및 임프린트 리소그래피용 템플릿(1x 마스크)의, 193nm 이머전 리소그래피용 리딩-에지 복합 포토마스크를 실현하였다. 이 시스템은 6인치 마스크 블랭크 기판을 노광하기 위한 eMET(전자 마스크 노광 도구) 또는 MBMW(멀티 빔 마스크 라이터)라고 불린다. 이 멀티-빔 시스템은 실리콘 웨이퍼 기판 상의 전자 빔 다이렉트 라이터(EBDW) 애플리케이션을 위해 PML2(Projection Mask-Less Lithography)라고도 불린다. 멀티-빔 칼럼(column) 및 기록 방법은 멀티-빔 검사 애플리케이션에 대해서도 사용될 수 있다.
멀티-빔 라이터의 개략적인 도면이 도 1에 도시되어 있다. 이러한 리소그래피 장치는 US 6,768,125, EP 2 187 427 A1(= US 8,222,621) 및 EP 2 363 875 A1(= US 8,378,320)과 같은 종래 기술에 잘 알려져 있다. 아래에서는, 본 발명을 개시하는데 필요한 세부사항만 제공되며, 명확성을 위해 그 구성요소들은 도 1에 크기에 따라 도시되지는 않는다. 리소그래피 장치(1)의 주요 구성요소는, 이 예에서 도 1에서 수직으로 아래로 진행하는 리소그래피 빔(lb, pb)의 방향을 따라, 조명 시스템(3), 패턴 정의(PD) 시스템(4), 투영 시스템(5) 및 기판(16)을 갖는 타겟 스테이션(6)이다. 전체 장치(1)는 장치의 광축(cx)을 따라 빔(lb, pb)의 방해받지 않는 전파를 보장하기 위해 고진공으로 유지되는 진공 하우징(2) 내에 포함된다. 하전 입자 광학 시스템(3, 5)은 정전 및/또는 자기 렌즈를 사용하여 실현된다.
조명 시스템(3)은 예를 들어 전자총(7), 추출 시스템(8) 및 집광 렌즈 시스템(9)을 포함한다. 그러나, 일반적으로 전자 대신에 다른 전기적으로 하전된 입자도 사용될 수 있다는 점에 유의해야 한다. 전자 외에, 이들은 예를 들어 수소 이온 또는 중이온, 하전된 원자 클러스터 또는 하전된 분자일 수 있다.
추출 시스템(8)은 입자를 일반적으로 수 keV, 예를 들어, 5keV의 정해진 에너지까지 가속시킨다. 집광 렌즈 시스템(9)에 의해, 소스(7)로부터 방출된 입자는 리소그래피 빔(lb)으로서 역할하는 넓고 실질적으로 텔레센트릭(telecentric)인 입자 빔(50)으로 형성된다. 그 다음, 리소그래피 빔(1b)은 복수의 개구 또는 애퍼처(24)(도 2)를 갖는 다수의 플레이트를 포함하는 PD 시스템(4)을 조사한다. PD 시스템(4)은 리소그래피 빔(lb)의 경로 내의 특정 위치에 유지되며, 이에 따라 복수의 애퍼처를 조사하고 다수의 빔렛으로 분할된다.
도 2를 참조하면, PD 시스템(4)의 일부 애퍼처(24)는 그것을 통해 투과되는 빔(빔렛(51))의 일부가 타겟에 도달하도록 허용한다는 의미에서 입사 빔에 대해 투명하도록 "스위치 온"되거나 또는 "개방"되며, 다른 애퍼처들은 "스위치 오프" 또는 "폐쇄"된다. 즉, 대응하는 빔렛(52)은 타겟에 도달할 수 없고, 따라서 효과적으로는 이러한 애퍼처 및/또는 개구들은 빔에 대해 투명하지 않다(불투명). 따라서, 리소그래피 빔(lb)은 PD 시스템(4)에서 나오는 패턴화된 빔(pb)으로 구조화된다. 리소그래피 빔(lb)에 대해 투명한 PD 시스템(4)의 유일한 부분인 스위치 온된 애퍼처의 패턴은 타겟(16)상에 노광될 패턴에 따라 선택된다. 빔렛의 "스위칭 온/오프"는 일반적으로 PD 시스템(4)의 플레이트 중 하나에 제공된 어떤 종류의 편향 수단에 의해 실현된다는 점에 유의해야 하며, "스위치 오프"된 빔렛은 경로에서(매우 작은 각도만큼) 편향되어 타겟에 도달할 수 없고 리소그래피 장치의 어딘가에서, 예컨대, 흡수판(11)에 의해 흡수될 뿐이다.
그 다음, 패턴화된 빔(pb)에 의해 표현되는 패턴은 전자-자기-광학 투영 시스템(5)에 의해 기판(16) 상으로 투영되어, 기판(16) 상에 "스위치 온"된 애퍼처 및/또는 개구의 이미지를 형성한다. 투영 시스템(5)은, 예를 들어, 2 개의 교점(c1 및 c2)을 갖지며 200:1의 축소를 구현한다. 타겟 또는 "기판"(16)은, 예를 들어, 6인치 마스크 블랭크 또는 입자 민감 레지스트 층(17)으로 덮인 실리콘 웨이퍼이다. 기판은 척(chuck)(15)에 의해 유지되고 타겟 스테이션(6)의 기판 스테이지(14)에 의해 위치조절된다.
노광될 패턴에 관한 정보는 전자 패턴 정보 처리 시스템(18)에 의해 실현된 데이터 경로에 의해 PD 시스템(4)에 공급된다(아래의 데이터 경로에 대한 설명 참조).
도 1에 도시된 실시예에서, 투영 시스템(5)은 정전 및/또는 자기 렌즈 및 다른 편향 수단으로 구성된 다수의 연속적인 전자-자기-광학 프로젝터 스테이지(10a, 10b, 10c)로 구성된다. 이들 렌즈 및 수단은 그것들의 적용이 종래 기술에서 잘 알려져 있기 때문에 상징적 형태로만 도시된다. 투영 시스템(5)은 교점(c1, c2)를 통한 축소 이미징을 사용한다. 두 스테이지 모두에 대한 축소 계수는 수 백의 전체 축소, 예컨대, 200:1를 달성하도록 선택된다. 이러한 정도의 축소는 PD 장치의 소형화 문제를 완화하기 위해, 특히, 리소그래피 셋업에 적합하다.
전체 투영 시스템(5)에서, 이색성 및 기하학적 수차를 광범위하게 보상하기 위한 대비가 이루어진다. 이미지를 전체적으로 측면으로, 즉, 광축(cx)에 수직인 방향을 따라 이동시키는 수단으로서, 편향 수단(12a, 12b 및 12c)이 콘덴서(3) 및 투영 시스템(5)에 제공된다. 편향 수단은, 예를 들어, 도 1에 도시된 바와 같이 편향 수단(12b)을 갖는 경우에는 소스 추출 시스템(12a) 부근, 제 1 교점 부근에 위치하고, 및/ 또는 도 1의 스테이지 편향 수단(12c)이 있는 경우에는 각각의 프로젝터의 최종 렌즈(10c) 후에 배치된 다극 전극 시스템으로서 구현될 수 있다. 이 장치에서, 다극 전극 배열은 스테이지 모션과 관련하여 이미지를 이동하고 하전 입자 광학부재 정렬 시스템과 함께 이미징 시스템을 보정하기 위한 편향 수단으로서 사용된다. 이러한 편향 수단(10a, 10b, 10c)은 정지판(11)과 함께 패턴화된 빔(pd)의 선택된 빔렛을 "온" 또는 "오프" 상태로 전환하는데 사용되는 PD 시스템(4)의 편향 어레이 수단과 혼동되지 않아야 하는데, 전자는 전체적으로 입자 빔만을 다루기 때문이다.
축 방향 자기장을 제공하는 솔레노이드(13)를 사용하여 프로그램 가능한 빔의 앙상블(ensemble)을 회전시킬 수 있는 가능성도 있다.
도 2의 상세 단면도에서 알 수 있는 바와 같이, PD 시스템(4)은 바람직하게 연속적인 구성으로 적층된 3개의 플레이트("애퍼처 어레이 플레이트"(AAP)(20), "편향 어레이 플레이트"(DAP)(30) 및 "필드-경계 어레이 플레이트"(FAP)(40)를 포함한다. '플레이트'라는 용어는 각 장치의 전체적인 모양을 나타낼 뿐, 단일 플레이트 구성요소가 일반적으로 선호되는 구현 방식이라 하더라도, 플레이트가 단일 플레이트 구성요소로 실현된다는 것을 반드시 나타내는 것은 아니며, 여전히, 특정 실시예에서, 애퍼처 어레이 플레이트와 같은 '플레이트'는 다수의 서브 플레이트로 구성될 수 있다. 플레이트는 바람직하게는 Z 방향을 따라 서로 거리를 두고 서로 평행하게 배열된다.
AAP(20)의 평평한 상면은 콘덴서 광학부재/조명 시스템(11)에 대한 정의된 전위 계면을 형성한다. AAP는, 예를 들어, 얇은 중앙 부분(22)을 갖는 실리콘 웨이퍼(약 1mm 두께)(21)의 정사각형 또는 직사각형 조각으로 만들어진다. 이 플레이트는 수소 또는 헬륨 이온을 사용할 때 특히 유리한 전기 전도성 보호 층(23)에 의해 덮일 수 있다(US 6,858,118 참조). 전자 또는 중이온(예컨대, 아르곤 또는 크세논)을 사용할 때, 층(23)은 또한 각각(21 및 22)의 표면 섹션에 의해 제공되는 실리콘일 수 있으므로, 층(23)과 벌크 부분(21/22) 사이에 계면이 각각 존재하지 않는다.
AAP(20)에는 얇은 부분(22)을 가로 지르는 개구로서 실현되는 복수의 애퍼처(24)가 제공된다. 도시된 실시예에서 애퍼처(24)는 층(23)으로 제조된 직선형 프로파일 및 AAP(20)의 벌크 층에서 "역행" 프로파일을 갖도록 구현되어 개구의 하향 배출구(25)가 애퍼처(24)의 주요 부분에서 보다 더 넓다. 직선형 및 역행 프로파일 모두 반응성 이온 에칭과 같은 최첨단 구조화 기술로 제작될 수 있다. 역행 프로파일은 개구를 통과하는 빔의 미러 차징 효과(mirror charging effect)를 크게 감소시킨다.
DAP(30)는 복수의 개구(33)를 갖는 플레이트이며, 개구 위치는 AAP(20) 내의 애퍼처(24)의 위치와 일치하고, 개구에는 그들 각각의 경로로부터 선택적으로 개구(33)를 통과하는 개별 서브 빔을 편향시키도록 구성된 전극(35, 38)이 제공된다. 예를 들어, DAP(30)는 ASIC 회로로 CMOS 웨이퍼를 후-처리하여 제조될 수 있다. 예를 들어, DAP(30)는 정사각형 또는 직사각형 형상을 갖는 CMOS 웨이퍼 조각으로 만들어지며, 얇은(그러나 (22)의 두께에 비해 적절하게 더 두꺼울 수 있는) 중앙 부분(32)을 유지하는 프레임을 형성하는 두꺼운 부분(31)을 포함한다. 중앙 부분(32)의 애퍼처 개구(33)는 애퍼처(24)에 비해 더 넓다(예를 들어, 각 측면에서 약 2㎛만큼). CMOS 전자 장치(34)는 MEMS 기술에 의해 제공되는 전극(35, 38)을 제어하는데 사용된다. 각각의 개구(33)에 인접하여, "접지" 전극(35) 및 편향 전극(38)이 제공된다. 접지 전극(35)은 전기적으로 상호 연결되고, 공통 접지 전위에 연결되며, 차징을 방지하는 역행 부분(36) 및 CMOS 회로로의 원치 않는 숏컷(shortcut)을 방지하기 위한 절연 섹션(37)을 포함한다. 접지 전극(35)은 또한 실리콘 벌크 부분(31 및 32)과 동전위에 있는 CMOS 회로(34)의 이들 부분에 연결될 수 있다.
편향 전극(38)은 정전기 전위가 선택적으로 인가되도록 구성되며, 그러한 정전기 전위가 전극(38)에 인가될 때, 이것은 대응하는 서브 빔에 편향을 야기하는 전기장을 생성하여 서브 빔을 그것의 공칭 경로를 벗어나도록 편향시킨다. 편향 전극(38)은 또한 차징을 피하기 위해 역행 섹션(39)을 가질 수 있다. 각각의 전극(38)은 그 하부에서 CMOS 회로(34) 내의 각각의 접촉 부위에 연결된다.
접지 전극(35)의 높이는 빔 사이의 크로스토크 효과(cross-talk effect)를 억제하기 위해 편향 전극(38)의 높이보다 높다.
도 2에 도시된 바와 같이 전극이 하류에 배향된 DAP(30)를 갖는 PD 시스템(12)의 배열은 여러 가능성 중 하나일 뿐이다. (예컨대, 내장된 접지 및 편향 전극을 갖는) 다른 DAP 구성이 당업자들에 의해 쉽게 고안될 수 있다(US 8,198,601과 같은, 본 출원인 명의의 다른 특허 참조).
FAP 역할을 하는 제 3 플레이트(40)는 하류 축소 하전 입자 투영 광학부재의 제 1 렌즈 부분을 향하는 평평한 표면을 가지며, 따라서 투영 광학부재의 제 1 렌즈(16a)에 대한 정의된 전위 계면을 제공한다. FAP(40)의 두꺼운 부분(41)은 얇은 중앙 부분(42)을 갖는 실리콘 웨이퍼의 일 부분으로 만들어진 정사각형 또는 직사각형 프레임이다. FAP(40)에는 AAP(20) 및 DAP(30)의 개구(24, 33)에 대응하지만 후자에 비해 더 넓은 복수의 개구(43)가 제공된다.
PD 시스템(4), 특히 그것의 제 1 플레이트, AAP(20)는 넓은 하전 입자 빔(50)에 의해 조명되며(여기서, "넒은" 빔이라는 것은 빔이 AAP 내에 형성된 애퍼처 어레이의 전체 영역을 커버할 만큼 충분히 넓다는 것을 의미한다), 따라서 넓은 하전 입자 빔(50)은 애퍼처(24)를 통과할 때 수천 마이크로미터 크기의 빔(51)으로 분할된다. 빔렛(51 및 52)은 방해받지 않고 DAP 및 FAP를 횡단할 것이다.
앞서 언급한 바와 같이, 편향 전극(38)이 CMOS 전자 장치를 통해 전력을 공급받을 때마다, 편향 전극과 대응하는 접지 전극 사이에 전기장이 생성될 것이며, 이는 통과하는 각 빔(52)의 작지만 충분한 편향을 야기한다(도 2). 편향된 빔은 개구(33 및 43)가 각각 충분히 넓게 만들어지므로 방해받지 않고 DAP 및 FAP를 횡단할 수 있다. 그러나, 편향된 빔(52)은 서브-컬럼의 정지판(15)에서 필터링된다(도 1). 따라서, DAP의 영향을 받지 않는 빔만 기판에 도달할 것이다.
축소 하전 입자 광학부재(16)의 감소 계수는 빔의 치수 및 PD 장치(4)에서의 그들의 상호 거리 및 타겟에서의 구조의 원하는 치수를 고려하여 적절하게 선택된다. 이는 PD 시스템에서 마이크로미터 크기의 빔을 허용할 것이나, 나노미터 크기의 빔은 기판 상에 투영된다.
AAP에 의해 형성되는 (영향을 받지 않는) 빔(51)의 앙상블은 투영 하전 입자 광학부재의 미리 정의된 감소 계수(R)를 갖고 기판에 투영된다. 따라서, 기판에서, 각각 폭 BX = AX/R 및 BY = AY/R을 갖는 "빔 어레이 필드"(BAF)가 투영되는데, 여기서 AX 및 AY는 각각 X 및 Y 방향을 따른 애퍼처 어레이 필드의 크기를 나타낸다. 기판에서 개별 빔의 빔 크기는 각각 bX = aX/R 및 bY = aY/R로 주어지며, 여기서 aX 및 aY는 각각 DAP(30)의 레벨에서, X 및 Y 방향을 따라 측정된 빔(51)의 크기를 나타낸다.
도 2에 도시된 개별 빔(51, 52)은 2 차원 X-Y 어레이로 배열된 훨씬 더 많은 수의 서브 빔(일반적으로 수천 개)을 대표한다는 것에 유의해야 한다. 예를 들어, 본 출원인은 이온에 대하여 R = 200의 감소 계수를 갖는 멀티-빔 하전 입자 광학부재 및 수천(예컨대, 262,144) 개의 프로그래밍 가능한 빔을 갖는 전자 멀티-빔 컬럼을 실현하였다. 본 출원인은 기판에서 빔 어레이 필드가 대략 82μm×82μm인 그러한 칼럼을 실현하였다. 이러한 예는 예시 목적으로 언급되었지만 제한적인 예로 해석되어서는 안 된다.
MBMW의 전형적인 구현으로서, 본 출원인은 기판에서 81.92μm×81.92μm 빔 어레이 필드 내에서 20nm 빔 크기의 512×512(262,144) 개의 프로그래밍 가능 빔렛을 제공하는 50keV 전자 MBMW를 실현하였다. 실현된 라이터 시스템의 경우, 기판은 전자 빔에 민감한 레지스트로 덮인 6인치 마스크 블랭크(면적: 6"×6"=152.4mm×152.4mm, 두께: 1"/4 = 6.35mm)이다. 또한, 본 출원인의 실현된 시스템에서는, 레지스트로 덮인 150mm Si 웨이퍼 상의 멀티-빔 기록이 가능하다.
본 출원인의 실현된 MBMW 시스템의 전류 밀도는 20nm 빔 크기 사용 시 ≤1 A /㎠이다. 따라서, 모든 프로그래밍 가능한 262,144 개의 빔렛이 "온"일 때 전류는 ≤1.05μA이다.
본 출원인에 의해 실현된 MBMW 컬럼은 대략 5nm의 1 시그마 블러를 갖는데, 이는 엘마 플라츠구머 등의 "eMET POC: Realization of a proof-of-concept 50 keV electron multibeam Mask Exposure Tool", Proc. of SPIE Vol. 8166, 816622-1(2011)에 실험적으로 검증되고 개시되어 있다.
빔 크기를 20nm에서, 예를 들어, 10nm로 변경하는 가능성이 존재한다. 200:1 축소를 갖는 컬럼의 경우, 이것은 4μm x 4μm 개구 크기 대신 애퍼처의 2μm x 2μm 개구 크기를 갖는 애퍼처 배열 플레이트(AAP)를 사용하면 간단하다. 본 출원인의 US 8,546,767에 설명된 바와 같이, 빔 크기의 현장 변경 가능성도 존재한다.
1 세대 MBMW 생산 도구는 최대 약 20nm 및 10nm 빔을 사용하는 것을 목표로 하여, 모든 262,144개의 프로그래밍 가능 빔이 "온"인 경우 대략 1μA 전류를 제공한다. 다음 세대의 MBMW 생산 도구의 경우, 예를 들어, 8nm의 훨씬 더 작은 빔 크기를 사용하고 동시에 기판에서 81.92μm×81.92μm 빔 어레이 필드 내의 640×640 = 409,600 빔렛을 제공할 계획을 갖고 있다.
또한, 본 출원인이 제안한 멀티-빔 라이팅 방식은 동일한 스폿 크기, 20nm를 사용하고 스팟 사이에 오버랩을 갖고, 선택된 크기의 오버랩을 갖는 방식도 포함한다. "2중 그리드(double grid)" 멀티-빔 노광에서, 스폿 간의 오버랩은 X 및 Y 방향으로 빔 크기의 절반이다. "4중 그리드(quad grid)" 멀티-빔 노광에서, 스팟 간의 오버랩은 X 및 Y 방향으로 빔 크기의 1/4이다. 기판에서의 스폿 크기는 aX/R이며, 여기서 aX는 애퍼처 어레이 플레이트 내의 애퍼처의 개구 폭이고(도 2), R은 하전 입자 투영 광학부재의 감소 계수이다. 각 스폿은 개별 도즈 레벨로 노광된다. 예를 들어, 도즈 레벨을 프로그래밍하기 위해 4 비트를 사용하는 경우, 각 스팟의 도즈 레벨은 0, 1, 2,… 14 또는 15 유닛이 되도록 선택될 수 있다.
기록 프로세스에 사용될 수 있는 (위에서 설명된 바와 같은) 빔렛 도즈 할당으로 기록될 패턴을 변환하는 라이터 도구(도 1)의 프로세싱 시스템(18)의 일 부분은 "데이터 경로"로 지칭된다. 도 12는 본 발명의 맥락에서 데이터 경로(170)의 흐름도를 도시한다. 이 데이터 경로는 바람직하게는 실시간으로 수행되며, 변형에서 데이터 경로의 계산의 일부 또는 전부는, 예를 들어, 적절한 컴퓨터에서 미리 수행될 수도 있다.
완전한 패턴 이미지는 방대한 양의 이미지 데이터를 포함하며, 이것이 이러한 데이터를 효율적으로 계산하기 위해 노광될 픽셀 데이터를, 바람직하게는 실시간으로, 생성하는 고속 데이터 경로가 적합한 이유이다. 노광되는 패턴은 일반적으로 벡터 형식(예를 들면, 직사각형, 사다리꼴 또는 일반 다각형과 같은 기하학적 형상들의 집합체)으로 설명되는데, 이는 일반적으로 더 나은 데이터 압축을 제공하므로 데이터 저장에 대한 요구사항을 줄여준다.
이 맥락에서.
데이터 경로는 세 가지 주요 부분으로 구성된다.
- 벡터 기반 물리적 보정 프로세스(단계(160)),
- 벡터를 픽셀 데이터로 변환하기 위한 래스터화 프로세스(단계(161 ~ 164)), 및
- 기록 프로세스를 위한 임시 저장을 위한 픽셀 데이터의 버퍼링(단계(165 및 166)).
데이터 경로는 단계(160)에서 노광될 패턴(PDATA)이 공급되는 즉시 시작된다. 단계(160)에서, 일반적으로, 노광될 패턴(PDATA)은 가능하다면 기하학적 중첩을 갖는, 다수의 작은 데이터 청크로 분할된다. 벡터 도메인에서 적용될 수 있는 보정(예컨대, 근접 효과 보정)은 모든 청크에 대해 독립적으로, 가능하면 병렬로 수행될 수 있으며, 결과 데이터는 아래 단계들의 계산 속도를 향상시키는 방식으로 정렬되고 코딩된다. 이 출력은 모든 청크가 기하학적 형상의 모음을 포함하는 청크의 모음이다.
단계(161): 래스터화(RAST). 모든 청크의 기하학적 형상은 래스터화된 픽셀 그래픽으로 변환된다. 이 단계에서, 각 픽셀은 모든 연관된 청크의 엔티티인, 노광될 패턴을 갖는 래스터-그리드 셀의 대응 표면의 기하학적 오버랩에 따라 부동 소수점 그레이 스케일 강도가 할당된다. 이 부동 소수점 강도는 각 픽셀 위치에서 타겟 상으로 전달되는 이상적인 물리적 노광 도즈를 나타낸다. 좀 더 자세하게 말하자면, 기하학적 형상 내부에 완전히 포함된 모든 픽셀에는 최대 강도가 할당되는 반면, 기하학적 형상의 에지를 가로지르는 픽셀의 강도는 그 기하학적 형상에 포함된 픽셀의 면적의 비율에 의해 가중치가 부여된다. 이 방법은 기하학적 형상 영역과 래스터화 후 총 도즈 간의 선형 관계를 나타낸다.
단계(162): 픽셀-빔렛 할당(ASSIGN). 이 단계에서, 특정 기록 시퀀스가 주어지면, 어떤 픽셀이 어떤 빔렛에 의해 기록될 것인지 결정된다.
단계(163): 픽셀 기반 보정(CORR1). 이 단계에서, 픽셀 도메인에서 적용할 수 있는 모든 보정이 수행된다. 이러한 보정은 (앞서 설명되고 US 2015/0347660 A1에 설명된) 어레이 필드에 대한 빔(50)의 균일한 전류 밀도로부터의 편차 보정 및/또는 DAP(30) 내의 개별 결함 있는 빔 편향기에 대한 보정(US 2015/0248993 A1)을 포함한다. 픽셀 기반 보정은 각 개별 픽셀의 부동 소수점 강도를 수정함으로써 실현된다. 이것은 단계(162)의 픽셀-빔렛 할당과 관련하여 수행되며, 이는 그것이 어떤 빔렛에 의해 기록되었지, 및/또는 이웃한 픽셀이 어떤 빔렛에 의해 기록되었는지에 따라 각 픽셀에 대한 보상 도즈 팩터(q)(또는 동등하게 도즈 시프트(s))를 정의하고 적용하는 것을 가능하게 해준다.
단계(164): 양자화(QUANT). 이 양자화 프로세스는 사전 결정된 그레이 값 스케일이 주어진 때, 각 픽셀의 아마도 보정된, 부동 소수점 강도를 양자화된(또는 동등하게 '이산') 그레이 레벨로 변환한다.
단계(165): 그레이 레벨 픽셀 데이터 도메인에서의 추가적인 선택사항의 픽셀 기반 보정(CORR2)이 적용될 수 있다(본 발명의 일부가 아님).
단계(166): 픽셀 패키징(PPACK). 단계(164)로부터 획득된 픽셀 이미지는 배치 그리드 시퀀스에 따라 분류되고 라이터 도구의 프로세싱 시스템(18)에 제공된 픽셀 버퍼(PBUF)로 전송된다(도 1). 픽셀 데이터는 스트라이프의 노광을 트리거하는 충분한 양의 데이터(일반적으로 적어도 스트라이프의 길이)가 존재할 때까지 버퍼링된(도 7 참조). 이 데이터는 기록 프로세스 중 버퍼에서 제거된다. 스트라이프가 기록된 후, 다음 스트라이프와 같은 다음 영역의 패턴 데이터에 대하여 앞서 설명한 프로세스가 새로 시작된다.
제로(zero) 블러의 경우에 대한 도면인 도 3a에는, 30nm 폭의 라인에 대해 이상적인 강도 프로파일(61)이 도시되어 있다. "쿼드 그리드" 멀티-빔 노광을 사용하는 경우, 오버랩은 빔 크기의 1/4이다. 따라서, 20nm 빔 크기를 사용하는 경우, 물리적 그리드 크기는 5nm이다. 개별 도즈 레벨은 각 물리적 그리드 영역에 할당될 수 있으며, 이는 도시된 예의 경우 5nm×5nm이고, 도 3b에서는 30nm 라인을 생성하기 위해 적용된 개별 도즈 레벨(62)이 표시되어 있다. 도 3c는 제로 블러 강도 프로파일(61)(도 3a) 및 도즈 레벨 히스토그램(62)(도 3b)의 중첩을 보여준다. 일반적인 경우, 도즈 레벨 히스토그램은 미리 정해진 위치에 왼쪽 및 오른쪽 에지를 배치하기 위해 대칭적이지 않을 것이다. 도 3d에는, 왼쪽 에지가 0.0nm에 있고 오른쪽 에지가 30.0nm에 위치하는 30.0nm 폭의 선에 대한 시뮬레이션이 도시되어 있다. 시뮬레이션을 위해, 5.1nm의 1 시그마 블러(12.0nm FWHM 블러)를 갖는 20nm 빔 스팟의 노광이 가정되었다. 강도 프로파일(66)은 노광 스폿(63, 64, 65)을 중첩시킴으로써 형성된다. 가장 왼쪽의 노광 스폿(64)의 도즈 레벨은 30nm 라인이 위치(67), 즉, 원하는 0.0nm 위치에서 시작하도록 조정된다. 가장 오른쪽의 노광 스폿(65)의 도즈 레벨은 노광 라인이 30.0nm 폭을 갖고(68)에서 끝나도록 조정된다. 도 3d에 도시된 바와 같이, 20nm 노광 스팟(63, 64, 65)의 오버랩은 빔 크기의 4분의 1, 즉, 5nm("쿼드 그리드")이다.
20nm 빔 크기와 쿼드 그리드 노광(5nm 물리적 그리드 크기)을 갖는 멀티-빔 노광 도구를 사용하면, 0.1nm 단위로 선 폭을 변경할 수 있다. 예를 들어, 도 4a는 31.4nm 라인 폭에 대한 강도 프로파일을 보여주고, 도 4b는 40.0nm 라인 폭에 대한 강도 프로파일을 보여준다. 정수 도즈 레벨로 인해, 0.1nm 어드레스 그리드로부터 약간의 편차가 존재한다. 이러한 편차는 30.0nm와 40.0nm 사이의 0.1nm 단계로, 원하는 선폭의 함수로서, 도 4a 및 도 4b의 상단 부분에 "에지 위치 오차"로 표시된다. 보이는 바와 같이, 이러한 편차는 ±0.05 nm 이내이다. 또한, 도 4a 및 도 4b의 하단에 표시된 바와 같이, 10 % 도즈 변화에 따른 에지 위치의 변화는 대략 1nm이고 이는 상이한 선폭에 대하여 약간만 변한다. 즉, 본 출원인의 멀티 빔 라이터 도구에서 도즈가 1% 보다 좋게 조절되기 때문에, 도즈의 1% 변화에 대해 오직 에지 위치의 대략 0.1nm의 변화만 존재한다.
6인치 마스크 블랭크 또는 실리콘 웨이퍼와 같은 기판에 패턴을 기록할 때, 이상적인 상황은 존재하지 않지 않으므로, 이는 원하는 특징 및 패턴 충실도를 가진 마스크 또는 웨이퍼를 구현하기 위해 멀티-빔 기록 프로세스 중에 고려되어야 한다. 고려되어야 할 가능한 문제들은, 예컨대, 프로세싱으로 인한 기판 왜곡, 광학적 편향 수단을 통해 수정할 수 없는 빔 어레이 필드의 왜곡, 빔 어레이 필드 내의 블러 또는 도즈 불균일성, 후속 에칭 공정에서의 "로딩 효과" 또는 전하 유도 영향 등이다. 이러한 효과는 시뮬레이션 및/또는 측정될 수 있다.
도 5a 및 5b는 선폭에 대한 도즈 및 블러 변화의 효과를 도시한다. 블러를 무시하고("제로 블러") 30nm 선폭을 가진 라인에 대한 강도 프로파일(90)이 도시되어 있다. 도 5a에서, 노광 도즈(제로 블러 강도 프로파일(90)의 높이로 표시됨)는 서로로부터 레지스트 개발이 있거나 없는 영역들을 분리하는(강도 프로파일의 "0.5" 레벨(99)로 표시된) "제거할 도즈"의 2 배가 되도록 선택된다. 이러한 도즈 선택을 "등초점 도즈"라 하는데, 이는 피처 크기가 3 시그마 블러 정도이거나 그보다 크면 에지 위치가 노광 빔렛의 초점 또는 블러에 대해 거의 독립적임을 보장하기 때문이다. 도 5b(공칭 에지 위치(91)의 도 5a의 클로즈업)에서 볼 수 있듯이, 도즈가 등초점 도즈에서 크게 벗어나거나, 또는 피처 크기가 3 시그마 블러보다 훨씬 작으면, 노광된 에지 위치는 공칭 희망 위치(91)와 상이할 것이고, 특히 블러 변화에 따라 변동할 것이다.
도시된 예에서, 5 및 10 nm 1-시그마 블러에 해당하는 등초점 도즈(제거할 도즈(99)와의 그들의 교점)에서의 강도 프로파일(93, 94)의 노광된 에지 위치는 공칭 위치(91, 92)와 일치하지만 15 및 20 nm 1-시그마 블러에 해당하는 강도 프로파일(95, 96)의 에지 위치(97, 98)는 공칭 위치에서 점점 더 벗어난다. 패턴이 등초점 도즈에 비해 과다 또는 과소 도즈되는 경우, 노광된 에지 위치는 일반적으로 더 이상 공칭 에지 위치와 일치하지 않으며 패턴을 기록하는 빔렛의 블러에 의존한다. 도 5b에서, 도즈가 "0.45" 레벨(99')(11 %의 도즈 증가에 해당)로 이동하면 노광된 패턴 가장자리가 왼쪽으로 이동하여 낮은-블러 ehw 프로파일(93, 94)과 더 이상 일치하지 않는다.
타겟 평면 내의 왜곡, 특히 X 및/또는 Y 방향을 따른 이동, 타겟 평면의 국부적 요소의 회전 또는 전단은 본 출원인의 US 9,568,907(EP 2 993 684)에서 다루어졌다. US 2014/0168629 A1에서, 타겟 평면에 수직인 방향을 따른 왜곡, 즉, 타겟 평면에 대한 고도가 노광된 패턴의 공간 왜곡을 유발할 수도 있음이 관찰되었다. 이는 타겟의 패턴이 경험하는 공간적 이동이 타겟 평면의 고도에 의해 유발되거나 이에 의존할 수 있다는 사실의 결과이다. 예를 들어, 빔의 랜딩 각도는 일반적으로 (공칭) 타겟 평면에 정확히 수직이라고 가정되는 공칭 빔 방향에서 벗어날 수 있다. 이 편차는 타겟 고도가 공칭 높이에서 벗어나는 타겟에서 생성된 피처의 공간 변위로 이어질 것이다.
산업 응용 분야의 경우, 작은 임계 치수(CD: Critical Dimension)을 달성하는 것, 및 구체적으로 작은 필드(예컨대, MBW 빔 어레이 필드의 영역) 내에서 국부적인 CD 균일성(LCDU: Local CD Uniformity)의 나노미터 레벨에서의 3 시그마 또는 6 시그마 변화를 달성하는 것, 및 기판(예컨대, 6 인치 마스크 블랭크 또는 300mm 실리콘 웨이퍼)의 전체 MBW 기록 필드에 대한 글로벌 CD 균일성(GCDU: Global CD Uniformity)의 나노미터 레벨의 3 시그마 또는 6 시그마 변형을 달성하는 것과 관련하여 매우 까다로운 MBW 성능 요구사항이 부과된다.
전술한 바와 같이, 전형적인 MBW에서 "도즈-슬로프", 즉, 단위 도즈만큼 노광 도즈를 증가시킬 때 피쳐의 에지 위치 또는 CD의 변화는 피쳐를 기록하는 빔렛의 블러에 따라 달라진다. 따라서, 특히 등초점 도즈에 비해 과다 도징 또는 과소 도징이 발생하거나 또는 3 시그마 블러보다 작은 피처가 노광될 때, 원치 않는 로컬 CD 서명이 발생할 수 있기 때문에, 이미지 필드(빔 어레이 필드) 내 블러의 불균일성으로부터 특히 중요한 오차 원인이 발생한다. US 9,520,268에서, 본 출원인은 노광되는 패턴에 적용되어 블러 변화를 보상하는, 두 개 이상의 컨볼루션 커널에 의해 이미지 필드 내에서 고유한 블러의 변화에 기인한 임계 치수의 편차를 보상하는 방법을 제시했다.
본 발명자들은 공칭 평면에 대한 타겟 고도의 변화가 US 2014/0168629 A1에 설명된 바와 같이 노광된 패턴의 공간 왜곡을 초래할 뿐만 아니라 이미지 필드 내에서의 블러 및 그것의 분포의 변화를 초래할 수 있음을 관찰했다. 이러한 변형이 고려되지 않으면, 마스크 전체에 원치 않는 CD 변형이 발생하여 GCDU 요구사항을 위반할 수 있다. 일반적으로 수 마이크로미터 정도의 상대적인 고도는 (예컨대, 뷸균일한 마스크 토폴로지로 인한) 위치의 함수, (예컨대, 노광 장치의 형상에 영향을 미치고 그것의 초점 및 이미지 평면을 변경할 수 있는, 공정 중 타겟의 가열 또는 외부 기압 변화로 인한), 시간의 함수 및 (예를 들어 이미지 평면에 영향을 미치는 교점(c1 및 c2)에서의 전자 상호 작용으로 인한) 하전 입자 광학 시스템(5)의 총 전류의 함수로서 변할 수 있다. 따라서, 본 발명의 목적은 타겟 고도의 효과 및 관련 블러의 변화를 처리하기 위한 접근법을 제공하는 것이다.
본 발명의 제 1 양태에 따라, 하전 입자 멀티-빔 프로세싱 장치에서 카겟 상에 희망 패턴을 노광하기 위한 노광 패턴을 계산하는 방법이 제안되며, 여기서 이 방법은 공칭 타겟 평면에 대한 노광 영역 내 타겟의 고도 뿐만 아니라 고도 의존 함수에 따라 타겟의 이러한 고도에 대한 블러의 의존성을 고려하고, 상기 희망 패턴은 타겟 상의 노광 영역 내의 다수의 픽셀에 대응하는 다수의 이미지 요소로 구성된 그래픽 표현으로서 제공되고, 그리고 패턴 정의 장치에서, 복수의 블랭킹 애퍼처는 블랭킹 애퍼처의 상호 위치를 정의하는 사전 결정된 배열로 배열된다. 각각의 블랭킹 애퍼처는 각각의 노광 인터벌 동안 타겟 상에 생성된 대응하는 애퍼처 이미지 상에 각각의 블랭킹 애퍼처를 통해 노광될 도즈 값에 대해 선택적으로 조정 가능하다. 본 발명의 이 양태에 따른 노광 패턴 계산 방법은 아래의 단계들을 포함한다.
(i) 노광 영역 내의 기준점(또는 여러 기준점)에서의 타겟의 고도를 판정하는 단계, 여기서 고도는 기준점의 위치에서 공칭 타겟 평면으로부터 타겟의 국소 이동을 나타낸다.
(ii) 고도 의존 함수에 기초하여, 이전 단계에서 판정된 타겟의 고도에 대응하는 블러의 실제 값을 나타내는 로컬 블러 값을 판정하는 단계,
(iii) 상기 로컬 블러 값 및 주어진 타겟 블러 레벨에 기초하여, 추가 보정 블러 값을 판정하고 로컬 블러 값을 사용하여 컨볼루션 커널을 계산하는 단계, 여기서 커널은 그래픽 표현의 이미지 요소로부터 픽셀 그룹으로의 매핑을 설명하고, 픽셀 그룹은 이미지 요소의 공칭 위치를 중심으로 하고 커널은 로컬 블러 값을 실현하는 포인트 스프레딩 함수(point spreading function)를 나타낸다.
(iv) 전체 노광 영역 또는 적어도 상기 기준점을 포함하는 노광 영역의 일 영역("보정 영역")에서 컨볼루션 커널과 그래픽 표현의 컨볼루션에 의해 다수의 픽셀 상에 형성된 픽셀 래스터 그래픽인 공칭 노광 패턴을 계산하는 단계, 이렇게 획득된 공칭 노광 패턴은 타겟 상에 공칭 도즈 분포를 생성하는 역할을 한다.
상기 단계에서 계산된 컨볼루션은 보정 블러 값에 대응하는 블러를 공칭 노광 패턴에 도입하는 것에 대응하고, 추가 보정 블러는 노광 패턴의 블러를 로컬 블러 값으로부터 주어진 목표 블러 값으로 증가시킨다.
이 솔루션은 위에서 언급한 바와 같이 타겟에 대한 고도 변화의 영향을 보상하는 역할을 한다. 본 발명의 주요 아이디어는 이미징 시스템의 블러를 균일한 값으로 높이는 것이다. 이것이 기록 방법의 해상도를 어느 정도 감소시킬 수 있으나, 블러의 균일 한 동작은 기록된 패턴의 에지 위치의 더 나은 특성화에 기여하는데, 구체적으로 임계 치수의 균일성(CDU), 마스크 제조에서 매우 중요한 지표 등이 있다.
대부분의 애플리케이션에서, 이 방법은 노광 영역을 복수의 중첩하지 않는 서브 영역으로 분할하는 것을 사용하여, 공칭 타겟 평면에 대한 노광 영역 내 타겟 고도의 공간적 변화를 고려하며, 여기서 단계(i) 내지(iv)는 서브 영역(세그먼트라고도 함) 각각에 대해 수행되고, 단계(i)에서 각각의 서브 영역에 대해, 서브 영역의 각각의 기준점에서의 타겟의 각각의 고도가 계산되고, 단계(ii) 내지(iv)는 각각의 로컬 블러 값을 사용하여 수행되며, 그리고 목표 블러 값은 모든 서브 영역 중 로컬 블러 값들의 세트에 걸친 최대값보다 작지 않은 값(예컨대, 최대값 자체)으로 선택된다. 예를 들어, 서브 영역은 평행 한 스트라이프로 구현될 수 있으며, 각 스트라이프는 전반적인 기록 방향과 실질적으로 평행하게 배향된 긴 측면을 가지며, 상기 전반적인 기록 방향은 상기 하전 입자 장치에서의 노광 프로세스 중에 연속적인(subsequent) 픽셀의 노광이 수행될 때 따르는 라인의 방향을 나타낸다. 적합하게는, 스트라이프의 긴 측면은 전반적인 기록 방향을 따라 측정했을 때 타겟 상에 충돌하는 입자 빔의 폭에 걸쳐 연장될 수 있다.
패턴 정의 장치의 애퍼처 어레이가 타겟 상에 이미징되어 빔 어레이 필드를 생성하는 본 발명의 적절한 구현예에서, 서브 영역의 적어도 일부는 타겟 상에 이미징된 애퍼처 어레이의 이미지의 폭보다 작은 폭을 가질수 있고, 상기 폭은 전반적인 기록 방향을 가로질러 측정될 수 있다. 예를 들어, 서브 영역의 폭은 전반적인 기록 방향을 가로 지르는 방향을 따른 타겟 상의 애퍼처 이미지의 거리일 수 있다.
많은 실시예에서, 블러의 계산은 공칭 타겟 평면의 2개의 주축에 대해 수행되어, 상기 2개의 주축 각각에 대한 목표 블러 값을 획득한다. 이것은 각각의 주축에 대해, 모든 서브 영역 중 각각의 주축을 따른 로컬 블러 값의 세트에 걸친 최대값보다 작지 않은 값으로서 목표 블러 값을 선택함으로써 수행될 수 있다. 또한, 2개의 주축 각각에 대해 개별적으로 노광 패턴의 블러를 로컬 블러 값에서 목표 블러 값으로 증가시키는 보정 블러를 도입하는 것에 대응하는 이방성 커널(anisotropic kernel)을 계산하는 것이 적합할 수 있다. 대안으로서 또는 조합하여, 이방성 커널은 노광 패턴의 블러를 로컬 블러 값에서 2개의 주축에 대한 2개의 목표 블러 값 중 더 큰 값으로 증가시키는 보정 블러를 도입하는 것에 대응하도록 계산될 수 있다. 또한, 노광 패턴의 블러를 등방성 블러로 보정할 수 있게 하는 보정 성분을 포함하는 이방성 커널을 계산하는 것이 유용할 수 있다. 이러한 보정 성분은 종종 커널 내의 비대각선 보정 성분을 포함할 것이다.
커널의 유리한 구현예는 커널이 블러를 2차원 가우시안 함수의 이산화된 실현으로서 설명하는 경우일 수 있다.
커널의 기초가 되는 블러를 계산하는 적절한 구현예에서, 커널은 고도 의존 블러 및 기본 블러를 포함하는 합인 추가 블러에 대응하거나 추가 블러를 포함할 수 있으며, 여기서 기본 블러는 각각의 기준점 주변의 패턴 밀도의 함수로서 계산된다.
또한, 커널은 프로세싱 장치의 하나 이상의 환경 파라미터에 기초하여 결정되는 추가 블러에 대응하거나 추가 블러를 포함할 수 있고, 상기 환경 파라미터는 기압, 상기 프로세싱 장치의 특정 컴포넌트의 온도, 타겟의 위치에서의 온도, 입자 빔의 실제 빔 전류를 포함한다.
노광 시스템의 전체 블러에 대한 후보 컨볼루션 커널 세트의 효과를 판정하기 위해, 예를 들어, 아래의 단계들을 진행하는 것이 가능하다. 각각 미리 결정된 값 범위에 걸쳐 연장되는, 개별 커널 블러 값과 각각 연관되는, 상기 후보 컨볼루션 커널을 사용하여 테스트 구조를 노광하는 단계; 상기 테스트 구조의 측정으로부터 전체 블러를 추정하는 단계; 및 단계(iii)에서, 이 커널 블러 값의 보정 커널 값이 사용될 때, 노광 시스템의 전체 블러가 목표 블러와 일치하도록 하는 값 범위로부터 커널 블러 값을 선택하는 단계.
본 발명의 다른 양태는 하전 입자 멀티-빔 프로세싱 장치에서 타겟 상에 노광 패턴을 기록하는 방법을 제안한다. 입자 빔이 제공되고, 입자 빔이 관통하는 복수의 블랭킹 애퍼처로 구성된 애퍼처 어레이를 포함하는 패턴 정의 장치로 보내져, 상기 노광 패턴에 따라 대응하는 복수의 빔렛으로 구성된 패턴화된 빔을 형성하며, 패턴 정의 장치로부터 빠져나오는 패턴화된 빔은 상기 노광 영역 내의 다수의 픽셀을 노광함으로써 희망 패턴을 기록하기 위해 타겟 상의 노광 영역을 향해 지향되며; 또한, 노광 패턴은 본 발명의 제 1 양태의 방법을 사용하여 타겟 상에 그것을 노광하기 전에(재)계산된다. 이러한 맥락에서, 타겟 상의 적어도 하나의 기준점의 고도를 판정하기 위해 장치 내에 표면 계측 장치를 갖는 것이 적합할 수 있다.
아래에서, 본 발명은 첨부된 도면을 참조하여 보다 상세하게 후술되는 여러 실시예에 의해 예시된다. 본 명세서에 도시된 실시예들은 예시적인 특성이고 본 발명의 범위를 제한하는 것으로 해석되어서는 안된다는 것이 강조된다.
도면은 다음을 개략적으로 보여준다.
도 1은 최신 기술의 하전 입자 멀티-빔 시스템의 종방향 단면도이다.
도 2는 최신 기술의 패턴 정의 시스템의 종방향 단면도이다.
도 3a-3d는 멀티 빔 마스크 라이터 도구의 강도 프로파일을 보여준다. 즉, 도 3a는 30nm 폭의 라인에 대한 제로 블러 강도 프로파일을 보여주며, 도 3b는 중첩하는 노광 스팟의 도즈 수준을 나타내고, 도 3c는 앞선 두 도면의 중첩이고, 도 3d는 30nm 폭의 라인에 대해 시뮬레이션된 강도 프로파일(66)을 보여준다.
도 4는 라인 폭이 각각 31.4nm(도 4a) 및 40.0nm(도 4b)인 라인 시뮬레이션에 대해 얻은 멀티-빔 라이터 강도 프로파일 및 관련 데이터를 보여준다.
도 5a는 본 출원인의 멀티 빔 라이터 도구로 노광된 30nm 라인의 강도 프로파일을 보여준다.
도 5b는 강도 프로파일이 50% 강도 레벨을 가로지르는 좌측 측면에서의 도 5a의 세부사항을 보여준다.
도 6은 6”포토 마스크 기판의 레이아웃의 전형적인 예를 보여준다.
도 7a는 노광 영역의 예시적인 분할을 도시한다.
도 7b는 도 7a의 세그먼트에 균질화 블러의 할당을 도시한다.
도 7c는 균질화 블러가 타겟 상의 데이터 요소에 미치는 영향을 보여준다.
도 8은 Z 좌표 상의 포인트 확산 함수 및 관련 블러의 의존성을 보여준다.
도 9는 블러 매트릭스에 대한 파라미터를 구현하는 몇 가지 접근 방식을 도시하며, 제 1 행은 주어진 블러의 예시적인 시작점을 나타내고, 제 2 내지 제 4 행은 블러 파라미터를 얻기 위한 각각의 접근 방식을 도시한다.
도 10은 고도 왜곡이 있는 타겟 상의 고도 측정을 보여준다.
도 11a-c는 도 11a에 도시된 고도 지도를 도 11b에 도시된 다수의 세그먼트에 대한 고도 값의 개별지도로 변환하는 것을 도시한다.
도 11c는 결과적인 개별 지도를 보여 주며, 고도 값은 세그먼트 영역의 음영을 통해 표시된다.
도 12는 MBW의 데이터 경로를 보여준다.
도 13은 도 9의 접근법에 대응하는 블러 파라미터의 계산의 흐름도를 도시한다.
도 14는 6nm의 고정된 초기 블러(σ0)에서 시작하는 추가 블러(σ1)을 추가 한 효과를 보여준다. 이 추가 블러(σ1)은 연속적인 가우시안 블러(실선)이거나 다양한 크기(각각 9×9, 7×7, 5×5)의 매트릭스 유형 커널을 사용하여 컨볼루션에 의해 도입될 수 있다. 세로축은 결과 블러(σT)의 값을 나타낸다.
본 명세서에 제공된 상세한 설명은 본 발명 및 그 예시적인 실시예 뿐만 아니라 추가의 유리한 개발을 설명하기 위한 것이다. 본 발명의 특정 적용에 적합하다고 간주되는 여기에서 논의되는 실시예 및 양태들의 일부 또는 전부를 자유롭게 결합하는 것은 당업자에게 명백할 것이다. 본 명세서 전반에 걸쳐, "유익한", "예시적인" 또는 "바람직한"과 같은 용어는 본 발명 또는 그 실시예에 특히 적합(필수적이지는 않음)한 요소 또는 치수를 나타내며, 달리 명시적으로 언급된 경우를 제외하고 당업자가 적절하다고 간주하는 경우 수정될 수 있다. 본 발명은 예시적인 목적으로 제공되고 단지 본 발명의 적절한 구현을 제시하는, 이하에서 논의되는 예시적인 실시예로 제한되지 않는다는 것이 이해될 것이다.
본 발명을 구현하기에 적합한 하전 입자 멀티-빔 도구는 도 1 내지 5b를 참조하여 위에서 설명되었다. 특히, 도 1의 리소그래피 장치(1)에서, 이하 설명되는 계산 및 보정 방법은 리소그래피 장치의 프로세싱 시스템(18) 및/또는 데이터를 처리하고 기록 프로세스를 제어하기 위한 임의의 다른 제어 시스템에서 적절하게 수행될 수 있다. 멀티-빔 하전 입자 도구에 대한 추가 세부사항은 US 9,520,268, US 6,768,125, EP 2 187 427 A1 및 EP 2 363 875 A1 및 여기에 인용된 참고 문헌에서 찾을 수 있으며, 이들은 모두 본 명세서의 일부로서 참조로 포함된다.
고도 변화 및 블러에 대한 영향
도 6은 국부적으로 변화하는 고도(즉, 고도 왜곡)가 발생할 수 있는 타겟의 한 예, 즉, 노광 영역(81) 내에 전기 전도성 마스킹 층 및 전자 빔 감응 레지스트로 덮인 6"x6"x1"/4 석영 유리판(80)으로 이루어진 6" 포토 마스크 블랭크(80)를 도시한다. 마스크 패턴 필드(83)(본 발명의 노광 영역의 일 예를 나타냄) 내에는, 상이한 밀도의 노광 스폿의 영역, 예를 들어, 고밀도(84) 및 저밀도(85) 패턴 영역이 존재할 수 있다. 또한, 일반적으로 정렬 목적을 위한 패턴을 갖는 서브 필드(82)가 존재한다. 이미 영역(84, 85, 82)이 서로 다른 패턴 밀도 값을 갖는다는 사실은 종종 타겟 고도의 공간적 변화의 한 원인으로서, 가열의 다양한 영향을 유발한다. 물론, 본 발명은 이러한 유형의 타겟에 제한되지 않고 광범위한 타겟 및 기판에 적용 가능하다.
본 발명의 많은 바람직한 실시예에 따르면, 노광 영역은 복수의 개별 서브 영역(이하 "세그먼트"라고도 함)으로 분할된다. 도 7a는 세그먼트(71)로 분할된 노광 영역(기판 패턴 필드 영역의 일부로 도시됨)의 일례를 도시한다. 이 세분화는 정규 그리드에 따르고 및/또는 수학적 직선 그리드(72)를 따라 정렬된 그리드에 대응할 수 있지만, 반드시 필요한 것은 아니다. 바람직하게는, 서브 영역은 직사각형 또는 2차(quadratic) 세그먼트(71)로 구현될 수 있다. 본 발명에서 상기 영역의 임의의 다른 유형의 유한 요소 커버링이 또한 사용될 수 있다는 점에 유의해야 한다. 여기서, 세그먼트의 크기(또는 면적)(일반적으로 그 개수에 반비례함)는 사용 가능한 계산 능력(데이터 경로의 속도) 및 요구되는 정밀도와 관련이 있다. 이러한 제한과는 별도로, 실제 세분화는 자유롭게 선택될 수 있다. 그러나, 유리하게도, 세분화는 주어진 또는 예상되는 고도 왜곡 및 그것에 의해 생성된 블러 변화에 대해 적절한 방식으로 선택될 수 있다. 본 발명의 전형적인 실현에서, 계산 능력은 멀티-빔 라이터의 빔 어레이 필드보다 작은 최소 세그먼트 크기를 허용해야 한다.
기판의 높이 프로파일의 예는 타겟 평면에 수직인 가상 섹션에 따른 타겟 프로파일의 개략도를 보여주는 도 10에 도시되어 있다. 타겟 표면(141)의 실제 형상은 평면(150)상의 기준점(142)(보통 측정 위치와 일치함)에서 공칭 타겟 평면(150)으로부터의 특정 편차(h)를 나타낸다. 높이 프로파일은 광축을 따라 노광 영역 내의 각각의 요소(예컨대, 서브 영역)의 위치에 의해 정의될 수 있으며, 이는 또한 그것의 Z 좌표 값(z)이라고도 불린다. 이 고도는 실제 z 값과 예상 z 값의 차이이며, 이는 공칭 타겟 평면(150)과 일치할 것이다. 고도의 스케일은 타겟의 측면 치수(X/Y) 스케일과 비교하여 도 10에서 크게 과장되어 있다.
각각의 상기 세그먼트(71)는 멀티-빔 라이터 도구 및 특히 타겟에 영향을 미치는 공칭 타겟 평면으로부터 벗어난 타겟의 함수인 블러 및 고도 변화의 모델 기반 및/또는 측정 기반 분석으로부터 얻어지는 블러 불균일성의 개별 보정이 할당된다. 블러의 변화 효과를 보정하기 위해, 본 발명은 이미 존재하는 블러에 더하여 "균질화 블러"를 적용하여 블러를 "타겟 블러"로 높이는 것을 제안한다. 예를 들어, 이것은 커널을 사용하여 가변 스케일의 가우스 필터로 래스터화된 데이터의 컨볼루션을 구현함으로써, 각 세그먼트에 추가 블러를 도입함으로써 수행된다. 가우시안 필터를 사용하는 유사한 커널 구현은 바람직하지 않는 이방성을 수정하기 위해 US 9,520,268 B2에서 본 출원인에 의해 제안되었다. 도 7b는 도 7a의 세분화에 대한 균질화 블러 할당의 예를 보여준다. 타원(73)은 각 세그먼트의 노광 데이터에 적용되는 가우스 블러의 1 시그마 레벨 세트를 나타내며, 이는 각각 세그먼트 당 단일 직사각형의 블러를 상징하는(예리한 모서리를 가진 직사각형은 추가 블러가 없는 것에 대응할 것이다), 데이터 요소(74)에 의해 도 7c에 도시된 바와 같이, 타겟 상에 사전 블러링된 데이터를 야기한다. 각 세그먼트(72)의 서브 영역 고도는 해칭 음영을 통해 표시되며, 밝은 음영은 밝고 높은 서브 영역을 나타내고, 어두운 음영 서브 영역은 공칭 평면에 비해 낮다. 고도가 타겟 평면을 가로 질러 약간만 변하기 때문에, 단순화를 위해, 고도는 각 세그먼트(72) 내에서 일정한 것으로 취급될 수 있으며, 해당 지역의 중심과 같은 기준점에서의 고도 값을 취하거나, 또는 여러 측정 지점에 걸쳐 고도를 평균화할 수 있다. 기준점의 위치는 각 세그먼트에 대해 적합한 위치에서 선택된다. 또한, 세그먼트 내에 여러 개의 측정 지점을 갖는 것이 적합할 수 있으며, 그 고도가 해당 고도 값 중 대표 값(예컨대, 중앙값)인 것으로 가정되는 측정 지점으로부터 기준 지점이 선택되고, 또는 고도 값의 평균이 기준 지점에 대한 고도로서 취해진다. 도 7c의 각 세그먼트 내의 직사각형에 적용된 블러는 명확성을 위해 과장되어 표시되며, 일반적으로 상이한 세그먼트 사이에서 달라질 것이다.
고도 왜곡으로 인한 블러 불균일성의 주요 원인은 (하나의 수평 공간 차원에 대해) 도 8에 도시되어 있다. 단일 빔렛의 블러는 빔렛의 초점에 대한 Z 방향(즉, 광축(cw)의 방향)의 노광 위치에 따라 달라진다. 따라서, 기판과 이미지 평면의 상대적 위치가 이동하면 빔 어레이 필드 내의 블러 분포(및 이미지 필드 내의 평균 블러)가 변경될 것이다. 도 8에서, 극소(점-형상) 애퍼처 개구(101)를 통과하는 빔(100)의 전류 밀도(102)는 광축을 따른 위치의 함수로서 표시된다(즉, X 좌표의 함수로서의 포인트 스프레드 함수; Z 좌표의 상이한 값에 대해 여러 포인트 스프레드 함수가 표시된다). 이상적인 애퍼처(101)에서, 전류 밀도는 단수이지만, 입사각의 편차로 인해, 임의의 다른 Z 위치에서의의 전류는 수직 방향으로 확산된다. 예를 들어, 파선(100)으로 표시되는 1-시그마 레벨을 갖는 가우스 분포를 따른다. 빔은 투영 시스템(5)에 의해 축소되고 위치(zfocus)에 초점이 맞춰지는데, 여기서 전류 밀도(103)의 확산(즉, 빔 블러)은 최소값을 가지며, 이는 0에 가깝지만 0은 아니다(예컨대, 광학 수차로 인해). 고르지 않은(즉, 경사진 및/또는 비평면인) 마스크 표면(104)이 존재하는 경우, 레지스트는 zfocus에 대한 오프셋(Δz)을 갖는 상이한 Z 위치(zexposure)에서 전자 빔에 노광될 것이고, 이는 불균일한 포인트 확산 함수를 야기한다. 또한, zfocus가 일반적으로(예컨대, 이미지 필드 곡률로 인해) 패턴 정의 시스템(4) 내의(수직) 위치에 따라 다르기 때문에, 빔 어레이 필드 내의 블러는 완벽하게 평평한 기판에서도 불균일하다. 유한한 크기의 애퍼처 개구의 경우, 임의의 위치(z)에서의 전류 밀도는 포인트 스프레드 함수를 사용하여 이상적인 애퍼처 이미지의 컨볼루션에 의해 얻어진다.
더욱이, 본 발명은 가우스 포인트 스프레드 함수에 제한되지 않으며, 다른 분포의 사용을 쉽게 생각할 수 있다. 예를 들어, 몇몇 임계 값 이상의 Z 축에 대해 일정 각도로 이동하는 전자가 일반적으로 플레이트(21, 31 또는 41)(도 2) 중 하나와 충돌하여 PD 시스템(4)를 통과하지 못할 가능성을 고려하기 위해, 절단 정규 분포(truncated normal distribution)가 사용될 수 있다.
블러 변화의 다른 원인
고도 왜곡은 고르지 않은 마스크 토폴로지에 의해 생성될 뿐만 아니라 조명 또는 투영 광학부재 자체의 장애에 의해 유발될 수도 있다. (예를 들어 줄 가열(Joule heating)로 인한) 온도 또는 기압의 변화는, 예를 들어, 노광 장치(1)의 형상에 미묘하게 영향을 미치고, 이에 따라, 예를 들어, 그것의 초점 거리가 변경되어 고도 왜곡을 유발할 수 있다. 블러 변화의 또 다른 중요한 원인은 조명 광학부재를 통과하는 총 전류의 변화이며, 이는, 예를 들어, 로컬 패턴 밀도(패턴 정의 장치에서 스위치 온 또는 오프되는 빔렛의 비율을 결정함)의 변화에 의해 유발된다. 교점(11)에서의 전자-전자 상호 작용으로 인해, 이러한 전류 변화는 (무작위 상호 작용으로 인해) 이미지 필드에 걸쳐 최소한의 블러(초점이 맞음)를 증가시키고 그 교점에서의 전달 각도를 변경함으로써 이미지 평면을 이동시켜 고도 왜곡을 생성한다.
수학적 모델
바람직한 수학적 모델에서, 블러 변화는 고도 왜곡("고도 블러")으로 인한 블러 변화와 포커싱된 빔의 최소 블러("기본 블러")의 변화의 조합으로 설명되며, 이둘 모두 공간적으로 그리고 시간적으로 변할 수 있다. 실제 동작의 적절한 단순화에서, 포인트 애퍼처를 통과하는 빔렛의 전류 분포(광축에 수직인 평면을 따름)는 광축을 따른 임의의 위치에 대해 2차원 정규 분포를 따르는 것으로 가정된다. 즉, 시간(t)에서 고도(z)로 주어진 서브 영역을 노광하는 빔렛(또는 빔렛의 앙상블)의 포인트 스프레드 함수(p)는 아래와 같은 형식을 갖는 것으로 가정한다.
Figure pat00001
여기서,
Figure pat00002
상기 식은 위치 백터
Figure pat00003
의 가우시안 확률 밀도 분포이고, 행령,
Figure pat00004
은 공분산 행렬
Figure pat00005
의 역행렬과 평균(mean),
Figure pat00006
에 의해 주어진다. 주 대각선의 X 및 Y 방향에 대한 분산
Figure pat00007
Figure pat00008
(각각 xx- 및 yy-분산이라고 함) 및 비대각선 요소인 공분산
Figure pat00009
(여기서 ρ는 X 및 Y 방향의 상관 계수)을 포함하는 공분산 행렬
Figure pat00010
은 가우시안의 모양과 스케일을 설명하며 고도 및 기본 블러 구성 요소 Σe 및 Σb로 구성되는 것으로 가정한다. 즉,
Figure pat00011
여기서, 파라미터는 다음과 같다. 고도 성분 Σe의 xx- 및 yy- 분산은 Z 위치(zx 및 zy)에서 사라지고(블러 스팟이 한 라인이 됨), 공분산은 Z 위치(zxy)에서 사라진다. 계수, α, β, γ는 2 차원 1-시그마 원뿔 각도 분포(100)을 설명한다. 기본 블러 성분, Σb는 광학 수차 또는 빔 또는 기둥의 전자-전자 상호 작용으로 인해 생성된 추가 오류를 설명하며, 이는 정규 분포를 따르는 것으로 가정된다.
일반적으로, 모델 계수 α, β, γ, zx, zy, zxy, bxx, byy, bxy는, 예컨대, 컴퓨터 시뮬레이션에 의해 결정될 수 있는 시간과 공간(즉, 서브 영역 위치)의 임의 함수일 수 있다. 그러나, 특히 중요한 것은 노광 장치의 초점 거리를 변경하고 빔 내의 무작위적인 전자-전자 상호작용에 의한 기본 블러 계수 bxx, byy, bxy의 변화를 변경시키는 빔 전류, 온도 또는 기압 변화로 인한 초점(zx, zy, zxy)의 공통적인 이동이며, 이는 교점(c1 및 c2)를 통과하는 전류에 비례하여 강도를 증가시킨다.(도 1). 적절한 모델에서, 이 계수들은 관련 노광 및 환경 관찰 가능 항목(예컨대, 압력, 온도, 전류, 패턴 밀도)과 선형, 다항식 또는 입방 스플라인 관계를 갖도록 모델링된다. 환경 관찰 가능 항목은 노광 장치에 위치한 적절한 센서(예컨대, 모니터링 할 관련 구성요소에 위치한 온도 센서 또는 하우징(2)의 적절한 위치에 제공된 압력 센서(18a))에 의해 쉽게 측정될 수 있고, 리소그래피 장치(1)의 프로세싱 시스템(18)에 의해 처리될 수 있다.
다른 중요한 특정 기능적 관계는 노광 영역의 서브 영역이 "서브 세그먼트"라 불리는, 빔 어레이 필드(BAF)의 해당 특정 부분에 의해 기록된다는 관찰로 인해 나타난다. 서브 영역 내의 초점, zx, zy, zxy 및 기본 블러 계수 bxx, byy, bxy는 해당 서브 영역을 기록하는 빔렛의 평균 광학 특성(즉, 초점, 수차)(특히, BAF 내의 그들의 위치)에 따라 달라지는데, 이는, 예컨대, 스트라이프의 폭이 US 9,053,906에 설명된 바와 같이 X 방향을 따라 스캐닝 방향으로 스캐닝 전략을 사용할 때 Y 방향으로 BAF 폭의 정수 분율인 스트라이프 형태로 일반적으로 노광 영역에 걸쳐 주기적으로 반복된다. 이 경우를 고려하기 위해, 관심 서브 영역에 대한 계수(또는 그 성분)는, 예를 들어, 다음 단계를 포함하는 절차에 의해 결정될 수 있다. 제 1 단계에서, (i) BAF(서브 세그먼트)의 다른 부분에 대해 원하는 유형의 계수(또는 그 성분)를 판정하는 단계, 및(ii) 관심 서브 영역을 노광하는 서브 세그먼트를 판정하는 단계, 제 2 단계에서, 관심 서브 영역에 대한 계수를 얻기 위해 파트(ii)에서 판정된 서브 세그먼트에 걸쳐, 제 1 단계 파트(i)에서 획득된 BAF 관련 계수의 평균을 구하는 단계.
본 발명의 유리한 실시예에서, 서브 영역 고도, 이미지 필드 위치 및 기타 관찰 가능 항목(예를 들어, 계수 α, β, γ, zx, zy, zxy, bxx, byy, bxy 및 이들의 기본 값 및 상기 관찰 가능 항목에 대한 그들의 기능적 의존성)은 일련의 테스트 노광에 의해 실험적으로 결정될 수 있으며, 여기서, 테스트 패턴은 예를 들어, 각각의 노광 동안 다양한 값의 초점 값을 사용하거나, 기압의 변화를 도입하거나, 노광 장치의 부분을 인위적으로 가열하거나, 빔 전류 및/또는 테스트 패턴의 패턴 밀도를 변경함으로써 시뮬레이션될 수 있는 다양한 고도, 빔 필드 위치, 노광 및 환경 조건에서 노광된다.
본 발명의 적절한 구현에서, 빔 및 레지스트 모델(근접 효과와 같은 전자-레지스트 상호 작용을 포함)은 노광된 테스트 패턴 형상 또는 치수의 측정으로부터 빔 파라미터(특히, 블러)를 추정하기 위해 사용된다. 제 2 단계에서, 이러한 블러 값은 고도 의존 블러에 대한 계수 또는 계수 함수를 추정하는데 사용된다.
또한, (예를 들어, 시뮬레이션으로부터 얻은 상기 선형 함수의 기울기 및 오프셋을 갖는), 주어진 방향의 블러와 그 방향으로 측정된 테스트 패턴의 CD 사이의 선형 관계를 가정함으로써, 빔 블러를 판정하기 위한 단순화된 접근 방식을 활용하는 것이 적합할 수 있다. 복수의 방향으로 측정함으로써, 빔 모양(즉, 가우시안 포인트 스프레드 함수에 대한 공분산 행렬, Σ)은, 예를 들어, 최소 제곱 피팅(least-squares fitting)을 통해 복구될 수 있다.
일반적으로, 서브 영역은 임의의 모양을 가질 수 있지만, 일반적으로 빔 필드의 블러 변화를 고려할 수 있도록 적어도 하나의 공간 방향을 따른 타겟 상의 빔 필드 크기보다 짧은 치수를 갖는다. 예를 들어, 세그먼트는 X 방향을 따라 전체 빔 필드보다 넓을 수 있지만, Y 방향을 따라서는 오직 수 개의 빔 필드 행(즉, 100nm 정도) 폭이다. 이 구현예는 X 방향을 따라 동적으로 기록할 때 특히 유용할 수 있고(예컨대, US 8,222,621에서 본 출원인에 의해 설명됨), 여기서 상기 계수들의 변화는 Y 방향(세그먼트를 기록하는 판정 가능한 빔 필드 행에 대응, 반면 빔 필드 컬럼은 추적 불가능한 방식으로 혼합될 수 있음)으로만 캡처될 수 있다. 이 경우, 노광 영역 세그먼트의 적절한 선택은 빔 필드 세그먼트와 동일한 두께 및 노광 영역의 고도 변화를 포착하기에 충분한, X 방향으로 하나 또는 수 개의 빔 필드 폭(즉, 100μm 정도)을 갖는 스트라이프로 구성된다.
블러 불균일 보정
본 발명의 목적은 노광 영역의 전체 또는 일부에 걸쳐 블러를 균일화하는 것이며, 이 부분은 이하 보정 영역(C)이라 지칭되며, 이는 타겟 고도, 노광 및 환경 파라미터의 변화를 설명한다. XY-위치의 함수인 Z 좌표 값(z)의 맵인 노광 영역(141)의 높이 프로파일(147)을 얻기 위해, 도 10에 도시된, 마이크로-엡실론 메스테크닉(Micro-Epsilon Messtechnik)(독일 오르텐부르크)의 공초점 센서와 같은 거리 센서(140)가 사용될 수 있다. 웨이퍼 또는 다른 표면의 표면 계측을 얻기 위한 방법 및 도구는 당업계에 잘 알려져 있다(예컨대, US 6,829,054 또는 US 2013/0128247 A1 참조). 본 발명의 유리한 실시예에서, 거리 센서(140)는 도 1에 나타낸 바와 같이 노광 장치의 일부인 표면 계측 장치(19)에 포함되어 서브 영역 고도의 현장 측정을 수행한다.
도 11a는 노광 영역(170) 내의 타겟 표면(141)의 높이 프로파일(147)의 개략적인 예를 도시한다. 높이 프로파일의 처리를 용이하게 하기 위해, 노광 영역은 개념적으로 복수의 세그먼트(171)(도 11b)로 분할된 다음, 개별 높이 값이 도 11c에 도시된 것처럼 각 세그먼트(171)에 할당되어, 모든 세그먼트(171)가 각각의 z 값(148a, 148b)과 연관되며, 도 11c에서는 Z 좌표(z)의 상이한 값들이 상이한 해칭 음영으로 표시된다. 이러한 세그먼트(171) 내의 z의 실제 값의 변화는 일반적으로 작으며, 이는 z 값이 전체 세그먼트에 대해 일정하다고 가정할 수 있음을 정당화한다.
본 발명의 많은 바람직한 실시예에서, 노광은 본 출원인에 의해 US 9,053,906에 기술된 스트라이프 스캐닝 전략, 즉, 일련의 (가능하다면 중첩하는) 공간적으로 후속하는 스트라이프를 통해 수행된다. 이 경우, 서브 영역 고도는 노광 중에 측정될 수 있으며 그 측정값은 이웃한 노광 스트라이프의 서브 영역 고도를 예측하는데 사용된다. 관련 환경 또는 노광 파라미터가 일반적으로 여러 스트라이프의 노광에 걸쳐 안정적이거나(예컨대, 온도 및 압력) 또는 쉽게 추정될 수 있기 때문에(예컨대, 가변 패턴 밀도로 인한 빔 전류 변동), 이들은 스트라이프를 기록하기 전에 예측될 수 있고, 그러므로 블러 균질화 모델에 통합될 수 있다.
하나의 적합한 구현예에서, 보정 절차는 도 13의 흐름도로 묘사되는 여러 단계로 수행된다. 블러를 균질화하기 위해, 제 1 단계(131)는 상기 보정 영역(PRED_RANGE로 표시됨)의 노광 이전에 보정 영역 내의 가능한 블러 값 범위를 예측하는 단계이다. 다음 단계(132)는 결정된 범위에서 최대 블러 스팟을 판정하는 단계이다. 본 발명자는 이 단계를 위한 3개의 유리한 방법(DM_AX, DM_XYEQ 및 DM_ISO)을 구상했으며, 이들은 아래에 추가로 설명되며, 이들 중 하나가 선택될 수도 있고 또는 이러한 방법의 일부 또는 전부가 동시에 실행될 수도 있다. DM_AX의 경우, 최대 블러 스팟은 X 및 Y 축(즉, 공칭 타겟 평면(150)의 두 주축 방향) 각각에 대해 하나씩 총 2개의 최대 블러 값을 포함하고, DM_XYEQ 및 DM_ISO의 경우 최대 블러 스팟은 오직 하나의 값(두 축에 대한 등방성)으로만 구성되며, 이는 간단히 '최대 블러'라고 지칭될 수 있다. 단계(131 및 132)는 바람직하게는 보정 영역(C)의 노광이 시작되기 전에 수행된다(보정 영역(C)은 도 13의 상단 점선 사각형으로 표시됨). 제 3 단계(133)에서, 보정 영역의 모든 서브 영역에 대해, 보정 영역의 최대 블러와 일치하도록 추정된 물리적 블러를 인위적으로 증가시키는 보정 블러(CB)가 판정되며, 이는 바람직하게는 각각의 보정 블러 값(CB_AX, CB_XYEQ 및 CB_ISO)를 산출하는 앞서 적용된 최대 블러 판정 방법에 따라 결정된다. CORR로 표시된 최종 단계(134)는 본 출원인에 의해 US 9,520,268 B2에 설명된 바와 같이 적절한 스케일의 이산화된 가우시안 필터를 사용하여 서브 영역에서의 래스터화된 데이터의 컨볼루션을 통해 우선적으로 수행되는 보정 블러의 적용이다. 바람직하게는, (도 13의 아래 점선 사각형으로 표시된 바와 같이), 단계(133 및 134)는 온라인으로, 즉, 보정 영역(C)의 노광 동안(그러나 보정될 서브 영역을 포함하는 스트라이프의 노광 전에) 수행될 수 있다.
단계(131 및 133)에서, 블러의 가능한 범위 및/또는 실제로 실현된 물리적 블러가 보정 영역에서 추정된다. 우선적으로, 이 추정은 앞서 설명한 고도 블러 및 기본 블러 의존 모델을 사용하여 수행되며, 이는 서브 영역 고도, 서브 영역을 기록하는 빔렛의 빔 필드 위치, 빔 전류, 온도 및 압력과 같은 다양한 관찰 가능하거나 예측 가능한 노광 장치 특성을 고려할 수 있다.
적절한 보정 영역은, 예를 들어, (Y 방향에서 빔 필드의 크기와 동일한 폭를 가지며 X 방향을 따라 노광 영역을 길이를 넘어 연장하는) 단일 노광 스트라이프의 모양 또는 복수의 노광 스트라이프를 포함하는 모양을 갖는다. 이 선택은 보정 영역 내의 최대 블러에 영향을 미칠 수 있는 관련 관찰 가능 항목의 변화(특히, 고도 변화)가 보정 영역이 포함하는 스트라이프 이전에 노광된 부근의 스트라이프에 대한 측정 값으로부터 쉽게 추정될 수 있다는 이점을 갖는다. 보정 영역의 또 다른 적절한 선택은 전체 노광 영역인데, 이는 최적의 블러 및 CD 균질성을 허용하지만, 이전 노광(최대 블러을 과대 평가할 수 있음) 및/또는 노광 이전의 추가 측정으로부터 블러에 영향을 미치는 파라미터의 덜 정확한 추정을 필요로 한다.
본 발명의 바람직한 구현에서, 물리적 및/또는 보정 블러의 형상 및 치수는 xx- 및 yy- 분산을 포함하는 대칭 공분산 행렬 및 관심 서브 영역을 노광하는 빔렛의 앙상블에 해당하는 가우스 포인트 스프레드 함수를 나타내는 정규 분포의 xy-공분산(인덱스 xy로 표시됨)으로 설명된다.
이러한 파라미터를 사용하여, 단계(131)의 결과는 공분산 행렬의 집합{Σs} s∈C, e∈E이며, 여기서 s는 보정 영역(C) 내의 관심있는 특정 서브 영역을 나타내고, E는 가능한 환경 조건(e)의 세트를 나타낸다(간결성을 위해 Σs 표기법에서는 환경 조건 e가 억제됨). 공분산 행렬의 집합은 일반적으로 유한하고(예컨대, 보정 영역 내의 모든 서브 영역에 대한 추정된 물리적 블러 공분산 행렬), 여기서 집합 내의 행령의 각 인스턴스는 서브 영역 s 및 환경 조건 e의 특정 값에 대응한다. 대안으로서, 집합은 "무한"일 수 있으며(예컨대, 보정 영역 내의 고도 및 환경 변화가 미리 알려지지는 않았으나 인터벌을 갖고 추정되어야 하는 경우, 공분산 행렬의 패밀리); "무한" 집합의 경우, 행렬은 주변 압력의 압력 범위 p∈ [860 mbar, 1080 mbar] 또는 특정 컴포넌트의 온도에 대한 온도 범위 T1∈ [15 ℃, 110 ℃]와 같이, 특정 인터벌 내에서 변할 수 있는 하나 이상의 파라미터에 대한 행렬 요소의 의존성을 통해 설명된다. 파라미터에 대한 이러한 의존성은 구간 연산(interval arithmetic)을 사용하여, 또는 포인트 서포트 간의 보간을 사용하는 적절한 숫자 표현을 통해 상징적으로 반영될 수 있다. 블러 값의 범위를 판정하기 위해, 블러 값은 각 파라미터의 범위에 걸쳐 평가되고, 극한 값이 판정되며, 이 극한 값은 블러 범위의 경계 값으로서 사용된다.
단계(132 및 133)에서, 보정 영역에 걸친 최대 블러가 결정되고, 관심 서브 영역 내의 블러는 보정 블러에 의해 증가되어, 서브 영역 블러와 보정 블러의 조합이 목표된 최대 블러를 야기한다. 수학적으로, 가우스 보정과 물리적 블러의 조합은 각 공분산 행렬의 합으로 설명된다. 즉,
Figure pat00012
여기서, Σs는 서브 영역, s 내의 물리적 블러(포인트 스프레드 함수)의 공분산 행렬이고, Υs는 서브 영역에 할당된 보정 블러의 공분산 행렬이고, Τs는 총 블러 스팟을 설명하는 결과 공분산 행렬이다. 앞서 설명한 바와 같이, 이렇게 얻은 총 블러 스팟은 보정 영역 내의 지정된 최대 블러와 일치해야 한다.
단계(132)에서 최대 블러를 지정하고 보정 블러를 판정하기 위해, 본 발명자들은 도 9의 예와 함께 도시된 몇 가지 접근법을 생각했다. 도 9의 제 1 행은 4 개의 서브 영역(111)의 집합을 묘사하며, 그 각각에 1 시그마 레벨 가우시안 포인트 스프레드 함수가 아래에 인쇄된 기본 파라미터를 갖는 타원 그래프를 통해 표시된다. 단순화를 위해, 이 예는 단계(132)에서 사용된 블러 스팟이 단계(133)의 블러 스팟과 일치하도록 선택된다. 즉, 보정 영역의 노광 전에 서브 영역 고도, 노광 및 환경 조건이 알려져 있으며, 실제로 이 조건이 충족되지 않는 경우, 예상 위치(들) 및/또는 값(들)의 추정이 이루어질 수 있다. 특히, 추정된 블러 범위 {Σs}s= 1,..,4는 도 9의 예에서 유한 집합(111)이지만, 이 고려 사항은 무한 집합에도 적용되며, 여기서 각 파라미터에 대한 기능적 의존성은 계산의 각 항에 입력된다.
제 1 접근 방식(도 13의 DM_AX, CB_AX, 도 9의 제 2 행 참조)에서, X 및 Y 방향의 최대 블러가 결정되고 x 및 y 방향에 대해 개별적으로 수정된다.
Figure pat00013
여기서, 최대 값은 추정된 블러 범위에 걸쳐 얻어지고, 즉, 보정 영역(C) 내의 모든 서브 영역 및 가능한 노광 및 환경 조건(E)(고도가 알려지지 않은 경우, 서브 영역 고도의 범위를 포함)의 범위를 고려한다. 각 서브 영역, s에 대한 대응하는 보정 블러는 다음과 같다.
Figure pat00014
이것은 (공분산 행렬 Σs를 갖는) 노광 시 추정된 물리적 블러 스폿(111)이 개별적으로 X 및 Y 방향에 대해 보정 영역(110)(4 개의 서브 영역으로 구성됨)에서 균일하도록 선택된다. 결과적으로 수정된 포인트 스프레드 함수는 X 및 Y 방향에서 동일한 주변 분포를 갖지만, 축 분포는 다른 스케일을 가질 수 있으며 일부 xy- 공분산(상관)은 도 9에 도시된 바와 같이, 수정된 블러 스팟(및 보정 및 전체/보정된 블러의 예시적인 파라미터)의 1- 시그마 수준 집합(112)에 의해 유지된다. 이 접근법(DM_AX, CB_AX)은 비균질성 수정의 주요 초점이 수평 및 수직 라인의 임계 치수 균일성과 관련 있는 경우 유용할 수 있으며, 수평 및 수직 라인 간의 CD 차이 및 대각선 또는 2차원 구조의 균일성에 대해서는 특별히 고려하지 않는다.
또 다른 접근법(도 13의 DM_XYEQ, CB_XYEQ, 도 9의 제 3 행 참조)에서, X 및 Y 방향에 대한 블러 스팟(113)의 스케일 또한 동일화되지만, 공분산은 수정되지 않으므로 보정되지 않다(즉, 보정된 블러 스폿의 공분산 행렬(Τs)은 0이 아닌 비대각선 값(Τxy)을 가질 수 있다. 이렇게 하면 균일한 수평 및 수직선(대각선 제외)이 생성되며 아래의 선택에 대응한다.
Figure pat00015
제 3 접근 방식은 도 9의 제 4 행에 도시되어 있다. 완전히 등방성이고 균일한 포인트 스프레드 함수(및 회전과 무관한 임의 구조의 균일성)를 얻으려면, 보정 블러의 공분산이 물리적 블러의 공분산을 보상해야 하며 최대 블러(M)는 평면 내 모든 방향에 걸쳐 결정된다. 도 13에서 DM_ISO, CB_ISO로 표시된 이 접근 방식은 다음과 같이 주어진다.
Figure pat00016
그리고, 이것은 등방성이고 동일한 블러 스팟(114)을 야기한다.
블러 균질화 절차(도 13 참조)의 단계(134)에서 이상적으로 수행되는 추정 된 보정 블러의 적용은 적절한 스케일의 이산화된 가우스 필터를 통한 서브 영역 내의 래스터화된 데이터의 컨볼루션을 통해 서브 영역 내의 블러를 인위적으로 증가시킴으로써 수행될 수 있다. 특히, 보정은 도 12에 도시된 데이터 경로의 단계(163)에서 적절하게 적용될 수 있다. US 9,520,268 B2에 설명된 바와 같이, 컨볼루션 필터는 픽셀 중심에서 연속적인 가우시안 블러를 평가하여(또는 픽셀에 걸쳐 그것을 적분함으로써) 얻을 수 있다
본 발명의 다른 양태와 관련하여, 보정 블러 판정 단계(133)에 적용될 수 있는 이산화된 가우시안 필터를 사용하여 연속 가우시안 블러 및 컨볼루션 필터링의 상이한 영향을 고려하는 것이 유익할 수 있다. 이것은, 예를 들어, 성능상의 이유로 제한된 범위의 개별 커널이 사용되는 경우 특히 중요하다. 도 14는 시뮬레이션 데이터를 사용하여 전체 블러(σT)(등방성 블러의 경우)에 대한 이러한 효과를 보여주며, 초기 블러 σ0 = 6nm를 갖는 노광 시스템 (실선)에 다른 유형의 추가 블러(σ1)을 도입한 효과를 보여주고, 여기서 추가 블러(σ1)은 다양한 크기 (가로 좌표 값)를 가질 수 있으며 라인 (400, 499, 477, 455)에 의해 각각 표시되는 4 가지 예시적인 유형 중 하나인데, 실선(400)은 연속적인 가우시안 블러와의 조합을 나타내고, 파선(499)은 9x9 절단 가우스 커널을 갖는 픽셀 데이터의 컨볼루션을 나타내고; 일점쇄선(477)은 7x7 절단 가우시안 커널을 갖는 픽셀 데이터의 컨볼루션을 나타내고; 및 점선(455)은 5x5 절단 가우시안 커널을 갖는 픽셀 데이터의 컨볼루션을 나타낸다. 이것은 각각의 라인(400, 499,477, 455)에 의해 묘사된 바와 같이 추가 블러(σ1)의 함수로서 총 블러(σT)(세로 값)로 결합될 것이다. 예를 들어 8.5nm의 목표 블러(σT)를 달성하려면, 유사한 노광 프로파일 및 CD에 대해 추가 6nm 가우시안 블러가 적용되어야 하지만(루트 제곱합), σ=8 nm를 갖는 9×9 절단 카우시안 커널이 사용되어야 한다. 이산 가우시안 커널의 효과를 판정하는 간단한 방법 중 하나(예컨대, 도구 블러의 예상 범위에 대한 도 14에서와 같은 복수의 곡선을 얻음)는 다양한 초점 레벨에서 테스트 구조(예컨대, 다양한 방향의 선)를 노광하는 것이며, 복수의 컨볼루션 커널은 노광 전 예상 범위 내의 공분산 행렬로부터 생성된다. 노광 중, 컨볼루션 커널은 타겟 블러 효과와 가장 일치하는 효과를 갖는 보정 블러 값을 사용하여 생성된다. 분명히, 타겟 블러는 앞서 설명한 것처럼 이방성 또는 등방성 일 수 있다.

Claims (17)

  1. 하전 입자 멀티 빔 프로세싱 장치(1)에서 타겟(16) 상에 희망 패턴을 노광하기 위한 노광 패턴을 계산하는 방법으로서,
    상기 하전 입자 멀티 빔 프로세싱 장치(1)에서, 입자 빔(lb, 50)은 복수의 블랭킹 애퍼처(24)으로 구성된 애퍼처 어레이(26)를 포함하는 패턴 정의 장치(4)로 보내지고 패턴 정의 장치(4)를 조명하며, 상기 입자 빔(pb)은 상기 복수의 블랭킹 애퍼처(24)를 통과하여 공칭 빔 방향에 따라 상기 타겟에 충돌하는 상기 입자 빔에 의해 상기 타겟 상의 노광 영역 내의 다수의 픽셀들을 노광함으로써 상기 희망 패턴을 기록하며, 상기 타겟은 상기 공칭 빔 방향에 실질적으로 수직인 공칭 타겟 평면(150)을 따라 배향되고,
    상기 희망 패턴을 기록하기 위한 기록 프로세스는 일련의 노광 인터벌(T1) 각각에서 상기 타겟(16) 상에 상기 블랭킹 애퍼처(24)를 이미징하여, 대응하는 복수의 애퍼처 이미지(b1, bi0, bi1)를 생성하는 단계를 포함하고, 여기서 상기 타겟 상에 블랭킹 애퍼처(24)를 이미징하는 것은 블러(blur)를 포함하고,
    상기 방법은 상기 공칭 타겟 평면(150)에 대한 상기 노광 영역 내의 상기 타겟의 고도 및 고도 의존 함수에 따른 상기 타겟의 이러한 고도에 대한 블러의 의존성을 고려하고,
    상기 희망 패턴은 상기 타겟 상의 상기 노광 영역 내의 상기 복수의 픽셀에 대응하는 복수의 이미지 요소로 구성된 그래픽 표현(72, 106)으로서 제공되며,
    상기 패턴 정의 장치에서, 상기 복수의 블랭킹 애퍼처(24, 33, 43)는 상기 블랭킹 애퍼처의 상호 위치를 정의하는 미리 결정된 배열로 배열되고, 각각의 블랭킹 애퍼처는 각각의 노광 일터벌 동안 상기 타겟 상에 생성된 대응하는 애퍼처 이미지 상에 각각의 블랭킹 애퍼처를 통해 노광되어야 하는 도즈 값과 관련하여 선택적으로 조절 가능하고,
    상기 방법은:
    (i) 상기 노광 영역의 기준점에서의 상기 타겟의 고도(h)를 판정하는 단계로서, 상기 고도(h)는 상기 기준점의 위치에서 상기 공칭 타겟 평면(150)으로부터의 상기 타겟(141)의 국부적인 이동을 나타내는 것인 상기 타겟의 고도(h)를 판정하는 단계;
    (ii) 상기 고도 의존 함수에 기초하여, 이전 단계에서 판정된 상기 타겟의 상기 고도(h)에 대응하는 블러의 실제 값을 나타내는 로컬 블러 값을 판정하는 단계;
    (iii) 상기 로컬 블러 값 및 주어진 타겟 블러 레벨에 기초하여, 추가 보정 블러 값을 판정하고 상기 보정 블러 값을 사용하여 컨볼루션 커널을 계산하는 단계로서, 상기 커널은 상기 그래픽 표현의 이미지 요소로부터 픽셀 그룹으로의 매핑을 설명하고, 상기 픽셀 그룹은 상기 상기 이미지 요소의 공칭 위치에 중심을 두고, 상기 커널은 상기 보정 블러 값을 실현하는 포인트 스프레드 함수를 나타내는 것인, 상기 컨볼루션 커널을 계산하는 단계, 및
    (iv) 상기 기준점을 포함하는 상기 노광 영역의 적어도 한 영역에서 컨볼루션 커널(89', 121)과 상기 그래픽 표현(72, 106)의 컨볼루션에 의해, 상기 복수의 픽셀 상에 형성되는 픽셀 래스터 그래픽(ps)인 공칭 노광 패턴을 계산하는 단계를 포함하고, 상기 공칭 노광 패턴은 상기 타겟 상에 공칭 도즈 분포를 생성하기에 적합하고,
    단계(iv)에서 계산된 컨볼루션은 상기 보정 블러를 상기 공칭 노광 패턴에 도입하는 것에 대응하고, 상기 보정 블러는 상기 노광 패턴의 블러를 상기 로컬 블러 값으로부터 상기 목표 블러 값으로 증가시키는 것을 특징으로 하는 노광 패턴 계산 방법.
  2. 제 1 항에 있어서, 상기 방법은 상기 공칭 타겟 평면에 대한 상기 노광 영역 내의 상기 타겟의 고도의 공간적 변화를 고려하고, 상기 방법은 상기 노광 영역을 복수의 중첩하지 않는 서브 영역(91)으로 분할하는 것을 이용하고, 단계(i) 내지 (iv)는 상기 서브 영역 각각에 대해 수행되고, 단계 (i)에서, 상기 서브 영역 각각에 대해, 상기 서브 영역의 각각의 기준점에서의 상기 타겟의 각각의 고도가 계산되고, 그리고 단계 (ii) 내지(iv)는 각각의 로컬 블러 값을 사용하여 수행되고, 상기 타겟 블러 값은 모든 서브 영역 중 상기 로컬 블러 값 세트에 걸쳐 최대값 보다 낮지 않은 값으로 선택되는 는 것을 특징으로 하는 노광 패턴 계산 방법.
  3. 제 2 항에 있어서, 상기 서브 영역은 평행한 스트라이프로서 구현되고, 각각의 스트라이프는 전반적인 기록 방향에 실질적으로 평행하게 배향된 긴 측면을 가지며, 상기 전반적인 기록 방향은 상기 하전 입자 장치에서 노광 프로세스 동안 픽셀의 연속적인 노광이 수행될 때 따르는 라인의 방향을 나타내는 것을 특징으로 하는 노광 패턴 계산 방법.
  4. 제 3 항에 있어서, 상기 스트라이프의 긴 면은 상기 전반적인 기록 방향을 따라 측정했을 때 상기 타겟에 충돌하는 상기 입자 빔의 폭에 걸쳐 연장되는 것을 특징으로 하는 노광 패턴 계산 방법.
  5. 제 2 항에 있어서, 상기 패턴 정의 장치(4)의 상기 애퍼처 어레이(26)는 상기 타겟에 이미징되어 빔 어레이 필드를 생성하고, 상기 서브 영역 중 적어도 일부는 상기 타겟 상에 이미징된 상기 애퍼처 어레이(26)의 이미지의 폭보다 작은 폭을 가지며, 상기 폭들은 상기 전반적인 기록 방향을 가로 질러 측정되는 것을 특징으로 하는 노광 패턴 계산 방법.
  6. 제 5 항에 있어서, 상기 서브 영역의 상기 폭은 상기 전반적인 기록 방향을 가로지르는 방향을 따른 상기 타겟 상의 애퍼처 이미지의 거리인 것을 특징으로 하는 노광 패턴 계산 방법.
  7. 제 2 항에 있어서, 상기 공칭 타겟 평면(150)의 두 주축에 대해 블러의 계산이 수행되며, 따라서, 각각의 주축에 대하여, 모든 서브 영역들 중 각각의 주축을 따라 로컬 블러 값들의 세트에 걸쳐 최대 값보다 작지 않은 값으로서 목표 블러 값을 선택함으로써, 상기 2 개의 주축 각각에 대한 목표 블러 값을 획득하는 것을 특징으로 하는 노광 패턴 계산 방법.
  8. 제 7 항에 있어서, 상기 두 주축 각각에 대하여 개별적으로 상기 노광 패턴의 블러를 로컬 블러 값으로부터 상기 목표 블러 값으로 증가시키는 보정 블러 값(DM_AX, CB_AX)을 도입하는 것에 대응하는 이방성 커널(anisotropic)이 계산되는 것을 특징으로 하는 노광 패턴 계산 방법.
  9. 제 7 항에 있어서, 상기 두 주축에 대하여 상기 노광 패턴의 블러를 상기 로컬 블러 값으로부터 2개의 목표 블러 값 중 더 큰 값으로 증가시키는 보정 블러 값(DM_XYEQ, CB_XYEQ)을 도입하는 것에 대응하는 이방성 커널이 계산되는 것을 특징으로 하는 노광 패턴 계산 방법.
  10. 제 9 항에 있어서, 상기 노광 패턴의 블러의 등방성 블러로의 보정을 가능하게 해주는 보정 성분을 포함하는 이방성 커널(DM_ISO, CB_ISO)이 계산되는 것을 특징으로 하는 노광 패턴 계산 방법.
  11. 제 10 항에 있어서, 상기 이방성 커널 내의 상기 보정 성분은 비 대각선 보정 성분을 포함하는 것을 특징으로 하는 노광 패턴 계산 방법.
  12. 제 2 항에 있어서, 상기 노광 시스템의 상기 전체 블러에 대한 후보 컨볼루션 커널 세트의 효과는: 상기 후보 컨볼루션 커널로 테스트 구조를 노광하는 단계로서, 상기 후보 컨볼루션 커널은 미리 결정된 값 범위에 걸쳐 확장되는 다양한 커널 블러 값과 각각 연관된 것인, 상기 후보 컨볼루션 커널로 테스트 구조를 노광하는 단계; 상기 테스트 구조의 측정으로부터 상기 전체 블러를 추정하는 단계; 및 단계(iii)에서 상기 노광 시스템의 상기 전체 블러가 상기 목표 블러와 일치하도록 상기 값 범위로부터 커널 블러 값을 선택하는 단계에 의해 결정되는 것을 특징으로 하는 노광 패턴 계산 방법.
  13. 제 1 항에 있어서, 상기 커널은 2차원 가우시안 함수의 이산화된 실현으로서 상기 블러를 설명하는 것을 특징으로 하는 노광 패턴 계산 방법.
  14. 제 1 항에 있어서, 상기 커널은 고도 의존 블러 및 기본 블러를 포함하는 합인 추가 보정 블러에 대응하며, 상기 기본 블러는 상기 각각의 기준점 주변의 패턴 밀도의 함수로서 계산되는 것을 특징으로 하는 노광 패턴 계산 방법.
  15. 제 1 항에 있어서, 상기 커널은 상기 프로세싱 장치(1)의 하나 이상의 환경 파라미터에 기초하여 판정된 블러인 추가 보정 블러에 대응하고, 상기 환경 파라미터는 기압, 상기 처리 장치의 특정 컴포넌트의 온도, 상기 타겟 위치에서의 온도, 상기 입자 빔의 실제 빔 전류를 포함하는 것을 특징으로 하는 노광 패턴 계산 방법.
  16. 하전 입자 멀티-빔 프로세싱 장치(1)에서 타겟(16) 상에 노광 패턴을 기록하는 방법으로서, 입자 빔(lb, 50)을 제공하는 단계, 상기 입자 빔이 관통하는 복수의 블랭킹 애퍼처(24)로 구성된 애퍼처 어레이(26)를 포함하는 패턴 정의 장치(4)로 상기 입자 빔을 지향시켜, 상기 노광 패턴에 따라 대응하는 복수의 빔렛으로 구성된 패턴화된 빔(pb)을 형성하는 단계, 상기 패턴 정의 장치(4)로부터 빠져나온 상기 패턴화된 빔(pb)을 노광 영역 내의 복수의 픽셀을 노광함으로써 희망 패턴을 기록하기 위해 상기 타겟 상의 상기 노광 영역을 향해 지향시키는 단계를 포함하고, 상기 방법은 제 1 항 내지 제 15 항 중 어느 한 항의 방법을 사용하여 상기 타겟 상에서의 그것의 노광 이전에 상기 노광 패턴을 수정하는 단계를 더 포함하는 것을 특징으로 하는 노광 패턴 기록 방법.
  17. 제 14 항에 있어서, 상기 타겟(16)상의 적어도 하나의 기준점의 고도(h)는 상기 하전 입자 멀티-빔 프로세싱 장치에 제공된 표면 계측 장치(19)에 의해 판정되는 것을 특징으로 하는 노광 패턴 기록 방법.
KR1020210008501A 2020-02-03 2021-01-21 멀티―빔 라이터의 블러 변화 보정 KR20210099516A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP20155217.1 2020-02-03
EP20155217 2020-02-03

Publications (1)

Publication Number Publication Date
KR20210099516A true KR20210099516A (ko) 2021-08-12

Family

ID=69467466

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210008501A KR20210099516A (ko) 2020-02-03 2021-01-21 멀티―빔 라이터의 블러 변화 보정

Country Status (4)

Country Link
US (1) US20210240074A1 (ko)
EP (1) EP3859764A1 (ko)
JP (1) JP7183315B2 (ko)
KR (1) KR20210099516A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7196792B2 (ja) * 2019-07-11 2022-12-27 株式会社ニューフレアテクノロジー マルチビーム描画方法及びマルチビーム描画装置
US11929334B2 (en) * 2020-03-17 2024-03-12 STATS ChipPAC Pte. Ltd. Die-beam alignment for laser-assisted bonding
JP2022114213A (ja) * 2021-01-26 2022-08-05 キオクシア株式会社 近接効果補正方法、原版製造方法および描画装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6690473B1 (en) 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
US6768124B2 (en) * 1999-10-19 2004-07-27 Nikon Corporation Reticle-focus detector, and charged-particle-beam microlithography apparatus and methods comprising same
JP3938694B2 (ja) * 2002-01-17 2007-06-27 Necエレクトロニクス株式会社 パターン形成方法
US6768125B2 (en) 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
US8306348B2 (en) * 2007-04-24 2012-11-06 DigitalOptics Corporation Europe Limited Techniques for adjusting the effect of applying kernels to signals to achieve desired effect on signal
EP2187427B1 (en) 2008-11-17 2011-10-05 IMS Nanofabrication AG Method for maskless particle-beam exposure
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
EP2228817B1 (en) * 2009-03-09 2012-07-18 IMS Nanofabrication AG Global point spreading function in multi-beam patterning
US8546767B2 (en) 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
NL2009612A (en) 2011-11-21 2013-05-23 Asml Netherlands Bv Level sensor, a method for determining a height map of a substrate, and a lithographic apparatus.
JP6013089B2 (ja) * 2012-08-30 2016-10-25 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP2014120746A (ja) 2012-12-19 2014-06-30 Canon Inc 描画装置、および物品の製造方法
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP6428518B2 (ja) 2014-09-05 2018-11-28 株式会社ニューフレアテクノロジー データ生成装置、エネルギービーム描画装置、及びエネルギービーム描画方法
EP2993684B1 (en) 2014-09-05 2017-03-08 IMS Nanofabrication AG Correction of short-range dislocations in a multi-beam writer
US10325756B2 (en) * 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP7201364B2 (ja) 2017-08-25 2023-01-10 アイエムエス ナノファブリケーション ゲーエムベーハー マルチビーム描画装置において露光される露光パターンにおける線量関連の特徴再形成
JP6971933B2 (ja) * 2018-08-07 2021-11-24 株式会社東芝 画像処理装置及び撮像装置

Also Published As

Publication number Publication date
US20210240074A1 (en) 2021-08-05
JP7183315B2 (ja) 2022-12-05
EP3859764A1 (en) 2021-08-04
JP2021125689A (ja) 2021-08-30

Similar Documents

Publication Publication Date Title
US9373482B2 (en) Customizing a particle-beam writer using a convolution kernel
JP6566727B2 (ja) 重複する露光スポットを使用する線量不均一性の補償
US10325756B2 (en) Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US6630681B1 (en) Charged-particle-beam microlithography apparatus and methods including correction of aberrations caused by space-charge effects
KR20210099516A (ko) 멀티―빔 라이터의 블러 변화 보정
JP4870437B2 (ja) 偏向収差補正電圧の演算方法及び荷電粒子ビーム描画方法
KR20070044767A (ko) 빔 조사량 연산 방법, 묘화 방법, 기록 매체 및 묘화 장치
KR20160029699A (ko) 다중 빔 라이터의 단거리 변위의 보정
KR102268192B1 (ko) 중첩 노출 점을 사용한 선량 불균일성의 보상
KR102429977B1 (ko) 멀티-빔 라이터 내의 패턴 노출 밀도의 변동에 기인하는 패턴 위치 에러를 보상하는 방법
KR20180088600A (ko) 다중 빔 라이터용 개선된 도우즈 레벨 양자화
US6277531B1 (en) Charged-particle-beam microlithography apparatus and methods including focal-point correction
US11170976B2 (en) Multi-beam writing method and multi-beam writing apparatus
US10586682B2 (en) Method of obtaining beam deflection shape and method of obtaining arrangement angle of blanking aperture array plate
TW202343521A (zh) 帶電粒子束描繪方法,帶電粒子束描繪裝置及電腦可讀取記錄媒體
CN118235227A (zh) 对准失真的图像
US20170229282A1 (en) Method for evaluating shaping aperture array

Legal Events

Date Code Title Description
A201 Request for examination