JP6542854B2 - セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理 - Google Patents

セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理 Download PDF

Info

Publication number
JP6542854B2
JP6542854B2 JP2017180915A JP2017180915A JP6542854B2 JP 6542854 B2 JP6542854 B2 JP 6542854B2 JP 2017180915 A JP2017180915 A JP 2017180915A JP 2017180915 A JP2017180915 A JP 2017180915A JP 6542854 B2 JP6542854 B2 JP 6542854B2
Authority
JP
Japan
Prior art keywords
ceramic
ceramic coating
heat treatment
coating
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017180915A
Other languages
English (en)
Other versions
JP2018048072A (ja
Inventor
ジェニファー・ワイ・サン
レン−グァン・デュアン
ビラジャ・アール・カヌンゴ
ドミートリー・ルボミルスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018048072A publication Critical patent/JP2018048072A/ja
Application granted granted Critical
Publication of JP6542854B2 publication Critical patent/JP6542854B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0072Heat treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • C04B41/5032Aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • Y10T428/249988Of about the same composition as, and adjacent to, the void-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Description

本発明の実施形態は、一般的に、コートされたセラミック物品の熱処理に用いられる熱処理プロセスに関連する。
半導体産業では、数多くの製造プロセスによってデバイスが製造され、常に小型化される構造を生産している。プラズマエッチやプラズマ洗浄プロセスのようないくつかの製造プロセスは、基板をエッチングまたは洗浄するために、基板をプラズマの高速の流れに露出する。プラズマは非常に腐食性であり得、プロセスチャンバー及びプラズマに露出される他の表面を腐食し得る。この腐食により粒子を生じることがあり、処理されている基板をしばしば汚染し、デバイスの欠陥につながる。
デバイスの形状が小さくなるにつれて、欠陥に対する感受性が高まり、粒子汚染物への要求がさらに厳しくなる。従って、デバイスの形状が小さくなるにつれて、粒子汚染の許容レベルは減少され得る。プラズマエッチ及び/またはプラズマ洗浄プロセスによって導入される粒子汚染を最小化するために、プラズマに耐性のあるチャンバー材料が開発されてきた。そのような耐プラズマ材料の例は、Al、AlN、SiC、Y、石英及びZrOから構成されるセラミックスを含む。しかし、これらのセラミック材料の耐プラズマ特性はいくつかの用途には不十分であり得る。例えば、従来のセラミック製造プロセスで製造される耐プラズマセラミック蓋及び/またはノズルは、45nmまたは32nmの限界寸法を有する半導体デバイスのプラズマエッチプロセスに用いられた際に、許容できないレベルの粒子汚染を生じ得る。さらに、そのような耐プラズマセラミックスがセラミックコーティングとして用いられると、これらのコーティングは高レベルの粒子汚染を引き起こし得、表面剥離によって故障してしまい得る。
一実施形態において、セラミック基板と、初期空隙率及び初期量のクラックを伴うセラミックコーティングとを有するセラミック物品が提供される。上記セラミック物品は約1000℃から約1800℃の範囲の温度まで、約0.1℃/分から約20℃/分のランプレートで加熱される。上記セラミック物品は、上記温度範囲内の一以上の温度で、最大約24時間熱処理される。上記セラミック物品は、その後上記ランプレートで冷却され、上記熱処理後に上記セラミックコーティングは、一つの、減少した空隙率及び減少した量のクラックを有する。
本発明は添付図面の図中に例示として描写されるが、限定するものではなく、同様の参照符号は同様の要素を示す。本開示中の「ある(an)」または「一つの(one)」実施形態への異なる参照は、必ずしも同一の実施形態へのものではなく、そのような参照は少なくとも一つを意味することに注意されたい。
本発明の一実施形態に従う、製造システムの例示的な構成である。 本発明の一実施形態に従う、セラミック物品を熱処理するためのプロセスである。 本発明の実施形態に従う、セラミックコーティングが熱処理を用いて処理される前及び上記セラミックコーティングが熱処理を用いて処理された後の、セラミックコーティングの表面の顕微鏡写真である。 本発明の実施形態に従う、セラミックコーティングが熱処理を用いて処理される前及び上記セラミックコーティングが様々な温度及び処理期間の熱処理を用いて処理された後の、4000倍の倍率のセラミックコーティングの表面の追加的な顕微鏡写真である。 本発明の実施形態に従う、セラミックコーティングが処理される前及び上記セラミックコーティングが様々な温度及び処理期間の熱処理を用いて処理された後の、20000倍の倍率のセラミックコーティングの表面の追加的な顕微鏡写真である。 本発明の実施形態に従う、セラミックコーティングが処理される前及び上記セラミックコーティングが処理された後の、10000倍の倍率のセラミックコーティングの表面の追加的な顕微鏡写真である。 本発明の一実施形態に従う、処理前及び処理後のセラミック物品の垂直断面図を示す顕微鏡写真である。 本発明の実施形態に従う、様々な温度及び処理期間の熱処理前後の、4000倍の倍率のセラミック物品の垂直断面図を示す顕微鏡写真である。 本発明の実施形態に従う、熱処理前後の、20000倍の倍率のセラミック物品の垂直断面図を示す顕微鏡写真である。 本発明の一実施形態に従う、熱処理前後のHPMセラミック複合材コーティングの相組成の比較である。
本発明の実施形態はセラミック物品を熱処理するプロセス及び上記熱処理を用いて処理されたセラミック物品に向けられる。一実施形態において、セラミック基板及びセラミックコーティングを含み、初期空隙率、上記セラミック基板への初期結合強度及び初期量のクラックを有するセラミック物品が提供される。上記セラミック基板は焼結セラミックでもよく、上記セラミックコーティングはプラズマ溶射セラミックでもよい。上記セラミック物品は、例えばプラズマエッチャ用のセラミック蓋、ノズルまたはプロセスキットでもよい。上記セラミック物品は約1000℃から約1800℃の温度範囲に約0.1℃/分から約20℃/分のランプレートで加熱される。上記セラミック物品は上記温度範囲内の一以上の温度で最大で約24時間熱処理される。上記セラミック物品は、その後上記ランプレートで冷却される。上記熱処理後、上記セラミックコーティングは表面欠陥が減少し、コーティング空隙率が減少し、クラック量が減少する。上記セラミックコーティングは、表面ラフネスも減少し得り、さらにプラズマへのより高い耐性を有し得る。追加的に、上記熱処理後、上記セラミックコーティングは上記セラミック基板へのより強い界面を有し得り、それによって上記セラミック基板へのより高い接着強度が得られ得る。上記より強い界面は、上記セラミック基板と上記セラミックコーティングとの間で遷移層が形成されることにより得る。
一実施形態において、炉が、セラミック基板と、初期空隙率及び初期量のクラックを有するセラミックコーティングとを含むセラミック物品に熱処理プロセスを実行し得る。上記炉は上記セラミック物品を約0.1℃/分から約20℃/分のランプレートで、上記セラミック物品が特定の温度または温度範囲に到達するまで加熱する。上記特定の温度範囲は約1000℃から約1800℃まで異なり得り、上記特定の温度は上記特定の温度範囲内の温度であり得る。上記炉は、上記セラミック物品を、上記特定の温度及び/または上記特定の温度範囲内の他の特定の温度で、最大約24時間熱処理する。上記炉は、その後上記セラミック物品を上記ランプレートで冷却する。上記熱処理後、上記セラミック物品は表面空隙率が減少し、クラックの量が減少する。
本発明の実施形態は、上記セラミックコーティングと遷移層の形成を通してそれがコートする上記セラミック基板との間の結合の強度を高める。また本発明の実施形態は、処理されたセラミック物品上のセラミックコーティングの表面欠陥を減らし、空隙率を減らし、クラック量を減らす。また実施形態は処理されたセラミックコーティングの表面ラフネスを減らし、上記セラミックコーティング上の表面粒子を最小化し得る。そのような熱処理されたセラミックコーティングは、高エネルギー結合(破壊された結合)が減少し、プラズマを適用する半導体プロセス(例えばプラズマエッチやプラズマ洗浄プロセス)で使用された時に粒子汚染の量が著しく少量になり得る。さらに、上記熱処理されたセラミックコーティングの空隙率が減り、クラックが減ることで、上記セラミックコーティングを貫通して下地基板と反応するプロセスガスの量が減少する。さらに、上記セラミックコーティングとセラミック基板との間の遷移層の形成(以降、界面遷移層とも呼ばれる)により、上記コーティングを貫通するプロセス化学と下地基板が反応するのを妨げる。これにより表面剥離の発生が最小化され得る。
上記遷移層は上記セラミックコーティングの接着強度を高め得り、剥離を最小化し得る。例えば、エッチャマシーン用のセラミックコートされた蓋及びノズルは、プラズマエッチプロセス中に導入される粒子汚染及び/または剥離を最小化するために熱処理され得る。従って、ここで記述された上記熱処理されたセラミック物品を用いて製造された半導体は、欠陥数が減少し得り、スクラップ率が減少し得る。
以降、「熱処理」との語は、炉などによってセラミック物品に高温状態を適用することを意味する。以降「約」との語が使用される時は、記載された公称値は10%以内で正確であることを意味するように意図される。
いくつかの実施形態は、これ以降熱処理を実施する炉の使用と関連して記述される。しかし、記述された熱処理を行うために他の熱処理技術も用い得ることを理解されたい。使用され得る追加的な熱処理技術のいくつかの例は、レーザー表面処理(レーザー熱処理とも呼ばれる)、電子線(e−beam)表面処理(e−beam熱処理とも呼ばれる)、火炎表面処理(火炎熱処理とも呼ばれる)及び高温プラズマ処理を含む。
またいくつかの実施形態は、半導体製造用のプラズマエッチャ内で使用されるセラミックコートされた蓋及びセラミックコートされたノズルと関連して記述されることに注意されたい。しかし、そのようなプラズマエッチャは微小電気機械システム(MEMS)デバイスの製造にも使用され得ることを理解されたい。さらに、以降記述される上記熱処理されたセラミック物品はプラズマに露出される他の構造でもよい。例えば上記セラミック物品は、プラズマエッチャ、プラズマクリーナー、プラズマ推進システム等の、セラミックコートされたリング、壁、ベース、ガス分散板、シャワーヘッド及び基板保持フレームなどでもよい。
さらに、以降実施形態は、プラズマリッチなプロセス用のプロセスチャンバー内で使用された際に、粒子汚染の減少を引き起こすセラミック物品に関連して記述される。しかし、以降議論される上記セラミック物品は、他のプロセス用のプロセスチャンバー内で使用された際にも粒子汚染が減少し得ることが理解されよう。例えば非プラズマエッチャ、非プラズマクリーナー、化学気相堆積(CVD)チャンバー、物理気相堆積(PVD)チャンバー、プラズマ化学気相堆積(PECVD)チャンバー、プラズマ物理気相堆積(PEPVD)チャンバー、プラズマ原子層堆積(PEALD)チャンバー等である。
図1Aは、本発明の一実施形態に従う、製造システムの例示的な構造を描写する。製造システム100はセラミックス製造システムであり得る。一実施形態において、製造システム100は、炉105(例えばキルンなどのセラミック炉)、自動機器レイヤ115及び計算装置120を含む。代替的な実施形態において、製造システム100はさらに多くの、またはより少ないコンポーネントを含み得る。例えば、製造システム100は炉105のみを含んでもよく、手動で、オフラインの機器であり得る。
炉105はセラミック物品のような物品を加熱するために設計された機器である。炉105は、その中に挿入された物品(例えばセラミック物品)に制御された温度を適用することができる、断熱されたチャンバーまたはオーブンを含む。一実施形態において、上記チャンバーは密閉される。炉105は上記チャンバーから空気を排出し、上記チャンパー内を真空にするポンプを含んでもよい。炉105は、追加的に、または代替的に、上記チャンバー内へとガス(例えば、ArやN等の不活性ガス)を導入するために、ガス入口を含んでもよい。
炉105は、セラミック物品の処理中に技術者によって手動でセットされる温度調節器を有する手動の炉でもよい。炉105はプロセスレシピをプログラム可能なオフラインの機器であってもよい。上記プロセスレシピによって、ランプアップレート、ランプダウンレート、プロセス回数、温度、圧力、ガスフロー等が制御され得る。代替的に、炉105は、パーソナルコンピューターのような計算装置120、サーバマシンなどから、自動機器レイヤ115を介してプロセスレシピを受け取り可能な、オンラインの自動の炉であり得る。自動機器レイヤ115は、炉105を計算装置120、他の製造装置、計測ツール及び/または他のデバイスと相互接続し得る。
自動機器レイヤ115は、ネットワーク(例えば、位置エリアネットワーク(LAN))、ルータ、ゲートウェイ、サーバ、データストア等を含むことができる。炉105は、半導体製造装置通信スタンダード/汎用機器モデル(SECS/GEM)インターフェースを介して、イーサネット(登録商標)インターフェースを介して、及び/または他のインターフェースを介して、自動機器レイヤ115と接続し得る。一実施形態において、自動機器レイヤ115によってプロセスデータ(例えばプロセス動作中の炉105によって集められたデータ)をデータストア(図示せず)に記憶することを可能にする。代替的な実施形態において、計算装置120は炉105に直接接続する。
一実施形態において、炉105は、プロセスレシピを読み込み、記憶し、実行できる、プログラム可能な制御装置を含む。上記プログラム可能な制御装置によって、熱処理プロセスの温度の設定、ガス及び/または真空の設定、時間の設定などが制御され得る。上記プログラム可能な制御装置が、チャンバーの昇温を制御してもよく、温度をランプアップするのと同様にランプダウンすることを可能としてもよく、単一のプロセスとしてマルチステップの熱処理を入力可能にしてもよい。上記プログラム可能な制御装置はメインメモリ(例えば読み取り専用メモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)、スタティックランダムアクセスメモリ(SRAM)等)及び/またはセカンドメモリ(例えばディスクドライブなどのデータ記憶デバイス)を含み得る。上記メインメモリ及び/またはセカンドメモリは、ここで記述された熱処理プロセスを実施するための指示を記憶し得る。
上記プログラム可能な制御装置は、指示を実行するために、メインメモリ及び/またはセカンドメモリに(例えばバスを介して)接続された処理デバイスを含み得る。上記処理デバイスはマイクロプロセッサや中央処理装置などの汎用処理デバイス等であり得る。上記処理デバイスは、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサ等の特定用途処理デバイスでもあり得る。一実施形態において、プログラム可能な制御装置はプログラム可能な論理制御装置(PLC)である。
一実施形態において、炉105が図1Bに関連して記述される熱処理プロセスを用いてセラミック物品を熱処理することを引き起こすレシピを実行するように、炉105がプログラムされる。
図1Bは本発明の一実施形態に従う、セラミック物品を熱処理するためのプロセス150を示すフローチャートである。プロセス150のブロック155において、セラミック物品が(例えば炉またはキルンに)提供される。一実施形態において、上記セラミック物品はローダーによって自動的に炉内にロードされる。上記セラミック物品は、少なくとも一つの表面がセラミックコーティングでコートされたセラミック基板を含む。一実施形態において、上記セラミック物品はセラミック蓋、セラミックノズル、またはプラズマエッチャ若しくはプラズマクリーナー用の別のプロセスチャンバー要素である。上記セラミック物品は、イットリアが支配的なセラミックコーティングを有し得る。イットリア酸化物の耐プラズマ特性が優れているので、イットリアが支配的なセラミックスが使用され得る。また、上記セラミック物品は高い曲げ強度並びに、高温及びまたは熱ストレスによるクラックへの耐性などの良好な機械特性を有するセラミック基板を有してもよい。
上記セラミック基板は、上記セラミックコーティングでコートされるのに先行して機械加工され得る。さらに、上記セラミックコーティングは上記セラミック基板をコートした後に機械加工され得る。機械加工の例としては、表面研削、研磨、ドリル、摩耗、切断、ビードブラスト、または工具を用いた処理が含まれる。一実施形態において、セラミック基板上にセラミックコーティングが形成された後で、上記セラミックコーティングが研磨される。これにより大量の粒子が生じ得り、それらはセラミックコーティングのクラック、細孔、及び他の表面欠陥にトラップされ得る。
上記セラミック基板はY、YAl、Al、YAl12(YAG)、石英、SiC、Si、AlN、ZrO等のバルクセラミックから形成されてもよい。例えば、上記セラミック基板は、上記セラミックコーティングと関連して記述される上記セラミックスのバルクを焼結した形態でもよい。また上記基板はAl−YAGセラミック複合体またはSiC−Siセラミック複合体等のセラミック複合体でもよい。また上記セラミック基板は酸化イットリウム(イットリアとして知られるY)を含む固溶体を含むセラミック複合体でもよい。例えば、上記セラミック基板は、化合物YAl(YAM)及び固溶体Y2−xZr(Y−ZrO固溶体)からなる機能性材料(HPM)でもよい。純粋な酸化イットリウムと同様に、酸化イットリウムを含む固溶体も、一以上のZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Ybまたは他の酸化物がドープされ得ることに注意されたい。
セラミック基板と同様に、セラミックコーティングは、Y(イットリア)、YAl(YAM)、Al(アルミナ)、YAl12(YAG)、石英、YAlO(YAP)、SiC(炭化珪素)、Si(窒化ケイ素)、AlN(窒化アルミニウム)、ZrO(ジルコニア)、AlON(酸窒化アルミニウム)、TiO(チタニア)、TiC(炭化チタン)、ZrC(炭化ジルコニウム)、TiN(窒化チタン)、TiCN(炭窒化チタン)、Y安定化ZrO(YSZ)等から形成され得る。セラミック基板と同様に、セラミックコーティングは、一以上のZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Ybまたは他の酸化物がドープされ得る、純粋な酸化イットリウムまたは酸化イットリウムを含む固溶体であり得る。一実施形態において、セラミックコーティングはHPM複合体である。しかし、セラミックコーティングは、セラミック基板上にセラミックコーティングを溶射または成長させることで形成され、セラミック基板は焼結プロセスによって形成され得る。
一実施形態において、上記セラミックコーティングは、熱溶射技術またはプラズマ溶射技術を用いて上記セラミック基板上に堆積された、酸化イットリウム含有セラミックである。熱溶射技術は材料(例えばセラミックパウダー)を溶解し、溶解した材料を上記セラミック基板上に溶射し得る。熱的に溶射されたセラミックコーティングは約20μmから約数mmの厚みを持ちうる。
一実施形態において、上記セラミックコーティングは上記セラミック基板上にプラズマ溶射される。代替的に、デトネーション溶射、ワイヤアーク溶射、高速酸素燃料(HVOF)溶射、フレーム溶射、温溶射及び***射などの他の熱溶射技術も用いられ得る。さらに、上記セラミックコーティングを形成するために、エアロゾル堆積、電気めっき、物理気相堆積(PVD)、イオンアシスト堆積(IAD)及び化学気相堆積(CVD)などの他のコーティングプロセスが用いられ得る。特に、上記セラミックコーティングプロセスは、細孔などの小さなボイド、クラック及び不完全な結合の領域を有するセラミックコーティングを生じ得る。上記セラミックコーティングはバルクセラミック材料(例えば、セラミック基板など)とは大きく異なる構造特性を有し得る。
一実施形態において、上記セラミックコーティングはYパウダーから生産される。代替的に、上記セラミックコーティングはYパウダー、ZrOパウダー及びAlパウダーの混合物から生産されるHPMセラミック複合材であり得る。一実施形態において、HPMセラミック複合材は77%のY、15%のZrO及び8%のAlを含む。別の実施形態において、HPMセラミック複合材は63%のY、23%のZrO及び14%のAlを含む。さらに別の実施形態において、HPMセラミック複合材は55%のY、20%のZrO及び25%のAlを含む。相対的な割合は分子比であり得る。例えば、HPMセラミックは77mol%のY、15mol%のZrO及び8mol%のAlを含み得る。これらのセラミックパウダーの他の配分もまたHPM材料に使用され得る。
上記セラミックコーティングは、初めには接着強度が弱くあり得る(例えば3メガパスカル(MPa)程度)。これにより、後にセラミックコーティングの剥離またはセラミック基板の剥離が生じ得る(例えば上記セラミック物品をプラズマリッチプロセスで使用した結果として)。さらに、上記セラミックコーティングは初期空隙率及び初期量のクラックを有し得る。これらの細孔及びクラックによって、プロセスガス及び洗浄化学がセラミックコーティングを貫通し、処理中に下地のセラミック基板と反応でき得る。そのような反応により、ガス、湿気、または他の材料がセラミックコーティング下に生じ得、それによりセラミックコーティング下にブリスタが生じ得る。さらにこれらのブリスタによってセラミックコーティングがセラミック基板から分離され得る。そのような分離によって処理された材料(例えば処理されたウェハ)上に大量の粒子汚染が生じ得る。さらに、(他の表面欠陥と同様に)ブリスタ、クラック、及び細孔は、剥離がなくても、それ自身が処理された基板への粒子汚染を引き起こし得る。
一実施形態において、セラミックコーティング中の細孔、クラック、ボイド及び他の表面欠陥は、高エネルギー状態位置の破壊された(またはオープンな)結合を含み得る。これらの表面欠陥は粒子をトラップし得る。例えば上記粒子は上記表面欠陥においてセラミック物品と弱い破壊された結合を形成し得る。プラズマ処理の間、プラズマはこれらの弱い破壊された結合を破壊し、セラミックコーティングからいくらかの粒子を除去し得る。上記セラミック粒子は、その後、処理された基板上に堆積され得る。さらに、プラズマは欠陥サイト、細孔、クラックなどにおいてセラミック物品の結合を破壊し得り、これはセラミックコーティングを侵食し得り、さらなる粒子が生じ得る。
ブロック160において、セラミック物品は約0.1℃から約20℃/分のランプレートで加熱される。セラミック物品は壊れやすいことがあり、急激な温度変化に曝露されるとクラックが生じ得る。従って、セラミック物品にクラックが生じるのを防ぐのに十分遅いランプレートが使用される。20℃/分よりも大きなランプレートが可能であり得るセラミックスもあることが予想される。従って、いくつかの実施形態では、クラックを生じない、20℃/分を超えるランプレートが使用されてもよい。
セラミック物品にクラックを生じさせる温度変化はセラミック物品の組成に依存し得る。例えば、Alはクラックを生じることなく10℃/分以上のレートで加熱され得る。しかしYは約5℃/分より早いランプレートで加熱されるとクラックを生じ得る。一実施形態において、Y及びHPMセラミック複合材のセラミックコーティングには約0.1から5℃/分のランプレートが使用される。更なる実施形態において、Y及びHPMセラミック複合材からなるセラミックコーティングに約5℃/分のランプレートが用いられる。典型的にはセラミック物品は周囲温度または周囲温度付近から出発し、所定の温度まで上記ランプレートでゆっくりと加熱される。
セラミック物品は特定の温度または温度範囲に到達するまで加熱される。上記特定の温度は約1000℃から約1800℃の範囲であり得る。使用される上記特定の温度はセラミック物品の組成または遷移層についての特定の目標厚さに依存し得る。一実施形態において、アルミナ基板と、HPMセラミックコーティングまたはイットリア(Y)セラミックコーティングとを有するセラミック物品に1400から1500℃の温度が使用される。
ブロック165において、セラミック物品は特定の温度で、または上記温度範囲内の一以上の温度で最大24時間熱処理される。用いられる特定の処理期間は、セラミック物品の所望の性能特性と、セラミック物品の組成に依存し得る。例えば、特定の処理期間は遷移層についての目標厚さに依存し得る。
上述の通り、セラミックコーティングは多量の表面欠陥及びこれらの表面欠陥にトラップされる粒子を有し得る。上記熱処理によってこれらの欠陥及び/または粒子が減少または消滅し得る。具体的には、熱処理によって粒子が溶解し得り、及び/または表面欠陥領域のセラミックコーティングの部分が溶解し得る。溶解した粒子は表面欠陥領域のセラミックコーティングと共に流れ得る。その後、上記溶解した粒子はセラミックコーティング上へと再堆積し、これらの表面欠陥領域でセラミックコーティングと破壊されていない結合を形成し得る。得られた破壊されていない結合は、以前に粒子をセラミックコーティングに結合した破壊された結合よりもずっと強力である。従って、粒子はプラズマエッチプロセス中にセラミックコーティングからずっと除去されにくくなり、欠陥領域は浸食されにくくなる。
さらに、セラミックコーティングは典型的に比較的高い空隙率及び比較的多いクラックを有する。上記熱処理によって細孔及びクラックは減少し及び/または除去され得る。上述したセラミックコーティングの溶解−再堆積と同様に、細孔及びクラックが減少または消滅し得る。例えば、細孔またはクラックにおけるセラミックコーティングが溶解し、その後に再堆積し、上記ポートまたはクラックを充填及び/または治癒し得る。
一実施形態において、セラミックコーティング及びセラミック基板は熱処理プロセス中に反応して遷移層を形成する。遷移層は、セラミックコーティング及びセラミック基板が、熱せられた際に反応する材料から構成される場合に形成される。例えば、セラミック基板がAlであり、セラミックコーティングがHPMセラミック複合材であれば、セラミックコーティングとセラミック基板とは熱処理中に反応してYAG遷移層を形成する。別の例において、セラミック基板がAlであり、セラミックコーティングがYであれば、セラミックコーティングとセラミック基板とは熱処理中に反応してYAG遷移層を形成し得る。セラミックコーティング材料及びセラミック基板材料の別の組み合わせは、別の遷移層を形成するであろう。
特に、遷移層は非反応性で、非多孔性の層であり得る。従って、熱処理されたセラミック物品を用いた後続のプロセスにおいて、プロセスガスがセラミックコーティングを貫通し得るが、遷移層は貫通できない。従って、遷移層によってプロセスガスがセラミック基板と反応するのを防ぎ得る。これによってブリスタを最小化または防ぎ得り、セラミックコーティングの剥離性能及び接着強度(結合強度)が向上し得る。
遷移層は数多くの有益な効果を有するが、遷移層が厚すぎる場合には問題となり得る。いくつかの遷移層はセラミックコーティング及び/またはセラミック基板と異なる膨張係数を有する。従って、遷移層が閾値厚さ(例えば5μm程度)よりも厚い場合には、遷移層は後続のプロセス中にセラミックコーティング内にクラックを導入し得る。例えば、HPMセラミック複合材とアルミナは凡そ等しい膨張係数を有するが、YAGの遷移層はHPMセラミック複合材やアルミナと異なる膨張係数を有する。従って、YAG遷移層が約5μmよりも厚い場合には、YAG遷移層の膨張及び収縮によってセラミックコーティングにクラックが生じ得る。
遷移層は、温度及び時間に依存したレートで成長する。温度が上がり、熱処理期間が長くなるにつれて、遷移層の厚さもまた厚くなる。従って、セラミック物品を熱処理するのに用いられる温度及び期間は、約5μmよりも厚くない遷移層を形成するように選択されるべきである。一実施形態において、約0.1μmから約5μmの遷移層が形成されるように、上記温度及び期間が選択される。一実施形態では、遷移層は、処理中にガスがセラミック基板と反応するのを防ぐのに十分な最小の厚さを有する(例えば約0.1μm)。一実施形態では、遷移層は1から2μmの目標厚さを有する。
また熱処理はセラミックコーティングのグレインサイズを大きくする。温度が高くなり、熱処理期間が長くなると、セラミックコーティングのグレインサイズもまた大きくなる。グレインサイズが大きくなると、粒界が減少する。粒界は、セラミックのグレインと比較してプラズマに浸食されやすい。従って、グレインサイズが大きくなることで、後続のプロセス中にセラミックコーティングが粒子汚染を起こしにくくなり得る。従って、熱処理温度及び期間はセラミックコーティングの目標グレインサイズに基づいて選択され得る。
アルミナセラミック基板及びHPMまたはイットリアのセラミックコーティングについては、1500℃で熱処理期間が3から6時間の熱処理が実施され得る。一実施形態において、イットリアまたはHPMセラミック複合体のセラミックコーティングに対する熱処理期間は約4時間である。
一実施形態において、セラミック物品は熱処理の期間の間、単一の温度で維持される。代替的に、セラミック物品は、熱処理中に上記温度範囲内の複数の異なる温度に加熱及び/または冷却され得る。例えば、セラミック物品は1500℃で4時間熱処理され得り、その後1700℃でさらに2時間熱処理され得り、その後1000℃でさらに3時間熱処理され得る。複数の異なる熱処理温度が用いられるときには、セラミック物品は上記ランプレートで加熱及び/または冷却されて熱処理温度間を移動し得ることに注意されたい。
ブロック170では、セラミック物品が上記ランプレートで冷却される。一実施形態では、セラミック物品は、セラミック物品を加熱するのに用いられたランプレートと同じランプレートで冷却される。別の実施形態では、セラミック物品を加熱するのに使用されたのと異なるランプレートが使用されてセラミック物品が冷却される。得られた熱処理されたセラミック物品のセラミックコーティングは、処理された基板の粒子汚染、プラズマ浸食耐性、接着強度、空隙率、クラックの量及びサイズ、並びに剥離耐性に関する向上した性能を有し得る。さらに、得られた熱処理されたセラミック物品は、セラミックコーティングとセラミック基板との間に遷移層を有し得る。従って、製品の歩留まりを向上するために、セラミック蓋、セラミックノズル、プロセスキット及び他のセラミックの内部プロセスチャンバーコンポーネントがプロセス150を用いて熱処理され得る。さらに、プロセス150が適用されるセラミック物品は交換頻度が低くなり得り、装置の休止時間が減少し得る。
プロセス150は、セラミックコーティングがセラミック基板上に形成された後の、セラミック物品の製造工程の一部として実施され得る。さらに、プロセス150は使用されたセラミック物品を治癒または修理するために定期的に実施されてもよい。例えば、セラミック物品は使用前にプロセス150で熱処理され得り、その後、数ヶ月毎、一年毎、一年に二回、または他の頻度で、プロセス150で熱処理され得る。プロセス150を実施する頻度は、セラミック物品とともに用いられるプラズマエッチ及び/またはプラズマ洗浄レシピに依存し得る。例えば、セラミック物品が特に厳しいプラズマ環境に頻繁に露出される場合には、セラミック物品は高い頻度で熱処理され得る。
プラズマへの露出は、回数とともに、セラミックコーティングを侵食及び/または腐食し得る。例えば、プラズマにより、セラミックコーティングの表面の破壊された結合を生じ得り、処理された基板を汚染する可能性のあるセラミック粒子が生じ得り、セラミックコーティング表面に欠陥を生じ得り、セラミック基板からセラミックコーティングが剥離し得るなどである。従って、セラミック物品が古くなるに従って、より多くの粒子汚染が起こりやすい。熱処理プロセス150は、腐食的なプラズマ環境によって生じたダメージを無効にするために、そのような古くなったセラミック物品に実施され得る。熱処理は、新たに製造されたセラミック物品に加えて、使用されたセラミック物品に対して欠陥を治癒し、粒子を減らし得る。従って、有効寿命を延ばすために、プロセス150は使用されたセラミック物品に実施され得る。
表面欠陥を治癒し、粒子を最小化するのに加えて、熱処理プロセス150はセラミック物品をドライクリーン(dry clean)するのにも用いられ得ることに留意されたい。プラズマ環境への露出によって、セラミック物品の表面上にポリマーが形成し得る。これらのポリマーは後続のプロセス中に、基板上の粒子汚染を引き起こし得る。セラミック物品からポリマーを除去するために、周期的なウェットクリーン手順がしばしば実行される。一実施形態では、熱処理プロセス150がウェットクリーンプロセスの代わりに実施される。熱処理プロセス150によって、セラミック物品を覆うポリマーは、高温環境で空気または別のガスと反応し得る。この反応によりポリマーがガス状になり得、セラミック物品の表面を離脱し得る。従って、熱処理プロセス150は、セラミック物品の洗浄と、セラミック物品の表面の修復と、の両方に使用できる。後の熱処理プロセスで使用される温度及び/または期間は、初期の熱処理プロセスで使用される温度及び/または期間と異なり得ることに注意されたい。
図2Aは、セラミックコーティングが熱処理で処理される前及び、セラミック物品が本願の実施形態に従う熱処理を用いて処理された後のセラミックコーティングの顕微鏡写真202〜216を示す。顕微鏡写真202〜216に示されるセラミックコーティングは、YAl及びY2−xZrを有するHPMセラミック複合材である。
顕微鏡写真202は、熱処理前のセラミック物品の例を示す。顕微鏡写真204は、顕微鏡写真202内に示される領域208の拡大図を示す。領域208は比較的表面欠陥が少ない。顕微鏡写真204はセラミックコーティングのグレインサイズを描写する。顕微鏡写真206は、顕微鏡写真202内に示される領域210の拡大図を示す。領域210は、セラミックコーティングの表面欠陥及び表面粒子を描写する。
顕微鏡写真212は、熱処理後の顕微鏡写真202の例を示す。描かれるように、熱処理の結果、表面欠陥の量が減少している。顕微鏡写真214は顕微鏡写真212内に示される領域218の拡大図を示す。領域218は比較的表面欠陥及び表面粒子が少ない。顕微鏡写真214はセラミックコーティングのグレインサイズを描写し、顕微鏡写真204に示されるグレインサイズよりも大きい。顕微鏡写真216は顕微鏡写真212内に示される領域220の拡大図を示す。領域220はセラミックコーティングの表面欠陥を描写する。しかし、顕微鏡写真216で示される表面欠陥は、顕微鏡写真206で示される表面欠陥よりもひどくなく、表面粒子は実質的に除去されている。
図2Bは、セラミックコーティングが熱処理される前、及びセラミックコーティングが、本発明の実施形態に従う、様々な温度、処理期間で熱処理された後の、4000倍のセラミックコーティングの表面の追加的な顕微鏡写真222〜234を示す。顕微鏡写真222は、熱処理前のセラミックコーティングの例を示す。顕微鏡写真224は、1300℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真226は、1400℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真228は、1500℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真234は、1600℃、4時間の熱処理後のセラミックコーティングの例を示す。示される通り、熱処理期間を固定して温度を高くすると、クラックのサイズ及び数が減少する。さらに、温度を高くすると、細孔のサイズ及び数が減少する(従って空隙率が減少する)。
顕微鏡写真230は、1300℃、24時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真232は、1400℃、24時間の熱処理後のセラミックコーティングの例を示す。示される通り、4時間を超えるセラミックコーティングの熱処理は、空隙率またはクラックの量をさらに著しく減らしていない。従って、一実施形態では、熱処理期間は約4時間である。
図2Cはセラミックコーティングが処理される前、及びセラミックコーティングが、本発明の実施形態に従う、様々な温度、処理期間で熱処理された後の、20000倍のセラミックコーティングの表面の追加的な顕微鏡写真236〜248を示す。顕微鏡写真236は、熱処理前のセラミックコーティングの例を示す。顕微鏡写真238は、1300℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真240は、1400℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真242は、1500℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真248は、1600℃、4時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真248に示されるグレインサイズは、顕微鏡写真242に示されるグレインサイズよりも大きく、それは顕微鏡写真240に示されるグレインサイズより大きく、同様に続く。従って、熱処理温度を高くすると、セラミックコーティングのグレインサイズが大きくなる。
顕微鏡写真244は、1300℃、24時間の熱処理後のセラミックコーティングの例を示す。顕微鏡写真246は、1400℃、24時間の熱処理後のセラミックコーティングの例を示す。従って熱処理期間を長くすると、セラミックコーティングのグレインサイズも大きくなる。セラミックコーティングのグレインサイズは、熱処理前の初期はナノサイズであり得、最終的には熱処理によってナノサイズより大きく成長し得る。熱処理の温度及び/または期間は目標グレインサイズに基づいて選択され得る。処理期間を延ばすと、顕微鏡写真244及び246に示されるように、不均一なグレインサイズになり得る。
図2Dは、セラミックコーティングが処理される前、及びセラミックコーティングが、本発明の実施形態に従って処理された後の、10000倍のセラミックコーティングの表面の追加的な顕微鏡写真250〜256を示す。顕微鏡写真250及び254は、熱処理前にセラミックコーティングが大量のセラミック粒子を含むことを示す。顕微鏡写真252及び256は、熱処理後に、セラミック粒子が減少している、または除去されていることを示す。一実施形態では、表面粒子の総数は約93%も減少し得る。
図3Aは、本発明の一実施形態に従う熱処理前後のセラミック物品の垂直断面図を示す顕微鏡写真302〜304を描写する。顕微鏡写真302は、セラミック物品がセラミック基板314と、セラミック基板314上のセラミックコーティング310とを含むことを示す。描写されたセラミック基板314はアルミナであり、描写されたセラミックコーティング310はHPMセラミック複合材である。
顕微鏡写真304は、セラミックコーティング310とセラミック基板314との間に形成された遷移層312とともに、セラミック基板314及びセラミックコーティング310を示す。描写された遷移層は、約1から2μmの厚さを有する。
上記遷移層の元素マップ308もまた示されている。元素マップ308は、エネルギー分散X線分光分析(EDX)に基づき、遷移層312の元素分析を提供し得る。元素マップ308は、遷移層312が炭素、酸素、アルミニウム及びイットリウムから構成されることを示す。元素マップ308はさらに、遷移層312中の元素の原子濃度が大まかに18%の炭素、46%の酸素、23%のアルミニウム及び13%のイットリウムであることを示す。従って、遷移層312はYAl12(YAG)であると示される。上記遷移層はセラミックコーティングのセラミック基板への接着強度を著しく向上し得る。
図3Bは、本発明の実施形態に従う、様々な温度及び処理期間での熱処理前後の、4000倍のセラミック物品の垂直断面図を示す顕微鏡写真を描写する。顕微鏡写真320は、熱処理前の、セラミックコーティング310とセラミック基板314との間の界面を示す。顕微鏡写真322は、1300℃、4時間の熱処理後の、セラミックコーティング310とセラミック基板314との間の界面を示す。顕微鏡写真324は、1400℃、4時間の熱処理後の、セラミックコーティング310とセラミック基板314との間の界面を示す。顕微鏡写真326は、1500℃、4時間の熱処理後の、セラミックコーティング310とセラミック基板314との間の界面を示す。顕微鏡写真332は、1600℃、4時間の熱処理後の、セラミックコーティング310とセラミック基板314との間の界面を示す。顕微鏡写真328は、1300℃、24時間の熱処理後の、セラミックコーティング310とセラミック基板314との間の界面を示す。顕微鏡写真330は、1300℃、24時間の熱処理後の、セラミックコーティング310とセラミック基板314との間の界面を示す。
顕微鏡写真326、330及び332に示されるように、遷移層312は、熱処理中に特定の条件下で、セラミックコーティング310とセラミック基板314との間に形成する。1300℃の熱処理温度では、熱処理期間に関係なく、遷移層は形成されないかもしれない。1400℃の熱処理温度では、4時間の処理後には遷移層を検出できないが、24時間の処理後には遷移層312が検出可能であり得る。1500℃及び1600℃の熱処理温度では、4時間の処理後に遷移層312が検出可能であり得る。
高い処理温度及び長い処理期間によって、より厚い遷移層が形成されることが示される。温度は遷移層の厚みに対して期間よりも大きな影響を有し得る。示される通り、1500℃で4時間の熱処理は、1400℃で24時間の熱処理で生じる遷移層312よりもわずかに厚い厚みを有する遷移層312を生じ得る。
図3Cは、本発明の実施形態に従う熱処理前後の、20000倍のセラミック物品の垂直断面図を示す顕微鏡写真350〜356を描写する。顕微鏡写真350及び354は、熱処理前の、セラミックコーティング310とセラミック基板314との間の界面を示す。熱処理前、セラミック基板314とセラミックコーティング310との間にギャップ370が示されている。これらのギャップによって、セラミックコーティング310がセラミック基板314からさらに剥離し得る。顕微鏡写真352及び356は、遷移層312がセラミックコーティング310とセラミック基板314との間の界面に、熱処理中に形成することを示す。さらに、顕微鏡写真352及び356は、熱処理前に存在したギャップ370が、熱処理の結果、除去されるまたは減少することを示す。これにより剥離の可能性が低減し得り、セラミックコーティング310のセラミック基板314への接着または結合強度が向上し得る。
図3Dは、本発明の一実施形態に従う熱処理前後での、HPMセラミック複合材コーティングの相組成比較を描写する。示される通り、熱処理によってセラミックコーティングまたはセラミック基板の相組成が大きくは変化していない。
上記セラミックコーティングの表面形状は、表面粗さパラメータ及び/または表面均一性パラメータを用いて表現され得る。また、上記表面形状は、空隙率、クラック及び/またはボイドパラメータを用いて表現され得る。空隙率を表す測定されたパラメータは、細孔数及び/または平均細孔寸法を含み得る。同様に、ボイド及び/またはクラックを表す測定されたパラメータは、平均のボイド/クラック寸法及び/またはボイド/クラック数を含み得る。
粒子数を表す測定されたパラメータは、テープ剥離試験粒子数及び液体粒子数(LPC)である。上記テープ試験は、粘着テープをセラミックコーティングに貼り付け、テープを剥離し、テープに付着した粒子の数を数えて実施され得る。LPCは、セラミック物品を水浴(例えば、脱イオン(DI)水浴)中に配し、水浴を超音波処理して決定され得る。その後、溶液中にとれた粒子数が、例えばレーザーカウンターを用いて数えられ得る。
接着強度は、セラミック基板からセラミックコーティングが剥離するまで、セラミックコーティングに力(例えばメガパスカルで測定される)を印加することによって決定され得る。一実施形態では、セラミックコーティングの接着強度は、熱処理前には4メガパスカル(MPa)程度であり、熱処理後には12MPa程度である。従って、熱処理後のセラミックコーティングのセラミック基板への接着強度は、熱処理前の接着強度よりも約三倍強力であり得る。
セラミックコーティングの接着強度、空隙率、クラック及び粒子数値は熱処理の結果改善し得る。さらに、熱処理の結果、グレインサイズが大きくなり得り、硬度が小さくなり得る。経験的実証によって、熱処理の結果、プラズマエッチプロセス中の、セラミックコートされた蓋及びセラミックコートされたノズルによる粒子汚染の量が減少することも示された。経験的実証によって、熱処理の結果、セラミック基板からのセラミックコーティングの剥離が減少することもまた示された。さらに、熱処理の結果、セラミックコーティングの表面粗さが減少する。
最大約1200℃までの熱処理については、粒子とセラミックコーティングの表面との相互作用は、以下の数式1に従うファンデルワールス力が支配的であり得る。

ここでFは力であり、Aは面積であり、Hは距離である。熱処理温度が室温から約500℃に上昇するにつれて、ファンデルワールス力は弱まり、熱膨張が距離Hの増加を引き起こし得る。熱処理温度が500℃から約1200℃に上昇するにつれて、距離Hの減少に少なくとも部分的に起因して、ファンデルワールス力が強くなり得る。このような距離の減少は、基板表面吸収粒子及び/または変形に起因し得る。
約1200℃と1800℃の間の温度では、粒子とセラミックコーティング表面との間に、液状フィルムが形成され得る。約1200℃と1500℃の間で、上記液状フィルムは薄い液状フィルムであり得、約1500℃と1800℃の間で、上記液状フィルムは厚い液状フィルムであり得る。約1800℃に至る温度では、粒子とセラミックコーティング表面との相互作用は、以下の数式2に従う、毛管力による液体を介した相互作用が支配的であり得る。

ここでFは力であり、γは液体−気体表面張力であり、Rは粒子と基板表面との間の界面の有効半径であり、θは接触角である。これらの温度では、粒子は液体中へと拡散し得、対応するグレイン上で再成長し得る。これによって、セラミック物品が冷却された後であっても、基板表面から粒子が除去され得る。
HPMセラミック複合材及びイットリアについては、1800℃が焼結温度である。従って、約1800℃以上の温度では、セラミックコーティング内のパウダー間に液相が形成される。これらのパウダーは液相へと溶解し、寸法が大きくなるグレインへと成長し得る。原子は、平衡に達するまで、高エネルギーのグレインから低エネルギーのグレインへと拡散し得る。従って、一実施形態では、約1800℃よりも低温で熱処理が実施される。
上記によって、本発明のいくつかの実施形態の良好な理解を提供するための、特定のシステム、コンポーネント、方法などの例などの数多くの特定の詳細が明らかになった。しかし、当業者にとって、これらの特定の詳細なしに、本発明の少なくともいくつかの実施形態を実施し得ることは明らかであろう。他の例では、本発明を不必要に不明確にするのを避けるために、周知のコンポーネントまたは方法は詳細には記述されていないか、単純なブロックダイアグラム形式で示されている。従って、説明された特定の詳細は、例示に過ぎない。特定の実施形態は、これらの例示的な詳細と異なり得るが、なお本発明の範囲内であると考えられる。
本明細書を通して、「一実施形態」または「ある実施形態」との言及は、該実施形態と関連して記述された特定の特徴、構造または特性が、少なくとも一つの実施形態に含まれることを意味する。従って、本明細書を通して様々な場所でみられる「一実施形態において」または「ある実施形態において」との記載は、必ずしも同じ実施形態に言及するものではない。さらに、「または」との語は、排他的ではなく、包括的な「または」との意味に意図されている。
ここで方法の操作が特定の順番で示され、説明されたが、各方法の操作の順番は、ある操作が逆の順番で実施されたり、ある操作が少なくとも部分的に他の操作と同時に実施されたりするように変更され得る。別の実施形態では、指示または明確な操作のサブ操作は、断続的及び/または交互であってもよい。
上記説明は例示的なものであり、限定的なものではないことが理解されよう。多くの他の実施形態が、上記説明を読み、理解することで当業者にとって明らかとなるであろう。従って本発明の範囲は、添付の特許請求の範囲を参照し、権利が与えられる均等の完全な範囲とともに決定されるべきである。
100 製造システム
105 炉
115 自動機器レイヤ
120 計算装置
150 プロセス
155、160、165、170 ブロック
202、204、206、212、214、216 顕微鏡写真
222、224、226、228、230、232、234 顕微鏡写真
236、238、240、242、244、246、248 顕微鏡写真
250、252、254、256 顕微鏡写真
302、304 顕微鏡写真
308 元素マップ
310 セラミックコーティング
312 遷移層
314 セラミック基板
320、322、324、326、328、330、332 顕微鏡写真
350、352、354、356 顕微鏡写真
370 ギャップ

Claims (13)

  1. Al を含むセラミック基板と、
    セラミック基板上のセラミックコーティングであって、イットリウム含有固溶体を含む非焼結セラミックコーティングであり、セラミック基板とは異なる組成を有し、Y Al と固溶体Y −ZrO との化合物を含むセラミックコーティングと、
    セラミック基板とセラミックコーティングとの間の遷移層であって、セラミック基板由来の第2の元素と反応したセラミックコーティング由来の第1の元素を含み、 Al 12 (YAG)を含み、1ミクロン〜5ミクロンの厚さを有する遷移層とを含むセラミック物品。
  2. セラミック物品は、修復されたセラミック基板である、請求項1記載のセラミック物品。
  3. セラミックコーティングは、セラミックコーティングへの破壊されていない結合を有するセラミックコーティングの表面に複数の溶融した粒子を含む、請求項1記載のセラミック物品。
  4. 遷移層は、非多孔性であり、プロセスガスに非反応である、請求項1記載のセラミック物品。
  5. セラミックコーティングは、12メガパスカルの接着強度でセラミック基板に接着している、請求項1記載のセラミック物品。
  6. セラミック物品は、プラズマエッチャ用のプロセスチャンバーコンポーネントである、請求項1記載のセラミック物品。
  7. セラミック基板上に、a)固溶体Y −ZrO 、又はb)Y Al と固溶体Y −ZrO とを含むセラミックコーティングを形成するために溶射プロセスを実行する工程であって、セラミックコーティングは、初期空隙率、初期のクラック量、初期粒子数、及び初期接着強度を有する工程と、
    0.1℃/分〜20℃/分のランプレートで1000℃〜1800℃の間の温度範囲にセラミックコーティングを加熱する工程と、
    セラミックコーティングの空隙率を初期空隙率未満に減少させ、セラミックコーティングのクラックの量を初期のクラック量未満に減少させ、セラミックコーティングの接着強度を初期接着強度未満に減少させるために、最大24時間の間、前記温度範囲内の1以上の温度でセラミックコーティングの熱処理を実行する工程であって、セラミックコーティングは、セラミックコーティングの焼結を防止するために、セラミックコーティングの焼結温度未満で熱処理される工程と、
    熱処理後に前記ランプレートでセラミックコーティングを冷却する工程であって、熱処理後にセラミックコーティングは、焼結されず、初期のクラック量未満の減少したクラック量を有し、初期空隙率未満の減少した空隙率を有し、初期接着強度を超える増加した接着強度を有する工程とを含む方法。
  8. セラミックコーティングは、SiO、B、Er、Nd、Nb、CeO、Sm、及びYbのうちの1つによってドープされる、請求項記載の方法。
  9. セラミック基板は、Y、Al、YAl、YAl12(YAG)、石英、SiC、Si、AlN、又はSiC−Siのうちの少なくとも1つからなる、請求項記載の方法。
  10. セラミック基板及びセラミックコーティングは、セラミックコーティングとセラミック基板との間に遷移層を形成するために熱処理中に反応するセラミックを含む、請求項記載の方法。
  11. 熱処理は、セラミック基板とセラミックコーティングとの間に遷移層を形成するために、セラミックコーティングをセラミック基板と反応させ、期間及び温度範囲は、遷移層が1ミクロン〜5ミクロンの厚さを有するように選択される、請求項記載の方法。
  12. ポリマーがセラミックコーティング上に形成された後に、加熱する工程、熱処理する工程、及び冷却する工程を、空気の存在下で繰り返す工程を含み、空気の存在下で熱処理を繰り返す工程は、ポリマーに空気と反応してガスとなるようにさせることにより、セラミックコーティングを洗浄する、請求項記載の方法。
  13. セラミックコーティングはY Al と固溶体Y −ZrO とを含む請求項7記載の方法。
JP2017180915A 2012-02-22 2017-09-21 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理 Active JP6542854B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261602020P 2012-02-22 2012-02-22
US61/602,020 2012-02-22
US201261619854P 2012-04-03 2012-04-03
US61/619,854 2012-04-03
US13/745,589 US9212099B2 (en) 2012-02-22 2013-01-18 Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US13/745,589 2013-01-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014558807A Division JP6293064B2 (ja) 2012-02-22 2013-02-20 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019110098A Division JP6878504B2 (ja) 2012-02-22 2019-06-13 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理

Publications (2)

Publication Number Publication Date
JP2018048072A JP2018048072A (ja) 2018-03-29
JP6542854B2 true JP6542854B2 (ja) 2019-07-10

Family

ID=48982492

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2014558807A Active JP6293064B2 (ja) 2012-02-22 2013-02-20 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理
JP2017180915A Active JP6542854B2 (ja) 2012-02-22 2017-09-21 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理
JP2019110098A Active JP6878504B2 (ja) 2012-02-22 2019-06-13 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理
JP2021075602A Pending JP2021120346A (ja) 2012-02-22 2021-04-28 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014558807A Active JP6293064B2 (ja) 2012-02-22 2013-02-20 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2019110098A Active JP6878504B2 (ja) 2012-02-22 2019-06-13 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理
JP2021075602A Pending JP2021120346A (ja) 2012-02-22 2021-04-28 セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理

Country Status (6)

Country Link
US (4) US9212099B2 (ja)
JP (4) JP6293064B2 (ja)
KR (2) KR102067108B1 (ja)
CN (2) CN107382376B (ja)
TW (1) TWI573778B (ja)
WO (1) WO2013126466A1 (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN106458769A (zh) 2014-03-05 2017-02-22 应用材料公司 用于减少腔室微粒的关键腔室部件表面改良
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
CN104761151B (zh) * 2015-03-19 2017-03-15 胡宇杰 3d玻璃板材的制作方法
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105603352B (zh) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法
EP3418428B1 (en) * 2016-02-19 2023-05-17 Nippon Steel Corporation Ceramic laminate, ceramic insulating substrate, and method for manufacturing ceramic laminate
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
JP6067166B1 (ja) 2016-05-13 2017-01-25 三菱日立パワーシステムズ株式会社 コーティング構造、これを有するタービン部品及びコーティング構造の製造方法
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10774006B2 (en) 2017-05-10 2020-09-15 Applied Materials, Inc. Microwave and induction heat treatment of ceramic coatings
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
JP7312064B2 (ja) * 2019-09-10 2023-07-20 日本特殊陶業株式会社 溶射膜被覆部材の製造方法
US20230020387A1 (en) * 2019-11-22 2023-01-19 Lam Research Corporation Low temperature sintered coatings for plasma chambers
KR20220131949A (ko) * 2020-01-23 2022-09-29 램 리써치 코포레이션 플라즈마 프로세싱 챔버 컴포넌트들을 위한 이트륨 알루미늄 코팅
KR102439674B1 (ko) * 2022-07-25 2022-09-02 주식회사 코닉스 내플라즈마성이 향상된 알루미나 세라믹 소재 및 내플라즈마성이 향상된 알루미나 세라믹 소재의 제조 장치
CN115677352B (zh) * 2022-11-23 2023-09-26 中国科学院上海硅酸盐研究所 一种高强度AlON透明陶瓷材料及其制备方法

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) * 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US6245202B1 (en) 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
DE69920152T2 (de) 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
WO2001024581A1 (en) 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
WO2001083205A2 (en) 2000-05-02 2001-11-08 Johns Hopkins University Reactive multilayer structures for ease of processing and enhanced ductility
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
EP1286931A2 (en) * 2000-05-19 2003-03-05 The University Of British Columbia Process for making chemically bonded composite hydroxide ceramics
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
AU2001288566A1 (en) 2000-11-15 2002-05-27 Gt Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
BR0211578A (pt) 2001-08-02 2006-04-04 3M Innovative Properties Co vidro, cerámica, métodos para a fabricação de um vidro, de uma cerámica, e de um artigo compreendendo vidro, vidro-cerámica, métodos para a fabricação de um vidro-cerámica, e de um artigo de vidro-cerámica, partìcula abrasiva, método para a fabricação de partìculas abrasivas, pluralidade de partìculas abrasivas, artigo abrasivo, e, método para desbastar uma superfìcie
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) * 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP3643872B2 (ja) * 2002-05-02 2005-04-27 独立行政法人産業技術総合研究所 酸化物セラミックス複合材料の形成方法
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004107718A (ja) 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
TW200420431A (en) 2002-11-20 2004-10-16 Shinetsu Chemical Co Heat resistant coated member, making method, and treatment using the same
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7097713B2 (en) * 2003-08-19 2006-08-29 The Boc Group, Inc. Method for removing a composite coating containing tantalum deposition and arc sprayed aluminum from ceramic substrates
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
DE60313042T2 (de) 2003-09-16 2008-01-03 Shin-Etsu Quartz Products Co., Ltd. Element für eine plasmaätzeinrichtung und verfahren zu dessen herstellung
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
KR101084553B1 (ko) 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
BRPI0508214A (pt) * 2004-03-24 2007-07-17 Massachusetts Inst Technology métodos de remoção de depósitos de superfìcie
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US20060008676A1 (en) 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
US7622424B2 (en) 2004-10-01 2009-11-24 American Superconductor Corporation Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
WO2006130759A2 (en) * 2005-05-31 2006-12-07 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
WO2006135043A1 (ja) 2005-06-17 2006-12-21 Tohoku University 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
JP4586984B2 (ja) 2005-06-30 2010-11-24 株式会社ボークス 人形の関節部材、該関節部材を備えた人形
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7494723B2 (en) * 2005-07-29 2009-02-24 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4996868B2 (ja) * 2006-03-20 2012-08-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
KR101344990B1 (ko) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
WO2007132028A1 (es) 2006-05-12 2007-11-22 Fundacion Inasmet Procedimiento de obtención de recubrimientos cerámicos y recubrimientos cerámicos obtenidos
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
CN101522946B (zh) 2006-10-06 2012-06-13 迦南精机株式会社 耐腐蚀性构件及其制备方法
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
RU2421498C2 (ru) 2007-03-12 2011-06-20 Сэнт-Гобэн Керамикс Энд Пластикс, Инк. Высокопрочные керамические элементы и способы их изготовления и применения
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI654160B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) * 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
CN102160167B (zh) 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5551353B2 (ja) 2008-10-30 2014-07-16 株式会社日本セラテック 耐食性部材
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
JP2012507630A (ja) 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド 半導体用途用の熱スプレーコーティング
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
FR2938554B1 (fr) * 2008-11-19 2011-05-06 Areva Nc Procede de revetement d'un element de creuset metallique par un melange de verre et de ceramique
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) * 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CN102388680B (zh) 2009-02-05 2015-07-08 苏舍美特科公司 等离子体涂覆设备和基材表面的涂覆或处理方法
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
EP2428592B1 (en) 2009-05-08 2019-12-11 Fuchita Nanotechnology Ltd. Method for forming zirconia film
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
CN102822115B (zh) 2010-03-30 2017-06-27 日本碍子株式会社 半导体制造装置用耐腐蚀性构件及其制法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120040100A1 (en) 2010-06-29 2012-02-16 Los Alamos National Security, Llc Solution deposition planarization method
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
CN102391015B (zh) * 2011-07-27 2013-01-02 西安交通大学 SiC陶瓷表面处理方法及其用途
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
MX339254B (es) 2011-12-19 2016-05-18 Praxair Technology Inc Suspension acuosa para la produccion de recubrimientos de barrera termica y ambiental y procesos para la elaboracion y la aplicacion de los mismos.
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
WO2013140668A1 (ja) 2012-03-22 2013-09-26 トーカロ株式会社 フッ化物溶射皮膜の形成方法およびフッ化物溶射皮膜被覆部材
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Also Published As

Publication number Publication date
JP6293064B2 (ja) 2018-03-14
US20130216821A1 (en) 2013-08-22
US10364197B2 (en) 2019-07-30
TWI573778B (zh) 2017-03-11
JP2019206470A (ja) 2019-12-05
CN108249957A (zh) 2018-07-06
KR20170102370A (ko) 2017-09-08
KR102067107B1 (ko) 2020-01-16
CN107382376B (zh) 2021-08-17
CN107382376A (zh) 2017-11-24
TW201343603A (zh) 2013-11-01
CN105492400A (zh) 2016-04-13
JP2015512848A (ja) 2015-04-30
KR102067108B1 (ko) 2020-01-16
JP2018048072A (ja) 2018-03-29
JP6878504B2 (ja) 2021-05-26
KR20140138190A (ko) 2014-12-03
US20190233343A1 (en) 2019-08-01
WO2013126466A1 (en) 2013-08-29
US11279661B2 (en) 2022-03-22
US20210317049A1 (en) 2021-10-14
US9212099B2 (en) 2015-12-15
CN108249957B (zh) 2021-07-16
US20160060181A1 (en) 2016-03-03
JP2021120346A (ja) 2021-08-19

Similar Documents

Publication Publication Date Title
JP6542854B2 (ja) セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理
US10336656B2 (en) Ceramic article with reduced surface defect density
JP6526729B6 (ja) 希土類酸化物系モノリシックチャンバ材料
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
JP2020007643A (ja) 半導体製造コンポーネント用高純度金属トップコート
US20150270108A1 (en) Rare-earth oxide based erosion resistant coatings for semiconductor application
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
KR101110371B1 (ko) 내플라즈마 결정질 세라믹 코팅막 및 그 제조방법
KR102135664B1 (ko) 내플라즈마성 부재
JP2020511388A (ja) ホットプレスにより形成された焼結セラミック保護層
KR20180123166A (ko) 이트륨 옥시-플루오라이드를 침전시키는 세정 프로세스
KR101559112B1 (ko) 공정부품 표면의 세라믹 코팅막 및 이의 형성방법
CN105492400B (zh) 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180828

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190613

R150 Certificate of patent or registration of utility model

Ref document number: 6542854

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250