JP5595795B2 - プラズマ処理装置用の消耗部品の再利用方法 - Google Patents

プラズマ処理装置用の消耗部品の再利用方法 Download PDF

Info

Publication number
JP5595795B2
JP5595795B2 JP2010128837A JP2010128837A JP5595795B2 JP 5595795 B2 JP5595795 B2 JP 5595795B2 JP 2010128837 A JP2010128837 A JP 2010128837A JP 2010128837 A JP2010128837 A JP 2010128837A JP 5595795 B2 JP5595795 B2 JP 5595795B2
Authority
JP
Japan
Prior art keywords
silicon carbide
consumable part
consumable
plasma processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010128837A
Other languages
English (en)
Other versions
JP2011018894A (ja
Inventor
直行 佐藤
一也 永関
将之 長山
啓一 長久保
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010128837A priority Critical patent/JP5595795B2/ja
Publication of JP2011018894A publication Critical patent/JP2011018894A/ja
Application granted granted Critical
Publication of JP5595795B2 publication Critical patent/JP5595795B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4418Methods for making free-standing articles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching

Description

本発明は、プラズマ処理装置用の消耗部品の再利用方法に関する。
基板としてのウエハに所定のプラズマ処理を施すプラズマ処理装置は、該ウエハを収容する減圧室としてのチャンバと、該チャンバ内に処理ガスを導入するシャワーヘッドと、チャンバ内においてシャワーヘッドと対向して配置され、ウエハを載置するとともにチャンバ内に高周波電力を印加するサセプタとを備える。チャンバ内に導入された処理ガスは高周波電力によって励起されてプラズマとなる。
サセプタは載置されたウエハの周縁を囲うリング状のフォーカスリングを有する。フォーカスリングはウエハと同様に硅素(Si)からなり、チャンバ内におけるプラズマの分布域をウエハ上だけでなく該フォーカスリング上まで拡大してウエハの周縁部上におけるプラズマの密度を該ウエハの中央部上におけるプラズマの密度と同程度に維持する。これにより、ウエハの全面に施されるプラズマ処理の均一性を確保することができる(例えば、特許文献1参照)。
フォーカスリングはプラズマ処理の間、プラズマ中の陽イオンによってスパッタされて消耗する。フォーカスリングが消耗すると、フォーカスリングの上面がウエハの表面よりも下がるため、ウエハ上におけるプラズマの分布形態が変化し、その結果、ウエハの全面に施されるプラズマ処理の均一性を確保するのが困難となる。そこで、フォーカスリングはある程度消耗すると交換される。交換されたフォーカスリングはそのまま廃却処分されている。
また、プラズマ処理装置はフォーカスリング以外にも硅素からなる消耗部品を有するが、これらのうちプラズマ処理に影響を及ぼす消耗部品は、フォーカスリングと同様に、ある程度消耗すると交換され、交換された消耗部品もそのまま廃却処分されている。
特開2005−64460号公報
しかしながら、フォーカスリング等の硅素からなる消耗部品は、硅素の塊(バルク材)から切り出されて製造されるため、製造に非常な手間を有する。したがって、消耗部品がある程度消耗する度にその消耗部品を廃却処分するのは非常に無駄が多い。
本発明の目的は、無駄を排除することができるプラズマ処理装置用の消耗部品の再利用方法を提供することにある。
上記目的を達成するために、請求項1記載のプラズマ処理装置用の消耗部品の再利用方法は、炭化珪素をCVDによって積層して炭化硅素塊を生成する炭化硅素塊生成ステップと、前記炭化硅素塊を加工して所定の形状のプラズマ処理装置用の消耗部品を製造する消耗部品製造ステップと、前記製造された消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、前記表面洗浄ステップでは、アルカリ溶液及び酸をこの順で用いて前記消耗部品の表面を洗浄することを特徴とする。
請求項2記載のプラズマ処理装置用の消耗部品の再利用方法は、炭化珪素をCVDによって積層して炭化硅素塊を生成する炭化硅素塊生成ステップと、前記炭化硅素塊を加工して所定の形状のプラズマ処理装置用の消耗部品を製造する消耗部品製造ステップと、前記製造された消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、前記消耗部品再製造ステップ後、且つ前記第2のプラズマ処理ステップの前に、前記再製造された消耗部品を高温雰囲気中に置き、該高温雰囲気へ炭化硅素の原料ガスを供給する表面処理ステップをさらに有することを特徴とする。
請求項4記載のプラズマ処理装置用の消耗部品の再利用方法は、炭化珪素製の消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、前記表面洗浄ステップでは、アルカリ溶液及び酸をこの順で用いて前記消耗部品の表面を洗浄することを特徴とする。
請求項5記載のプラズマ処理装置用の消耗部品の再利用方法は、所定時間に亘る第1のプラズマ処理によって消耗した炭化珪素製の消耗部品の表面を洗浄する表面洗浄ステップと、前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、再製造された消耗部品を用いて基板に第2のプラズマ処理を施すために、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップとを有し、前記表面洗浄ステップでは、アルカリ溶液及び酸をこの順で用いて前記消耗部品の表面を洗浄することを特徴とする。
請求項記載のプラズマ処理装置用の消耗部品の再利用方法は、炭化珪素製の消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、前記消耗部品再製造ステップ後、且つ前記第2のプラズマ処理ステップの前に、前記再製造された消耗部品を高温雰囲気中に置き、該高温雰囲気へ炭化硅素の原料ガスを供給する表面処理ステップをさらに有することを特徴とする。
請求項記載のプラズマ処理装置用の消耗部品の再利用方法は、所定時間に亘る第1のプラズマ処理によって消耗した炭化珪素製の消耗部品の表面を洗浄する表面洗浄ステップと、前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、再製造された消耗部品を用いて基板に第2のプラズマ処理を施すために、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップとを有し、前記消耗部品再製造ステップ後、且つ前記第2のプラズマ処理ステップの前に、前記再製造された消耗部品を高温雰囲気中に置き、該高温雰囲気へ炭化硅素の原料ガスを供給する表面処理ステップをさらに有することを特徴とする。
本発明に係るプラズマ処理装置用の消耗部品の再利用方法によれば、所定時間に亘るプラズマ処理によって消耗した消耗部品の表面へCVDによって炭化硅素が積層され、炭化硅素が表面に積層された消耗部品が加工されて所定の形状の消耗部品が再製造されるので、炭化硅素塊を加工して製造された消耗部品が消耗しても、該消耗した消耗部品を廃棄処分することなく再利用することができ、もって、無駄を排除することができる。また、CVDによって炭化硅素が積層される前に、消耗した消耗部品の表面が洗浄されるので、該表面から不純物を除去することができ、もって、CVDによる炭化硅素の積層を確実に行うことができるとともに、再製造された消耗部品の品質を維持することができる。
本発明の実施の形態に係る再利用方法が適用される消耗部品が用いられるプラズマ処理装置の構成を概略的に示す断面図である。 図1におけるフォーカスリングを示す拡大図であり、図2(A)は平面図であり、図2(B)は図2(A)における線II−IIに沿う断面図である。 図1における上部電極板を示す拡大図であり、図3(A)は平面図であり、図3(B)は図3(A)における線III−IIIに沿う断面図である。 フォーカスリングの再利用方法を示す工程図である。 上部電極板の再利用方法を示す工程図である。 CVD−SiC層の積層1層目と積層2層目との境界部分を含む矩形のテストピースを示す模式的平面図である。 消耗量がCVDによって積層されたSiC層の厚さを超えたフォーカスリングの断面を示す図である。
以下、本発明の実施の形態について図面を参照しながら詳細に説明する。
図1は、本実施の形態に係る再利用方法が適用される消耗部品が用いられるプラズマ処理装置の構成を概略的に示す断面図である。本プラズマ処理装置は、基板としての半導体デバイス用のウエハ(以下、単に「ウエハ」という。)にプラズマエッチング処理を施す。
図1において、プラズマ処理装置10は、例えば、直径が300mmのウエハWを収容するチャンバ11を有し、該チャンバ11内にはウエハWを載置する円柱状のサセプタ12が配置されている。プラズマ処理装置10では、チャンバ11の内側壁とサセプタ12の側面とによって側方排気路13が形成される。この側方排気路13の途中には排気プレート14が配置される。
排気プレート14は多数の貫通孔を有する板状部材であり、チャンバ11内部を上部と下部に仕切る仕切り板として機能する。排気プレート14によって仕切られたチャンバ11内部の上部(以下、「処理室」という。)15には後述するようにプラズマが発生する。また、チャンバ11内部の下部(以下、「排気室(マニホールド)」という。)16にはチャンバ11内のガスを排出する排気管17が接続される。排気プレート14は処理室15に発生するプラズマを捕捉又は反射してマニホールド16への漏洩を防止する。
排気管17にはTMP(Turbo Molecular Pump)及びDP(Dry Pump)(ともに図示しない)が接続され、これらのポンプはチャンバ11内を真空引きして減圧する。具体的には、DPはチャンバ11内を大気圧から中真空状態(例えば、1.3×10Pa(0.1Torr)以下)まで減圧し、TMPはDPと協働してチャンバ11内を中真空状態より低い圧力である高真空状態(例えば、1.3×10−3Pa(1.0×10−5Torr)以下)まで減圧する。なお、チャンバ11内の圧力はAPCバルブ(図示しない)によって制御される。
チャンバ11内のサセプタ12には第1の高周波電源18が第1の整合器19を介して接続され、且つ第2の高周波電源20が第2の整合器21を介して接続されており、第1の高周波電源18は比較的低い周波数、例えば、2MHzのイオン引き込み用の高周波電力をサセプタ12に印加し、第2の高周波電源20は比較的高い周波数、例えば、60MHzのプラズマ生成用の高周波電力をサセプタ12に印加する。これにより、サセプタ12は電極として機能する。また、第1の整合器19及び第2の整合器21は、サセプタ12からの高周波電力の反射を低減して高周波電力のサセプタ12への印加効率を最大にする。
サセプタ12の上部には、静電電極板22を内部に有する静電チャック23が配置されている。静電チャック23は或る直径を有する下部円板状部材の上に、該下部円板状部材より直径の小さい上部円板状部材を重ねて形成されるため、周縁部において段差を有する。なお、静電チャック23はセラミックスで構成されている。
静電電極板22には直流電源24が接続されており、静電電極板22に正の直流電圧が印加されると、ウエハWにおける静電チャック23側の面(以下、「裏面」という。)には負電位が発生して静電電極板22及びウエハWの裏面の間に電位差が生じ、該電位差に起因するクーロン力又はジョンソン・ラーベック力により、ウエハWは静電チャック23における上部円板状部材の上において吸着保持される。
また、静電チャック23には、吸着保持されたウエハWを囲うように、フォーカスリング25が静電チャック23の段差における水平部へ載置される。フォーカスリング25は炭化硅素(SiC)によって構成される。すなわち、フォーカスリング25は半導電体からなるので、プラズマの分布域をウエハW上だけでなく該フォーカスリング25上まで拡大してウエハWの周縁部上におけるプラズマの密度を該ウエハWの中央部上におけるプラズマの密度と同程度に維持する。これにより、ウエハWの全面に施されるプラズマエッチング処理の均一性を確保する。
サセプタ12の内部には、例えば、円周方向に延在する環状の冷媒室26が設けられる。この冷媒室26には、チラーユニット(図示しない)から冷媒用配管27を介して低温の冷媒、例えば、冷却水やガルデン(登録商標)が循環供給される。該低温の冷媒によって冷却されたサセプタ12は静電チャック23を介してウエハW及びフォーカスリング25を冷却する。なお、フォーカスリング25の裏面に熱伝導性を向上させるシートを設けてもよい。これにより、フォーカスリング25から冷却されたサセプタ12への熱伝導が改善され、その結果、フォーカスリング25を効率良く冷却することができる。
静電チャック23における上部円板状部材の上面のウエハWが吸着保持される部分(以下、「吸着面」という。)には、複数の伝熱ガス供給孔28が開口している。これら複数の伝熱ガス供給孔28は、伝熱ガス供給ライン29を介して伝熱ガス供給部(図示しない)に接続され、該伝熱ガス供給部は伝熱ガスとしてのHe(ヘリウム)ガスを、伝熱ガス供給孔28を介して吸着面及びウエハWの裏面の間隙に供給する。吸着面及びウエハWの裏面の間隙に供給されたヘリウムガスはウエハWの熱を静電チャック23に効果的に伝達する。
チャンバ11の天井部には、サセプタ12と対向するようにシャワーヘッド30が配置される。シャワーヘッド30は、上部電極板31(電極板)と、該上部電極板31を着脱可能に釣支するクーリングプレート32と、該クーリングプレート32を覆う蓋体33とを有する。上部電極板31は厚み方向に貫通する多数のガス孔34を有する円板状部材からなり、半導電体である炭化硅素によって構成される。また、クーリングプレート32の内部にはバッファ室35が設けられ、このバッファ室35には処理ガス導入管36が接続されている。
また、シャワーヘッド30の上部電極板31には直流電源37が接続され、上部電極板31へ負の直流電圧が印加される。このとき、上部電極板31は二次電子を放出して処理室15内部におけるウエハW上において電子密度が低下するのを防止する。放出された二次電子はウエハW上から側方排気路13においてサセプタ12の側面を囲うように設けられた半導体である炭化硅素や硅素によって構成される接地電極(グランドリング)38へ流れる。
プラズマ処理装置10では、処理ガス導入管36からバッファ室35へ供給された処理ガスがガス孔34を介して処理室15内部へ導入され、該導入された処理ガスは、第2の高周波電源20からサセプタ12を介して処理室15内部へ印加されたプラズマ生成用の高周波電力によって励起されてプラズマとなる。該プラズマ中のイオンは、第1の高周波電源18がサセプタ12に印加するイオン引き込み用の高周波電力によってウエハWに向けて引きこまれ、該ウエハWにプラズマエッチング処理を施す。
上述したプラズマ処理装置10の各構成部品の動作は、プラズマ処理装置10が備える制御部(図示しない)のCPUがプラズマエッチング処理に対応するプログラムに応じて制御する。
図2は、図1におけるフォーカスリングを示す拡大図であり、図2(A)は平面図であり、図2(B)は図2(A)における線II−IIに沿う断面図である。
図2(A)及び(B)において、フォーカスリング25は内周部に段差25aを有するリング状部材によって構成され、上述したように、炭化硅素の単体によって構成される。段差25aはウエハWの外周部に対応して形成され、ウエハWが吸着面に吸着保持されるとき、段差25aにおける水平部25bはウエハWの外周部によって覆われるが、同段差25aにおける隅部25cはウエハWによって覆われない。
プラズマエッチング処理において、フォーカスリング25における隅部25cや上面25dはプラズマに晒されてプラズマ中の陽イオンによってスパッタされる。
図3は、図1における上部電極板を示す拡大図であり、図3(A)は平面図であり、図3(B)は図3(A)における線III−IIIに沿う断面図である。
図3(A)及び(B)において、上部電極板31は厚さが約10mmの円板状部材によって構成される。上部電極板31では、該上部電極板31を厚み方向に貫通する多数のガス孔34が等ピッチで配置されている。各ガス孔34の直径は、例えば0.5mmであり、切削ドリル等によって形成される。
上部電極板31がシャワーヘッド30の一部としてプラズマ処理装置10へ装着されるとき、上部電極板31の側面31aは、炭化硅素、石英や硅素等によって構成されるリング状部材であるアウターリング39によって覆われる(図1参照。)が、下面31bは処理室15内の空間に暴露される。すなわち、プラズマエッチング処理において、下面31bはプラズマに晒されてプラズマ中の陽イオンによってスパッタされる。
上述したように、フォーカスリング25や上部電極板31は陽イオンによってスパッタされるため、次第に消耗する。本実施の形態では、これに対応してフォーカスリング25や上部電極板31を硅素ではなく炭化硅素によって構成する。炭化硅素はCVDによって積層可能であるため、後述するように、消耗したフォーカスリング25や上部電極板31を、CVDによる炭化硅素の積層によって再利用可能となるように元の形状へ復元(再製造)することができる。
以下、本実施の形態に係る再利用方法について説明する。
図4は、フォーカスリング25の再利用方法を示す工程図である。
まず、リング状の黒鉛部材40を核として、該黒鉛部材40の周りにCVDによって炭化硅素を積層し、リング状の炭化硅素塊41を生成する(図4(A))(炭化硅素塊生成ステップ)。なお、図4(A)はリング状の炭化硅素塊41の縦断面を示す。CVDでは炭化硅素が黒鉛部材40に対して等方的に積層されるが、炭化硅素塊41においてフォーカスリング25を、黒鉛部材40を含むことなく切り出すため、炭化硅素塊41における黒鉛部材40から該炭化硅素塊41の表面までの厚みがフォーカスリング25の厚みよりも大きくなるまで炭化硅素の積層は継続される。
次いで、炭化硅素塊41から黒鉛部材40を含まないようにフォーカスリング25を切り出して製造し(図4(B))(消耗部品製造ステップ)、プラズマ処理装置10内のサセプタ12に装着する。その後、プラズマ処理装置10においてウエハWのプラズマエッチング処理が所定の回数ほど繰り返される(第1のプラズマ処理ステップ)と、フォーカスリング25は消耗する。上述したように、フォーカスリング25の上面25d及び隅部25cはウエハWによって覆われないため、主として上面25d及び隅部25cが消耗する(図4(C))。
次いで、消耗したフォーカスリング25’(以下、「消耗フォーカスリング25’」という。)をプラズマ処理装置10から取り出し、該消耗フォーカスリング25’の表面を洗浄する(表面洗浄ステップ)。
表面洗浄ステップは、例えば、アルカリ洗浄ステップ、酸洗浄ステップ、純水超音波洗浄ステップからなる。すなわち、消耗フォーカスリング25’は、先ず、苛性ソーダまたNaOH溶液を用いたアルカリ洗浄によって、その表面に付着した酸洗浄によっては除去できない、例えば油分等の不純物が洗浄除去される。次いで、アルカリ洗浄後の消耗フォーカスリング25’に対し、フッ酸(HF)や硫酸(HSO)を用いた酸洗浄が施され、これによってアルカリ洗浄では除去することができない、例えばシリカや金属等の不純物が洗浄除去される。その後、酸洗浄後の消耗フォーカスリング25’は、純水が満たされた水槽に搬入され、超音波を用いた純水洗浄が施される。
なお、表面洗浄ステップにおいては、アルカリ洗浄ステップの前工程として超音波を併用するか又は併用しない純水洗浄ステップを実行してもよく、また、アルカリ洗浄ステップ、酸洗浄ステップ、純水洗浄ステップ及び純水超音波洗浄ステップのうちいずれか1つを実行するか、又は2つ以上のステップを任意に組み合わせることもできる。このとき、洗浄ステップにおける薬液を洗い落とす必要がある場合は、最終洗浄ステップとして、純水超音波洗浄ステップを採用することが好ましい。
さらにまた、消耗フォーカスリング25’の汚れがひどい場合、洗浄効率の向上又は洗浄時間の短縮を図るために、COブラスト、SiCブラスト等のブラスト、プラズマによるスパッタ、または機械研削を組み合わせることもできる。この場合、上記のアルカリ洗浄ステップや、酸洗浄ステップ、純水洗浄ステップ、または純水超音波洗浄ステップの前に、行うことが好ましい。
なお、フォーカスリング25の裏面へ上述したような熱伝導性を向上させるシートを設けた場合には、この表面洗浄ステップで該シートを取り除く必要がある。従って、例えば、アルカリ洗浄、酸洗浄、純水超音波洗浄等とは別途に、シートを取り除くために、消耗フォーカスリング25’を、例えば300〜400℃に加熱してシートを消失させる熱処理、COブラスト、SiCブラスト等のブラスト、プラズマによるスパッタ等を併用することもできる。なお、消耗フォーカスリング25’に対するアルカリ洗浄ステップ、酸洗浄ステップ等を実行する際に該シートを同時に取り除くようにしてもよい。
その後、表面洗浄ステップが終了した消耗フォーカスリング25’の表面へCVDによって炭化硅素を積層して新たな炭化硅素塊42を生成する(図4(D))。このとき、炭化硅素塊42がフォーカスリング25よりも大きくなるまで炭化硅素の積層は継続される(炭化硅素積層ステップ)。
次いで、炭化硅素塊42を加工してフォーカスリング25”を再製造し(図4(E))(消耗部品再製造ステップ)、その後、必要に応じて再製造されたフォーカスリング25”(以下、「再製造フォーカスリング25”」という。)をアニール炉内の高温雰囲気中に置き、アニール炉内へ炭化硅素の原料ガス、例えば、シラン系ガス及び炭素系ガスの混合ガスを供給する。このとき、原料ガスは熱によって分解されて再製造フォーカスリング25”の表面に付着して凝固し、厚さが数ミクロンの炭化硅素薄膜を形成する(図4(F))(表面処理ステップ)。該炭化硅素薄膜は再製造フォーカスリング25”の表面に現れる消耗フォーカスリング25’と、消耗フォーカスリング25’の表面に積層された炭化硅素部との境界線25eを覆い隠す。これにより、境界線25eを目立たなくすることができ、もって、再製造フォーカスリング25”の見栄えをよくすることができる。なお、表面処理ステップは省略してもよい。
次いで、炭化硅素薄膜が表面に形成された再製造フォーカスリング25”をプラズマ処理装置10内のサセプタ12に装着する。その後、プラズマ処理装置10においてウエハWのプラズマエッチング処理が所定の回数ほど繰り返される(第2のプラズマ処理ステップ)。
次いで、消耗フォーカスリング25’の表面洗浄ステップと、CVDによる新たな炭化硅素塊42の生成(図4(D))と、加工によるフォーカスリング25”の再製造(図4(E))と、再製造フォーカスリング25”の表面における炭化硅素薄膜の形成(図4(F))と、再製造フォーカスリング25”を装着した後のプラズマエッチング処理とを順に繰り返す。
図5は、上部電極板31の再利用方法を示す工程図である。
まず、図4の処理と同様に、円板状の黒鉛部材43の周りにCVDによって炭化硅素を積層し、炭化硅素塊44を生成する(図5(A))(炭化硅素塊生成ステップ)。炭化硅素塊44においても上部電極板31を、黒鉛部材43を含むことなく切り出すため、炭化硅素塊44における黒鉛部材43から該炭化硅素塊44の表面までの厚みが上部電極板31の厚みよりも大きくなるまで炭化硅素の積層は継続される。
次いで、炭化硅素塊44から所定の大きさの円板状部材を切り出し、該切り出された円板状部材に多数のガス孔34を加工によって形成して上部電極板31を製造し(図5(B))(消耗部品製造ステップ)、プラズマ処理装置10へシャワーヘッド30の一部として装着する。その後、プラズマ処理装置10においてウエハWのプラズマエッチング処理が所定の回数ほど繰り返される(第1のプラズマ処理ステップ)と、上部電極板31は消耗する。上述したように、上部電極板31の下面31bはアウターリング39よって覆われないため、主として下面31bが消耗する(図5(C))。なお、上部電極板31の上面31dはクーリングプレート32と接するため、プラズマエッチング処理中に消耗することがない。
次いで、消耗した上部電極板31’(以下、「消耗上部電極板31’」という。)をプラズマ処理装置10から取り出し、該消耗上部電極板31’の表面を、図4における処理と同様に、例えばアルカリ、酸、純水等で洗浄し(表面洗浄ステップ)、その後、2つの消耗上部電極板31’を、それぞれの上面31d同士が接するように密着させ、さらに、密着された2つの消耗上部電極板31’の表面へCVDによって炭化硅素を積層して新たな炭化硅素塊45を生成する(図5(D))。このとき、炭化硅素塊45が、上面31d同士が接するように密着された2つの上部電極板31よりも大きくなるまで炭化硅素の積層は継続される(炭化硅素積層ステップ)。
次いで、炭化硅素塊45を加工して2つの上部電極板31”を再製造し(図5(E))(消耗部品再製造ステップ)、その後、再製造された上部電極板31”(以下、「再製造上部電極板31”」という。)の表面に、必要に応じて高温雰囲気及び炭化硅素の原料ガスを用いて厚さが数ミクロンの炭化硅素薄膜を形成する(図5(F))(表面処理ステップ)。該炭化硅素薄膜は再製造上部電極板31”の表面に現れる消耗上部電極板31’と、消耗上部電極板31’の表面に積層された炭化硅素部との境界線31cを覆い隠す。これにより、境界線31cを目立たなくすることができ、もって、再製造上部電極板31”の見栄えをよくすることができる。なお、表面処理ステップは省略してもよい。
次いで、炭化硅素薄膜が表面に形成された再製造上部電極板31”をプラズマ処理装置10へシャワーヘッド30の一部として装着する。その後、プラズマ処理装置10においてウエハWのプラズマエッチング処理が所定の回数ほど繰り返される(第2のプラズマ処理ステップ)。
次いで、消耗上部電極板31’の表面洗浄ステップと、CVDによる新たな炭化硅素塊45の生成(図5(D))と、加工による上部電極板31”の再製造(図5(E))と、再製造上部電極板31”の表面における炭化硅素薄膜の形成(図5(F))と、再製造上部電極板31”を装着した後のプラズマエッチング処理とを順に繰り返す。
図4のフォーカスリング25の再利用方法及び図5の上部電極板31の再利用方法によれば、所定の回数ほど繰り返されるプラズマエッチング処理によって消耗したフォーカスリング25や消耗した上部電極板31の表面へCVDによって炭化硅素が積層されて炭化硅素塊42,45が生成され、炭化硅素塊42,45が加工されてフォーカスリング25”や上部電極板31”が再製造されるので、フォーカスリング25や上部電極板31が消耗しても、これらを廃棄処分することなく再利用することができ、もって、無駄を排除することができる。
上述した再利用方法によれば、消耗フォーカスリング25’又は消耗上部電極板31’の表面洗浄、CVDによる新たな炭化硅素塊42,45の生成、加工によるフォーカスリング25”又は上部電極板31”の再製造、必要に応じて施される再製造フォーカスリング25”又は再製造上部電極板31”の表面における炭化硅素薄膜の形成、再製造フォーカスリング25”又は再製造上部電極板31”を装着した後のプラズマエッチング処理が順に繰り返されるので、フォーカスリング25や上部電極板31を長期間に亘って再利用することができ、もって、確実に無駄を排除することができる。
また、上述した再利用方法によれば、CVDによって炭化硅素が積層される前に、消耗フォーカスリング25’や消耗上部電極板31’の表面が洗浄される。プラズマエッチング処理中にフッ素イオンや酸素イオンに起因して生じ、且つフォーカスリング25等の表面に付着する不純物の厚さはせいぜい1μm程度であるため、上記洗浄によって充分に不純物を表面から除去することができ、もって、その後のCVDによる炭化硅素の積層を確実に行うことができるとともに、再製造フォーカスリング25”や再製造上部電極板31”の品質を維持することができる。また、アルカリ洗浄、酸洗浄等は簡便に行うことができるので、フォーカスリング25”や上部電極板31”の再製造を容易に行うことができる。
上述した再利用方法によれば、フォーカスリング25や上部電極板31が再利用される。フォーカスリング25や上部電極板31は多少消耗しただけで交換が必要である(例えば、上部電極板31は厚さ方向に1〜2mmほど消耗すると交換が必要である。)ため、これらを再利用することによって効果的に無駄を排除することができる。
上述した再利用方法では、消耗フォーカスリング25’や消耗上部電極板31’の表面がアルカリ洗浄、酸洗浄等されたが、プラズマエッチング処理において配線層等を形成する銅(Cu)がエッチングされて銅イオンが飛散し、フォーカスリング25や上部電極板31の表面へ銅又は銅化合物が固着する場合、アルカリ洗浄、酸洗浄等の前に、プラズマを用いて消耗フォーカスリング25’や消耗上部電極板31’の表面をスパッタする。また、処理ガスとしてフッ素含有ガスや酸素含有ガスが用いられた結果、フォーカスリング25等の表層内にフッ素イオンや酸素イオンが打ち込まれて不純物がドープされる場合では、アルカリ洗浄、酸洗浄等の前に、COブラスト、SiCブラスト等のブラストや機械研削により、消耗フォーカスリング25’や消耗上部電極板31’の表面を削る。これにより、表面に固着した銅又は銅化合物や不純物がドープされた表層を物理的に削り取ることができ、もって、再製造フォーカスリング25”や再製造上部電極板31”の品質を確実に維持することができる。
また、高抵抗部材であれば、多少の不純物の含有は許容するので、フォーカスリング25や上部電極板31が高抵抗であれば、表層に不純物がドープされていてもプラズマを用いて表面をスパッタする必要はなく、表面を、アルカリ洗浄、酸洗浄等すればよい。
上述した再利用方法では、フォーカスリング25や上部電極板31が再利用されたが、上述した再利用方法は、CVDによる積層によって生成された炭化硅素塊から切り出される消耗部品であれば適用することができる。例えば、炭化硅素からなる接地電極38や炭化硅素からなるアウターリング39も上述した再利用方法によって再利用することができる。
また、上述した再利用方法では、CVDによる炭化硅素の積層のみで生成された炭化硅素塊からフォーカスリング25等が切り出されたが、例えば、炭化硅素の焼結材や黒鉛(カーボン)を核としてCVDによる炭化硅素の積層によって炭化硅素塊を生成し、該炭化硅素塊から焼結剤や黒鉛を含んだ状態でフォーカスリングを切り出すことも可能である。しかしながら、例えば焼結材はCVDによる積層によって形成された部材よりも目が粗く、陽イオンによるスパッタリングによって容易にパーティクルが飛散するため、プラズマエッチング処理中にフォーカスリング等が消耗して炭化硅素の焼結材が露出した場合、パーティクルが生じる虞がある。
図7は、上記の懸念点を示す図であって、消耗量が、CVDによって積層されたSiC層の厚さを超えたフォーカスリングの断面を示す図である。
図7において、フォーカスリング70は、プラズマエッチング処理時にウエハによって覆われることがない上面70a及び段差の隅部70cが消耗し、その部分におけるCVDによって積層されたSiC層72が消耗し、これによって核である、例えば焼結SiC71が露出している。このように焼結SiC71が露出すると、パーティクルが飛散し、チャンバ内が汚染される。
また、CVDによって積層されたSiC層72の厚さは、例えば100μm程度であり、消耗部品の交換インターバルが短いという問題もある。
一方、核である焼結SiC71の露出によるパーティクルの発生を回避しつつ効率の良いプラズマエッチング処理を行うためには、CVDによって積層されたSiC層72が完全に消耗する直前にプラズマエッチング処理を停止して消耗部品を交換する必要があり、消耗部品の交換時期を正確に管理するという煩雑な操作が必要になる。
したがって、炭化硅素の焼結材や黒鉛を核として生成された炭化硅素塊からフォーカスリングを切り出す場合、該フォーカスリングを、炭化硅素の焼結材や黒鉛を含むことなく切り出す必要がある。すなわち、炭化硅素の焼結材や黒鉛を含むフォーカスリングはプラズマエッチング処理に不適であるため、フォーカスリングをCVDによる炭化硅素の積層部のみから切り出す必要がある。
上述した再利用方法によれば、炭化硅素塊生成ステップは、核の表面にCVDによって炭化珪素を積層して炭化硅素塊を生成するものであり、消耗部品製造ステップは、炭化硅素塊生成ステップによって生成した炭化珪素塊を、核を含まないように加工して消耗部品を製造するようにしたので、消耗によって核である黒鉛部材40が露出することはない。従って、CVD−SiC層を多層積層した消耗部品(再製造フォーカスリング25”)であっても、パーティクルの発生及びこれに基づくチャンバ内汚染を回避することができる。
また、上述した再利用方法によれば、消耗部品が核を含んでいないので、表面の許容消耗量が、例えば5mm程度となり、最大でも100μm程度であった従来部品に比べて許容消耗量が多くなる。従って、消耗部品の交換頻度を少なくすることができる。また、核である黒鉛部材40が露出する直前でプラズマエッチング処理を停止させるという煩雑な制御が不要となり、処理効率の向上を図ることができる。また、核を含まないので、核を含む従来の消耗部品に比べて再製造時の形状の制約がなく、再製造消耗部品の形状を消耗前消耗部品の形状に比べて、その径を縮小させたり、部分的に傾斜角度を変更させたり、面取り部分を変更する等の変更が可能であり、形状に対するフレキシビリティーが向上する。例えば、厚さ4mmのフォーカスリングを再製造処理して、厚さ3mmの再製造フォーカスリングを製造したり、直径380mmのフォーカスリングを再製造処理して直径360mmの再製造フォーカスリングを製造したりすることも可能となる。
なお、従来、核である黒鉛部材40を再利用する場合には、核の表面に残存するSiCを除去しなければならなかったが、上記した再利用方法においては、そのような操作が不要になるという利点もある。
上述した実施の形態においてプラズマエッチング処理が施される基板は半導体デバイス用のウエハに限られず、LCD(Liquid Crystal Display)等を含むFPD(Flat Panel Display)等に用いる各種基板や、フォトマスク、CD基板、プリント基板等であってもよい。
上記した再利用方法においては、プラズマ処理ステップによる消耗部品の消耗、炭化硅素積層ステップによるSiCの積層、及び消耗部品再製造ステップによる消耗部品の再製造を繰り返すので、再製造フォーカスリング25”及び再製造上部電極板31”は、CVDによって積層されたSiC層(以下、「CVD−SiC層」という。)が順次積層された多層構造になる。
そこで、CVD−SiC層が積層された多層構造を有する消耗部品のチャンバ内の構成部材としての的確性を確認した。
すなわち、核としての焼結SiCの表面にCVD−SiC層を多層に形成したバルク材におけるCVD−SiC層の積層1層目と積層2層目との境界部分を含む矩形のテストピース(図6)を切り出し、該テストピースについて、図1のプラズマ処理装置を用いて所定条件でプラズマを照射するプラズマ照射テストを行った後、段差計を用いて積層1層目と積層2層目との間の段差の有無を調査した。
なお、このときのプラズマ照射条件は、チャンバ内圧力を20mTorr(2.66Pa)とし、プラズマ生成用の励起電力を500W、バイアス電力を3000Wとし、処理ガスとして140sccmのCガス、40sccmのCOガス、600sccmのArガスの混合ガスを用いてプラズマを発生させ、プラズマ照射時間を60secとした。また、このとき、サセプタ12における複数の伝熱ガス供給孔28を流通する伝熱ガスとしてのHeガスの圧力を、センター部分で30Torr(3.99kPa)、エッジ部分で10Torr(1.33kPa)とした。
プラズマ照射テスト後、積層1層目と積層2層目との境界部に段差は確認できなかった。これによって、積層1層目と積層2層目との消耗レートが同じであることを確認した。
また、プラズマ照射テスト後のCVD−SiC層の積層1層目と積層2層目について、それぞれSEM写真を撮影してその表面の組織を観察したところ、両者に差異はなく、表面状態が同じであることを確認した。すなわち、CVD−SiC層の積層1層目と積層2層目のプラズマに対する消耗特性に差がないことが分かった。
次に、図4のフォーカスリング25の再利用方法で再製造フォーカスリング25”を再製造し、当該再製造フォーカスリング25”における再生率を求めた。ここで、再生率とは、再製造フォーカスリング25”における全体積に対する再製造時に積層されたCVD−SiC層の体積を百分率で表したものである。
すなわち、消耗前のフォーカスリング25(図4(B))の体積は、例えば147857mmであり、消耗フォーカスリング25’(図4(C))の体積は、例えば102087mmであった。そして、消耗部品再製造ステップによって消耗前のフォーカスリング25と同様の体積となるように再製造して再製造フォーカスリング25”を得たので、再生率Rは、
R=[1−(102087/147857)]×100 = 31.0 (%)
となる。
物理的には、フォーカスリングの再生率Rは0.1%〜90%の間で実現可能である。しかし、実際のプラズマエッチング処理時の生産性等を考慮すれば、再生率Rは15%〜40%程度が好ましく、さらに20%〜35%程度が好ましい。
次に、再製造フォーカスリング25”と消耗前のフォーカスリング25をそれぞれ適用した図1のプラズマ処理装置を用い、任意のサンプルウエハにおけるTEOS膜に対して同一の条件で、それぞれプラズマエッチング処理を実行した場合における処理前後のエッチングレート(E/R)、サンプルウエハの表面に付着した0.1μm以上のパーティクルの数、及びTEOS膜表面の汚染具合について観察し、両フォーカスリングの消耗形態による影響を観察した。
結果を表1に示す。なお、プラズマ処理条件は、上述のテストピースを用いたプラズマ照射テストと同様とした。
Figure 0005595795
表1において、消耗前のフォーカスリング25の消耗(処理)前後におけるE/Rは、それぞれ412.9(μm/min)及び427.9(μm/min)であり、再製造フォーカスリング25”の消耗前後におけるE/Rは、それぞれ414.2(μm/min)及び428.4(μm/min)であり、両者に有意差は見られなかった。また、再製造フォーカスリング25”の消耗前後におけるパーティクル付着数は、共に規格値以内(スペックイン)であり、特に再製造による悪影響は見られなかった。
以上の結果から、上記した再利用方法においては、再製造消耗部品と消耗前消耗部品との間における消耗レート、消耗後の表面状態並びに消耗によるE/R及びチャンバ内雰囲気に与える悪影響はなく、再製造消耗部品をチャンバ内部品として再利用することによる不都合がないことが確認できた。
10 プラズマ処理装置
25 フォーカスリング
31 上部電極板
38 接地電極
39 アウターリング

Claims (10)

  1. 炭化珪素をCVD(Chemical Vapor Deposition)によって積層して炭化硅素塊を生成
    する炭化硅素塊生成ステップと、
    前記炭化硅素塊を加工して所定の形状のプラズマ処理装置用の消耗部品を製造する消耗部品製造ステップと、
    前記製造された消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、
    所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、
    前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、
    前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、
    前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、
    前記表面洗浄ステップでは、アルカリ溶液及び酸をこの順で用いて前記消耗部品の表面を洗浄することを特徴とするプラズマ処理装置用の消耗部品の再利用方法。
  2. 炭化珪素をCVD(Chemical Vapor Deposition)によって積層して炭化硅素塊を生成する炭化硅素塊生成ステップと、
    前記炭化硅素塊を加工して所定の形状のプラズマ処理装置用の消耗部品を製造する消耗部品製造ステップと、
    前記製造された消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、
    所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、
    前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、
    前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、
    前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、
    前記消耗部品再製造ステップ後、且つ前記第2のプラズマ処理ステップの前に、前記再製造された消耗部品を高温雰囲気中に置き、該高温雰囲気へ炭化硅素の原料ガスを供給する表面処理ステップをさらに有することを特徴とするプラズマ処理装置用の消耗部品の再利用方法。
  3. 前記炭化硅素塊生成ステップは、核の表面にCVDによって炭化珪素を積層して炭化硅素塊を生成するものであり、前記消耗部品製造ステップは、前記炭化珪素塊を、前記核を含まないように加工して前記消耗部品を製造することを特徴とする請求項1又2記載のプラズマ処理装置用の消耗部品の再利用方法。
  4. 炭化珪素製の消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、
    所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、
    前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、
    前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、
    前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、
    前記表面洗浄ステップでは、アルカリ溶液及び酸をこの順で用いて前記消耗部品の表面を洗浄することを特徴とするプラズマ処理装置用の消耗部品の再利用方法。
  5. 所定時間に亘る第1のプラズマ処理によって消耗した炭化珪素製の消耗部品の表面を洗浄する表面洗浄ステップと、
    前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、
    再製造された消耗部品を用いて基板に第2のプラズマ処理を施すために、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップとを有し、
    前記表面洗浄ステップでは、アルカリ溶液及び酸をこの順で用いて前記消耗部品の表面を洗浄することを特徴とするプラズマ処理装置用の消耗部品の再利用方法。
  6. 炭化珪素製の消耗部品を用いて基板にプラズマ処理を施す第1のプラズマ処理ステップと、
    所定時間に亘る前記プラズマ処理によって消耗した前記消耗部品の表面を洗浄する表面洗浄ステップと、
    前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、
    前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップと、
    前記再製造された消耗部品を用いて基板にプラズマ処理を施す第2のプラズマ処理ステップとを有し、
    前記消耗部品再製造ステップ後、且つ前記第2のプラズマ処理ステップの前に、前記再製造された消耗部品を高温雰囲気中に置き、該高温雰囲気へ炭化硅素の原料ガスを供給する表面処理ステップをさらに有することを特徴とするプラズマ処理装置用の消耗部品の再利用方法。
  7. 所定時間に亘る第1のプラズマ処理によって消耗した炭化珪素製の消耗部品の表面を洗浄する表面洗浄ステップと、
    前記洗浄された消耗部品の表面へCVDによって炭化硅素を積層する炭化硅素積層ステップと、
    再製造された消耗部品を用いて基板に第2のプラズマ処理を施すために、前記炭化硅素が表面へ積層された消耗部品を加工して前記所定の形状の消耗部品を再製造する消耗部品再製造ステップとを有し、
    前記消耗部品再製造ステップ後、且つ前記第2のプラズマ処理ステップの前に、前記再製造された消耗部品を高温雰囲気中に置き、該高温雰囲気へ炭化硅素の原料ガスを供給する表面処理ステップをさらに有することを特徴とするプラズマ処理装置用の消耗部品の再利用方法。
  8. 前記表面洗浄ステップでは、アルカリ溶液及び酸の少なくと1つを用いて前記消耗部品の表面を洗浄することを特徴とする請求項2、6及び7のいずれか1項に記載のプラズマ処理装置用の消耗部品の再利用方法。
  9. 前記表面洗浄ステップと、前記炭化硅素積層ステップと、前記消耗部品再製造ステップとをこの順で繰り返すことを特徴とする請求項1乃至8のいずれか1項に記載のプラズマ処理装置用の消耗部品の再利用方法。
  10. 前記表面洗浄ステップ前に、COブラスト、SiCブラスト等のブラスト、プラズマによるスパッタ及び機械研削の少なくとも1つを用いて前記消耗部品へ処理を施すことを特徴とする請求項1乃至9のいずれか1項に記載のプラズマ処理装置用の消耗部品の再利用方法。
JP2010128837A 2009-06-12 2010-06-04 プラズマ処理装置用の消耗部品の再利用方法 Active JP5595795B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010128837A JP5595795B2 (ja) 2009-06-12 2010-06-04 プラズマ処理装置用の消耗部品の再利用方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009141317 2009-06-12
JP2009141317 2009-06-12
JP2010128837A JP5595795B2 (ja) 2009-06-12 2010-06-04 プラズマ処理装置用の消耗部品の再利用方法

Publications (2)

Publication Number Publication Date
JP2011018894A JP2011018894A (ja) 2011-01-27
JP5595795B2 true JP5595795B2 (ja) 2014-09-24

Family

ID=43305523

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010128837A Active JP5595795B2 (ja) 2009-06-12 2010-06-04 プラズマ処理装置用の消耗部品の再利用方法

Country Status (5)

Country Link
US (3) US8221579B2 (ja)
JP (1) JP5595795B2 (ja)
KR (1) KR101814201B1 (ja)
CN (1) CN101920256B (ja)
TW (1) TWI587748B (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
JP2012049220A (ja) * 2010-08-25 2012-03-08 Mitsui Eng & Shipbuild Co Ltd 耐プラズマ部材およびその再生方法
JP5710318B2 (ja) * 2011-03-03 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
CN103177920B (zh) * 2011-12-26 2016-03-16 中芯国际集成电路制造(上海)有限公司 带有矩形电感耦合线圈的刻蚀装置
US9034199B2 (en) * 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103628079A (zh) * 2012-08-24 2014-03-12 宁波江丰电子材料有限公司 钽聚焦环的清洗方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US10679828B2 (en) * 2014-12-26 2020-06-09 A-Sat Corporation Method of measuring gas introducing hole provided in electrode for plasma etching device, electrode, electrode regeneration method, regenerated electrode, plasma etching device, and gas introducing hole state distribution diagram and display method for same
US10041868B2 (en) * 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10161041B2 (en) 2015-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal chemical vapor deposition system and operating method thereof
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR101628689B1 (ko) * 2016-01-29 2016-06-09 하나머티리얼즈(주) 플라즈마 처리 장치용 탄화규소 부품 및 이의 제조방법
KR101671671B1 (ko) 2016-05-25 2016-11-01 주식회사 티씨케이 반도체 제조용 부품의 재생방법과 그 재생장치 및 재생부품
KR101914289B1 (ko) * 2016-08-18 2018-11-01 주식회사 티씨케이 투과도가 다른 복수 개의 층을 갖는 SiC 반도체 제조용 부품 및 그 제조방법
WO2018034531A1 (ko) * 2016-08-18 2018-02-22 주식회사 티씨케이 투과도가 다른 복수 개의 층을 갖는 sic 반도체 제조용 부품 및 그 제조방법
US10672594B2 (en) 2016-11-01 2020-06-02 Ontos Equipment Systems, Inc. System and method for plasma head thermal control
US10662520B2 (en) 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
JP6420393B2 (ja) * 2017-03-30 2018-11-07 住友化学株式会社 ターゲット材をリサイクルするための方法、リサイクル鋳塊の製造方法およびリサイクル鋳塊
JP6353109B1 (ja) * 2017-03-30 2018-07-04 住友化学株式会社 ターゲット材を洗浄するための方法、ターゲット材の製造方法、リサイクル鋳塊の製造方法およびリサイクル鋳塊
KR101974421B1 (ko) * 2017-07-18 2019-05-03 세메스 주식회사 에지 링의 제조 방법 및 에지 링 재생 방법
CN109671607B (zh) * 2017-10-17 2021-12-17 北京北方华创微电子装备有限公司 工件的加工方法和工艺腔室
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
JP7138474B2 (ja) * 2018-05-15 2022-09-16 東京エレクトロン株式会社 部品の修復方法及び基板処理システム
JP6794405B2 (ja) * 2018-06-27 2020-12-02 株式会社フェローテックマテリアルテクノロジーズ SiC部材およびその製造方法
KR102159224B1 (ko) * 2018-07-17 2020-09-23 주식회사 마스터 포커스 링, 그 제조 방법, 및 기판 처리 장치
KR102017138B1 (ko) 2018-09-20 2019-10-21 주식회사 와이컴 탄화규소 제품의 재생 방법 및 재생된 탄화규소 제품
JP7154105B2 (ja) * 2018-10-25 2022-10-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
KR102305539B1 (ko) * 2019-04-16 2021-09-27 주식회사 티씨케이 SiC 엣지 링
KR102124738B1 (ko) 2019-06-13 2020-06-18 가부시키가이샤 아드맵 성막 구조체의 재생 방법 및 재생 성막 구조체
JP6598132B1 (ja) * 2019-06-13 2019-10-30 株式会社アドマップ 成膜構造体の再生方法および再生成膜構造体
KR102475198B1 (ko) 2020-11-17 2022-12-09 주식회사 와이컴 고저항 탄화규소 부품 형성방법 및 고저항 탄화규소 부품

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU5180496A (en) * 1995-03-01 1996-09-18 Saint-Gobain/Norton Industrial Ceramics Corporation Novel silicon carbide dummy wafer
DE19603323A1 (de) * 1996-01-30 1997-08-07 Siemens Ag Verfahren und Vorrichtung zum Herstellen von SiC durch CVD mit verbesserter Gasausnutzung
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP2000160343A (ja) * 1998-08-27 2000-06-13 Toyo Tanso Kk 耐食性CVD―SiC及び耐食性CVD―SiC被覆材
JP2000150471A (ja) * 1998-11-09 2000-05-30 Sony Corp エッチング装置及びこれを用いた半導体装置の製造方法
JP4786782B2 (ja) * 1999-08-02 2011-10-05 東京エレクトロン株式会社 耐食性に優れたCVD−SiCおよびそれを用いた耐食性部材、ならびに処理装置
JP2001047077A (ja) * 1999-08-16 2001-02-20 Matsushita Electric Ind Co Ltd 炭化生物ろ床及びその製造方法並びにそれを使用した生物浄化処理装置
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6296716B1 (en) * 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
JP4447131B2 (ja) * 2000-07-26 2010-04-07 東洋炭素株式会社 炭化ケイ素被覆黒鉛部材の再生方法及びそれによる炭化ケイ素被覆黒鉛部材
JP3982678B2 (ja) * 2002-02-27 2007-09-26 東京エレクトロン株式会社 プラズマ処理装置
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP4547182B2 (ja) 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP5082246B2 (ja) * 2006-01-20 2012-11-28 東京エレクトロン株式会社 プラズマ発生用の電極、プラズマ処理装置及びプラズマ発生用の電極の製造方法
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
TW200839829A (en) * 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof
KR101119797B1 (ko) * 2007-06-01 2012-03-22 가부시키가이샤 아드맵 플라즈마 처리 장치용 전극의 제조 방법 및 재생 방법
JP5179823B2 (ja) * 2007-09-28 2013-04-10 東京エレクトロン株式会社 気化器及び成膜装置
US20090142247A1 (en) * 2007-12-03 2009-06-04 Applied Materials, Inc. Chemical treatment to reduce machining-induced sub-surface damage in semiconductor processing components comprising silicon carbide
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface

Also Published As

Publication number Publication date
JP2011018894A (ja) 2011-01-27
US20130284375A1 (en) 2013-10-31
TWI587748B (zh) 2017-06-11
KR20100133910A (ko) 2010-12-22
TW201130390A (en) 2011-09-01
CN101920256A (zh) 2010-12-22
CN101920256B (zh) 2012-12-05
US8221579B2 (en) 2012-07-17
US20120258258A1 (en) 2012-10-11
KR101814201B1 (ko) 2018-01-02
US20100314356A1 (en) 2010-12-16
US8475622B2 (en) 2013-07-02

Similar Documents

Publication Publication Date Title
JP5595795B2 (ja) プラズマ処理装置用の消耗部品の再利用方法
JP5719599B2 (ja) 基板処理装置
JP5690596B2 (ja) フォーカスリング及び該フォーカスリングを備える基板処理装置
JP5364514B2 (ja) チャンバ内クリーニング方法
US8679252B2 (en) Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
JP5442403B2 (ja) 基板処理装置及びそのクリーニング方法並びにプログラムを記録した記録媒体
US8982529B2 (en) Substrate mounting and demounting method
JP2008251742A (ja) 基板処理装置及びフォーカスリングを載置する基板載置台
JP2010199475A (ja) プラズマ処理装置のクリーニング方法及び記憶媒体
JP6239296B2 (ja) プラズマ処理装置のステージ製造方法
JP2011071361A (ja) プラズマエッチング装置用シリコン製部品の再生方法及びプラズマエッチング装置用シリコン製部品
TW201123996A (en) Surface processing method
JP5179219B2 (ja) 付着物除去方法及び基板処理方法
JP2011040461A (ja) バッフル板及びプラズマ処理装置
JP5615576B2 (ja) 基板処理装置用の多孔板の製造方法及び多孔板
JP2012109472A (ja) プラズマ処理方法
JP2024058822A (ja) 陰極部材、陰極、高速原子ビーム源および接合基板の製造方法
JP2003224113A (ja) プラズマエッチング装置のガス吹き出し板

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130603

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20130603

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140603

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140610

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140708

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140806

R150 Certificate of patent or registration of utility model

Ref document number: 5595795

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250