JP6530216B2 - 半導体集積回路の試験回路及びこれを用いた試験方法 - Google Patents

半導体集積回路の試験回路及びこれを用いた試験方法 Download PDF

Info

Publication number
JP6530216B2
JP6530216B2 JP2015065953A JP2015065953A JP6530216B2 JP 6530216 B2 JP6530216 B2 JP 6530216B2 JP 2015065953 A JP2015065953 A JP 2015065953A JP 2015065953 A JP2015065953 A JP 2015065953A JP 6530216 B2 JP6530216 B2 JP 6530216B2
Authority
JP
Japan
Prior art keywords
circuit
sequential
test
predetermined
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015065953A
Other languages
English (en)
Other versions
JP2016186428A (ja
Inventor
博幸 中村
博幸 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MegaChips Corp
Original Assignee
MegaChips Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MegaChips Corp filed Critical MegaChips Corp
Priority to JP2015065953A priority Critical patent/JP6530216B2/ja
Priority to US15/073,979 priority patent/US10083759B2/en
Priority to CN201610176904.9A priority patent/CN106019119B/zh
Publication of JP2016186428A publication Critical patent/JP2016186428A/ja
Application granted granted Critical
Publication of JP6530216B2 publication Critical patent/JP6530216B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2882Testing timing characteristics
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/023Detection or location of defective auxiliary circuits, e.g. defective refresh counters in clock generator or timing circuitry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0401Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals in embedded memories

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Description

本発明は、半導体集積回路の試験回路及びこれを用いた試験方法に関し、特に、半導体集積回路の遅延故障を検出するための試験回路及びこれを用いた試験方法に関する。
半導体集積回路は、典型的には、ROMやフラッシュメモリ、SRAM、DRAM等の記憶素子からなるメモリ回路と、フリップフロップ等の順序回路及び組合せ回路からなるロジック回路とによって構成される。かかる半導体集積回路の品質を維持、向上するためには、ロジック回路やメモリ回路における規格外の遅延を遅延故障として検出することが重要になる。例えば、該ロジック回路の遅延故障を検出するための試験として、スキャンテストが知られ、また、該メモリ回路の遅延故障を検出するための試験として、メモリBIST(Built In Self Test)が知られている。
スキャンテストでは、半導体集積回路のロジック回路内に予め設けられているスキャンパスが用いられる。すなわち、スキャンテストでは、試験装置が、スキャンパスを介して出力制御回路内の順序回路を所定の状態に設定する。所定の状態は、組合せ回路の前段に配置された順序回路の状態が、後段に配置された順序回路に伝達された場合に、該順序回路の状態を遷移させるように設定される。試験装置は、順序回路を所定の状態に設定した後に、組合せ回路を実動作の周波数で動作させ、組合せ回路を介して組合せ回路の前段に配置された順序回路の状態を後段に配置された順序回路に伝達させ、さらにスキャンパスを介して後段の順序回路の状態を取り出し、該取り出した順序回路の状態が所定の期待値と一致するか否かを判断する。これにより、試験装置は、ロジック回路の遅延故障を検出する。
一方、メモリBISTでは、半導体集積回路内に予め設けられている専用回路が用いられる。すなわち、メモリBISTでは、試験装置は、専用回路を用いて、実動作速度で、テストデータをメモリ回路に書き込んだ後、該書き込んだテストデータを読み出し、該読み出したテストデータが所定の期待値と一致するか否かを判断することにより、メモリ回路の遅延故障を検出する。
しかしながら、上述したような、ロジック回路又はメモリ回路のいずれかの遅延故障の検出に特化した試験では、ロジック回路からメモリ回路に至る経路や、メモリ回路からロジック回路に至る経路の遅延故障の検出をすることができなかった。すなわち、ロジック回路からメモリ回路に至る経路や、メモリ回路からロジック回路に至る経路の遅延故障を検出するためには、試験の間、これら2つの回路を同時に動作させる必要があるが、かかる試験は、特化したいずれか一方の回路のみを動作させるように設計されており、2つの回路を同時に動作させることを何ら考慮していなかった。
このため、例えば下記特許文献1に開示されるように、ロジック回路からメモリ回路に至る経路の遅延故障を検出するための半導体装置が提案されている。すなわち、下記特許文献1に開示される半導体装置は、メモリマクロの前段に配置された入力側のテスト専用論理回路からの出力をスキャンフリップフロップに一時的に取り込み、該スキャンフリップフロップの出力をメモリマクロに出力することによって、該スキャンフリップフロップからメモリマクロに至るまでの経路の遅延故障の検出を可能にしている。
特開2010−197149号公報
しかしながら、上述したような特許文献1に開示される半導体装置は、メモリマクロの前段の入力側のテスト専用論理回路の出力をスキャンフリップフロップに一時的に取り込み、該取り込んだデータをスキャンフリップフロップからメモリマクロに出力するため、メモリマクロの前段の入力側ユーザー論理回路における最後段の組合せ回路からメモリマクロに至る経路の遅延故障については依然として検出することができず、半導体集積回路におけるロジック回路とメモリ回路との接続部分の遅延故障の検出としては十分なものではなかった。
そこで、本発明は、半導体集積回路の前段のロジック回路における最後段の組合せ回路からメモリ回路に至る経路と、メモリ回路から後段の組合せ回路に至る経路の遅延故障を検出することができる試験回路を提供することを目的とする。
上記課題を解決するための本発明は、以下の技術的特徴乃至は発明特定事項を含んで構成される。
すなわち、ある観点に従う本発明は、複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備える半導体集積回路の遅延故障を検出するために前記半導体集積回路に設けられた試験回路であって、前記試験回路は、前記半導体集積回路に接続される試験装置の制御の下、前記複数の順序回路のうちの、前記第1の組合せ回路を介して前記メモリ回路のアドレス端子に至る第1の順序回路の出力に対して所定の処理を行った結果を前記第1の順序回路に入力し、前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理を行った結果に従って、前記第1の組合せ回路を介して所定のデータを前記メモリ回路に記憶させ、前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを前記半導体集積回路の遅延故障の結果として読み出すように構成される、試験回路である。
これにより、試験回路は、メモリ回路に対して所定のデータを記憶させた交番タイミングの後に、所定のクロックを奇数回交番させることによって、メモリ回路に至る第1の順序回路の出力に対して所定の処理を行う。続いて、試験回路は、奇数回交番させた後の交番タイミングでメモリ回路から所定のデータを読み出すことによって、メモリ回路に至る第1の順序回路の出力の論理が、メモリ回路に対して所定のデータを記憶させた際の論理と一致するため、第1の出力制御回路から第1の組合せ回路を介して、メモリ回路に至る経路の遅延故障を検出することができるようになる。
ここで、前記複数の順序回路は、直列に接続されており、前記試験回路は、前記所定の処理の結果を前記第1の順序回路に入力する前に、最前段の前記順序回路に所定のテストパターンを入力し、前記所定のクロックに基づいて、前記最前段の順序回路から最後段の前記順序回路まで前記テストパターンを伝搬させても良い。
これにより、試験回路は、所定の処理の結果を第1の順序回路に入力する前に、複数の順序回路の状態のそれぞれを所定のテストパターンに従う状態に設定することができるようになる。
さらに、前記試験回路は、前記最前段の順序回路に前記所定のテストパーンを入力する前に、前記所定のクロックの周波数を第1の周波数に設定し、前記最前段の順序回路から前記最後段の順序回路まで前記所定のテストパターンを伝搬させた後に、前記所定のクロックの周波数を前記第1の周波数よりも高い第2の周波数に設定しても良い。
これにより、試験回路は、所定のテストパターンを入力する際の周波数である第1の周波数よりも高い周波数である第2の周波数を有する所定のクロックによって、メモリ回路に所定のデータを記憶させ、所定のクロックを奇数回交番させ、該メモリ回路から所定のデータを読み出すことができるようになる。
また、前記半導体集積回路は、前記メモリ回路の後段に接続される第2の組合せ回路と、前記第2の組合せ回路の後段に接続され、前記複数の順序回路を含む第2の出力制御回路とをさらに備え、前記試験回路は、前記所定のクロックに基づいて、前記メモリ回路から読み出したデータを前記第2の組合せ回路を介して前記第2の出力制御回路の順序回路によってラッチし、前記所定のクロックに基づいて、前記第2の出力制御回路の順序回路がラッチしたデータを前記最後段の順序回路まで伝搬させても良い。
これにより、試験回路は、メモリ回路から読み出したデータを第2の出力制御回路の順序回路によってラッチして、該ラッチしたデータを第2の出力制御回路の順序回路を介して外部に出力することができるようになる。
また、前記所定の処理は、論理否定であっても良い。
さらに、別の観点に従う本発明は、複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備える半導体集積回路の遅延故障を、試験装置の制御の下、検出するための試験方法であって、前記複数の順序回路のうちの第1の順序回路の出力に対する所定の処理の結果を前記第1の順序回路に入力することと、前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理の結果に従って、前記第1の組合せ回路を介して前記メモリ回路に所定のデータを記憶させることと、前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを読み出すことと、前記メモリ回路から読み出したデータが期待値を示す第1の状態であるか否かを判断し、該判断の結果に基づいて遅延故障の検出を行うことと、を含む、試験方法である。
これにより、試験装置は、メモリ回路に対して所定のデータを記憶させた交番タイミングの後に、所定のクロックを奇数回交番させることによって、メモリ回路に至る第1の順序回路の出力の論理に対して所定の処理を行う。続いて、試験回路は、奇数回交番させた後の交番タイミングでメモリ回路から所定のデータを読み出すことによって、メモリ回路に至る第1の順序回路の出力の論理が、メモリ回路に対して所定のデータを記憶させた際の論理と一致するため、第1の出力制御回路から第1の組合せ回路を介して、メモリ回路に至る経路の遅延故障を検出することができるようになる。
さらに、別の観点に従う本発明は、半導体集積回路の遅延故障を検出するためのプログラムであって、前記半導体集積回路は、複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備え、前記プログラムは、試験装置路の制御装置に、前記複数の順序回路のうちの第1の順序回路の出力に対する所定の処理の結果を前記第1の順序回路に入力させる機能と、前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理の結果に従って、前記第1の組合せ回路を介して前記メモリ回路に所定のデータを記憶させる機能と、前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを読み出す機能と、前記メモリ回路から読み出したデータが期待値を示す第1の状態であるか否かを判断し、該判断の結果に基づいて遅延故障の検出を行う機能と、を実現させるプログラムである。
これにより、試験装置は、メモリ回路に対して所定のデータを記憶させた交番タイミングの後に、所定のクロックを奇数回交番させることによって、メモリ回路に至る第1の順序回路の出力に対して所定の処理を行う。続いて、試験装置は、奇数回交番させた後の交番タイミングでメモリ回路から所定のデータを読み出すことによって、メモリ回路に至る第1の順序回路の出力の論理が、メモリ回路に対して所定のデータを記憶させた際の論理と一致するため、第1の出力制御回路から第1の組合せ回路を介して、メモリ回路に至る経路の遅延故障を検出することができるようになる。
さらに、別の観点に従う本発明は、半導体集積回路の遅延故障を検出するためのプログラムを記録した記録媒体であって、前記半導体集積回路は、複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備え、前記プログラムは、試験装置の制御装置に、前記複数の順序回路のうちの第1の順序回路の出力に対する所定の処理の結果を前記第1の順序回路に入力させる機能と、前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理の結果に従って、前記第1の組合せ回路を介して前記メモリ回路に所定のデータを記憶させる機能と、前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを読み出す機能と、前記メモリ回路から読み出したデータが期待値を示す第1の状態であるか否かを判断し、該判断の結果に基づいて遅延故障の検出を行う機能とを実現させる、記録媒体である。
これにより、試験装置は、メモリ回路に対して所定のデータを記憶させた交番タイミングの後に、所定のクロックを奇数回交番させることによって、メモリ回路に至る第1の順序回路の出力に対して所定の処理を行う。続いて、試験装置は、奇数回交番させた後の交番タイミングでメモリ回路から所定のデータを読み出すことによって、メモリ回路に至る第1の順序回路の出力の論理が、メモリ回路に対して所定のデータを記憶させた際の論理と一致するため、第1の出力制御回路から第1の組合せ回路を介して、メモリ回路に至る経路の遅延故障を検出することができるようになる。
本発明によれば、試験回路は、前段のロジック回路における最後段の組合せ回路からメモリ回路に至る経路と、メモリ回路から後段の組合せ回路に至る経路の遅延故障を検出することができるようになる。
本発明の他の技術的特徴、目的、及び作用効果乃至は利点は、添付した図面を参照して説明される以下の実施形態により明らかにされる。
本発明の一実施形態に係る半導体試験システムの概略構成の一例を示す図である。 本発明の一実施形態に係る半導体集積回路の構成の一例を示す図である。 本発明の一実施形態に係る半導体集積回路のクロック生成回路の構成の一例を示す図である。 本発明の一実施形態に係る半導体集積回路の出力制御回路の構成の一例を示す図である。 本発明の一実施形態に係る半導体集積回路の出力制御回路の構成の一例を示す図である。 本発明の一実施形態に係る半導体試験装置が半導体集積回路の遅延故障を検出するための試験を行う動作を示すフローチャートである。 本発明の一実施形態に係る半導体集積回路の各種の信号の遷移を示すタイミングチャートである。
次に、本発明の実施の形態について、図面を参照しつつ説明する。
図1は、本発明の一実施形態に係る半導体試験システムの概略構成の一例を示す図である。同図に示すように、本実施形態に係る半導体試験システム1は、例えば、半導体集積回路10と、半導体試験装置20とを含んで構成される。
試験対象となる半導体集積回路10は、例えばウェハ上に形成された複数のチップ(すなわち、ASIC:application specific integrated circuit)のうちの一つや、ダイカットされたチップ等であるが、これに限られない。半導体集積回路10は、例えば図示しない所定の載置台に載置され、半導体試験装置20の制御の下で動作する。半導体集積回路10は、半導体試験装置20から入力されるシステムクロックSCLKに基づいて、半導体試験装置20から入力される入力信号IN(1)乃至IN(n)に対して処理を行い、該処理の結果を出力信号OUT(1)乃至OUT(n)として外部に出力する。また、半導体集積回路10は、半導体試験装置20から入力される各種のモード切替信号に従った動作モードに切り替わる。モード切替信号として、例えば、テストモードの有効/無効の切り替えを行うテスト信号TEST、スキャンモードの有効/無効の切り替えを行うスキャン制御信号SCCNT、及び実動作試験モードの有効/無効の切り替えを行う実動作信号ATSPDがある。
半導体集積回路10は、半導体試験装置20によってテストモードが有効に切り替えられると、メモリ回路の動作を有効化させる。なお、テストモードが無効である場合、メモリ回路の動作の有効化は、入力信号IN(1)乃至IN(n)に従って決定される。また、半導体集積回路10は、半導体試験装置20によってスキャンモードが有効に切り替えられると、スキャン入力端子sciから、順序回路(例えば、フリップフロップ)を直列に接続する経路を介して、スキャン出力端子scoに至るスキャンパスを構築する。半導体集積回路10は、スキャンモードが有効である場合、システムクロックSCLKに基づいて、順序回路の状態をスキャン入力端子sciに入力されるスキャン入力信号SCINに従うデータに設定するとともに、該順序回路の状態をスキャン出力端子scoからスキャン出力信号SCOUTとして半導体試験装置20に出力する。また、半導体集積回路10は、半導体試験装置20によって実動作試験モードが有効に切り替わると、メモリ回路とその前後段に接続される組合せ回路及び順序回路の遅延故障を検出できるように、内部の回路を構築する。
半導体試験装置20は、例えば、LSIテスターや評価ボード等である。半導体集積回路10の動作を制御し、半導体集積回路10の遅延故障を検出するための試験を実行する。半導体試験装置20は、例えば、インターフェース装置21と、制御装置22と、コンパレータ23と、記憶装置24とを含んで構成される。
インターフェース装置21は、例えば、半導体集積回路10を載置して、制御装置22と電気的に接続するための機構を含む。制御装置22から出力される各種の信号は、インターフェース装置21を介して、半導体集積回路10に出力され、また、半導体集積回路10から出力されるスキャン出力信号SCOUTはコンパレータ23に出力される。
制御装置22は、既知のコンピューティングデバイスによって構成され得るもので、例えば、プロセッサモジュール221と、プロセッサモジュール221の一次記憶装置として供されるメモリモジュール222と、プロセッサモジュール221を含む各種コンポーネントとのデータのやり取りを制御するチップセット223とを含んで構成される。制御装置22は、記憶装置24から読み出されたテストプログラムに従って、プロセッサモジュール221の制御の下、半導体集積回路10の動作を決定し、該動作の制御を行う。具体的には、制御装置22は、システムクロックSCLKと、入力信号IN(1)乃至IN(n)と、テスト信号TESTと、スキャン制御信号SCCNTと、スキャン入力信号SCINと、実動作信号ATSPDとをインターフェース装置21を介して半導体集積回路10に出力し、これに応答して半導体集積回路10から出力されるスキャン出力信号SCOUTの状態の期待値を示す評価信号VALをコンパレータ23に出力し、コンパレータ23から出力される結果信号RESULTに示される試験の結果を記憶装置24に出力する。
コンパレータ23は、例えば、制御装置22から出力される評価信号VALに従って、半導体集積回路10からインターフェース装置21を介して出力されるスキャン出力信号SCOUTの状態が制御装置22から出力される評価信号VALに示される期待値と一致するか否かを判断し、該判断の結果を結果信号RESULTとして制御装置22に出力する。
記憶装置24は、例えば、制御装置22が半導体集積回路10に対して実施する遅延故障の試験の制御内容を示すテストプログラム及びテストデータを記憶する。また、記憶装置24は、例えば、制御装置22から出力される半導体集積回路10に対する遅延故障を検出するための試験の結果を記憶する。
以上のように構成される半導体試験システム1は、半導体試験装置20の制御の下、半導体集積回路10に対する試験を行う。すなわち、半導体試験システム1は、半導体集積回路10のテストモード及びスキャンモードを有効化し、半導体集積回路10の順序回路を所定の状態に設定する。次に、半導体試験システム1は、半導体集積回路10のスキャンモードを無効化する。半導体試験システム1は、半導体集積回路10を実動作で動作させることによって遅延故障の検出を行った後に、再びスキャンモードを有効化する。そして、半導体試験システム1は、順序回路の状態が期待値と一致するか否かを判断し、該判断の結果を記憶装置24に記憶する。これにより、半導体試験システム1は、半導体集積回路10に対して、遅延故障を検出するための試験を実行することができる。
図2は、本発明の一実施形態に係る半導体集積回路の構成の一例を示す図である。同図に示すように、本実施形態に係る半導体集積回路10は、クロック生成回路11と、出力制御回路12(1)及び12(2)並びに14と、組合せ回路13(1)乃至13(3)と、選択回路15と、メモリ回路16とを含んで構成される。
クロック生成回路11は、半導体試験装置20から出力されるスキャン制御信号SCCNTに従って、半導体試験装置20から出力されるシステムクロックSCLK又はシステムクロックSCLKに基づいて内部で生成したクロックのいずれかを選択し、該選択の結果を出力する。具体的には、クロック生成回路11は、スキャン制御信号SCCNTが例えば“有効”を示す場合、システムクロックSCLKを選択する一方で、スキャン制御信号SCCNTが例えば“無効”を示す場合、システムクロックSCLKに基づいて内部で生成したクロックを選択し、該選択の結果を出力制御回路12(1)及び12(2)並びに14とメモリ回路16とに出力する。また、クロック生成回路11は、スキャン制御信号SCCNTが“無効”を示し、かつ、半導体試験装置20から出力されるテスト信号TESTが“有効”を示す場合、内部で生成したクロックを所定の交番回数だけ出力した後に、クロックの出力を停止する。
出力制御回路12は、フリップフロップ等の複数の順序回路を含む。出力制御回路12は、スキャン制御端子scに入力されるスキャン制御信号SCCNTが例えば“無効”を示す場合、クロック端子ckに入力されるクロックCLKに基づいて、前段の回路から入力端子i1乃至inに入力される信号を各順序回路によってラッチするとともに、該ラッチした信号を出力端子o1乃至onから後段の回路に出力する。一方、出力制御回路12は、例えばスキャン制御信号SCCNTが“有効”を示す場合、各順序回路を介してシフト入力端子sfiに入力される信号をシフト出力端子sfoから出力するシフトレジスタとして機能する。出力制御回路12は、シフトレジスタとして機能する場合、クロックCLKに基づいて、各順序回路の状態を対応する後段の順序回路に出力する。なお、出力制御回路12は、後述する出力制御回路14とで試験回路100を構成し得る。
組合せ回路13は、順序回路以外の構成要素(例えば、論理ゲートやスイッチング回路、抵抗素子、容量素子等)を含む。組合せ回路13は、入力される信号に基づいて、処理を行い、該処理の結果を後段の回路に出力する。本例では、組合せ回路13(2)は、論理回路131を含む。論理回路131は、入力される信号に対して処理を実行し、該処理の結果をアドレス信号ADDRESSとしてメモリ回路16のアドレス端子ADDに出力する。
出力制御回路14は、上述した出力制御回路12に対して、実動作試験モードに関係する動作が追加されている。出力制御回路14は、実動作端子actに入力される実動作信号ATSPDが“有効”を示す場合、一部の順序回路の入力信号に該順序回路の出力の所定の処理を行った結果、例えば論理否定を選択する。一方、出力制御回路14は、実動作信号ATSPDが“無効”を示す場合、該一部の順序回路の入力信号に前段の組合せ回路13(1)から出力される信号を選択する。
選択回路15は、例えばマルチプレクサであるが、これに限られず、例えばデータセレクタや信号切替器等であっても良い。選択回路15は、テスト信号TESTに基づいて、スキャン制御信号SCCNTか組合せ回路13(2)から出力される信号のいずれかを選択し、該選択の結果をチップ選択信号CSELとしてメモリ回路16に出力する。具体的には、選択回路15は、選択端子SLに入力されるテスト信号TESTが例えば“有効”を示す場合、スキャン制御信号SCCNTを選択する一方で、テスト信号TESTが例えば“無効”を示す場合、組合せ回路13(2)から出力される信号を選択し、該選択の結果をチップ選択信号CSELとしてメモリ回路16のチップ選択端子CSに出力する。
メモリ回路16は、例えば、SRAMやDRAM、フラッシュメモリ、ROM等の記憶素子であり、入力される信号に基づいて、データの記憶及び読み出しの動作を実行する。具体的には、メモリ回路16は、リードライト端子RWに入力されるリードライト信号RDWTがライト処理を示す場合、アドレス端子ADDに入力されるアドレス信号ADDRESSが示すアドレスにデータ入力端子DIに入力されるデータ信号DATAが示すデータを記憶する。一方、メモリ回路16は、リードライト信号RDWTがリード処理を示す場合、アドレス信号ADDRESSが示すアドレスに記憶されているデータを読み出して、該読み出したデータをデータ出力端子DOから組合せ回路13(3)に出力する。また、メモリ回路16は、チップ選択信号CSELの状態が“0”である場合、自身に入力される各種の信号に従う動作を有効に切り替える一方で、チップ選択信号CSELの状態が“1”である場合、該動作を無効に切り替える。
ここで、スキャンモードが有効である場合の半導体集積回路10の動作について説明する。スキャンモードが有効である場合(すなわち、スキャン制御信号SCCNTが“1”を示す場合)、クロック生成回路11は、半導体試験装置20から出力されるシステムクロックSCLKをクロックCLKとして選択し出力する。出力制御回路12(1)は、クロックCLKに基づいて、シフト入力端子sfiに入力されるスキャン入力信号SCINをラッチするとともに、該ラッチした信号をシフト出力端子sfoから出力制御回路14のシフト入力端子sfiに出力する。出力制御回路14は、クロックCLKに基づいて、出力制御回路12(1)から出力される信号をラッチし、該ラッチした信号をシフト出力端子sfoから出力制御回路12(2)のシフト入力端子sfiに出力する。出力制御回路12(2)は、クロックCLKに基づいて、出力制御回路14から出力される信号をラッチし、該ラッチした信号をスキャン出力信号SCOUTとして、シフト出力端子sfoから半導体試験装置20に出力する。上述したように、半導体集積回路10は、スキャンモードが有効である場合、出力制御回路12(1)及び12(2)並びに14の各順序回路の状態をスキャン入力信号SCINに従う所定の状態に設定するとともに、該順序回路の状態をスキャン出力信号SCOUTとして半導体試験装置20に出力する。
次に、スキャンモードが無効である場合の半導体集積回路10の動作について説明する。なお、テストモードは有効であるものとする。スキャンモードが無効である場合(すなわち、スキャン制御信号SCCNTが“0”を示す場合)、クロック生成回路11は、システムクロックSCLKより高い周波数を有するクロックを内部で生成し、該生成したクロックをクロックCLKとして選択し出力する。出力制御回路12(1)は、クロックCLKに基づいて、入力信号IN(1)乃至IN(n)をラッチし、該ラッチした信号をそれぞれ出力端子o1乃至onから組合せ回路13(1)に出力する。組合せ回路13(1)は、出力制御回路12(1)から出力される各種の信号に従う処理を行い、該処理の結果を出力制御回路14の入力端子i1乃至inに出力する。
出力制御回路14は、組合せ回路13(1)から出力される各種の信号をラッチし、該ラッチした信号をそれぞれ出力端子o1乃至onから組合せ回路13(2)に出力する。ここで、出力制御回路14は、実動作試験モードが有効である場合、出力が組合せ回路13(2)の論理回路131を介してメモリ回路16のアドレス端子ADDに至る順序回路に関して、該順序回路の入力信号として、組合せ回路13(1)から出力される信号ではなく該順序回路の出力信号を論理否定した結果を選択し、該選択した結果を論理回路131に出力する。組合せ回路13(2)は、出力制御回路14から出力される各種の信号に従う処理を行い、該処理の結果をデータ信号DATA、アドレス信号ADDRESS、リードライト信号RDWT及びその他の信号として、データ信号DATA、アドレス信号ADDRESS及びリードライト信号RDWTをメモリ回路16に出力し、その他の信号を選択回路15に出力する。選択回路15は、テスト信号TESTに従って、スキャン制御信号SCCNTをチップ選択信号CSELとしてメモリ回路16に出力する。
メモリ回路16は、チップ選択信号CSELが“0”であるため、自身の動作を有効に切り替える。メモリ回路16は、所定のクロックCLKに基づいて、アドレス信号ADDRESSに従うアドレスにデータ信号DATAに従うデータを記憶し、アドレス信号ADDRESSに従うアドレスに記憶されたデータを読出して、該読み出したデータをデータ出力端子DOから組合せ回路13(3)に出力する。組合せ回路13(3)は、メモリ回路16から出力される信号に従う処理を行い、該処理の結果を出力制御回路12(2)に出力する。出力制御回路12(2)は、組合せ回路13(3)から出力される信号をラッチし、該ラッチした結果を出力信号OUT(1)乃至OUT(n)として出力端子o1乃至onから外部に出力する。上述したように、半導体集積回路10は、スキャンモードが無効である場合、入力信号IN(1)乃至IN(n)に従う処理を実行し、該処理の結果を出力信号OUT(1)乃至OUT(n)として外部に出力する。
図3は、本発明の一実施形態に係る半導体集積回路のクロック生成回路の構成の一例を示す図である。同図に示すように、クロック生成回路11は、PLL回路111と、クロックフィルター112と、選択回路113及び114とを含んで構成される。
PLL回路111は、システムクロックSCLKに基づいて、該クロックの周波数よりも高い周波数を有するクロックを生成する。PLL回路111は、生成したクロックをクロックフィルター112と、選択回路113の入力端子A0とに出力する。
クロックフィルター112は、制御信号SCCNTに基づいて、PLL回路111から出力されるクロックを所定の交番回数だけ選択回路113の入力端子A1に出力する。具体的には、クロックフィルター112は、半導体試験装置20から出力されるスキャン制御信号SCCNTの状態を確認し、該信号の状態が“無効”から“有効”に切り替わる場合に、PLL回路111から出力されるクロックを所定の交番回数だけ選択回路113の入力端子A1に出力する。
選択回路113及び114は、例えばマルチプレクサであるがこれに限られず、例えばデータセレクタや信号切替器等であっても良い。選択回路113及び114は、選択端子SLに入力される信号に基づいて、入力端子A0及びA1に入力される信号のうちいずれかを選択し、該選択結果を出力する。具体的には、選択回路113は、テスト信号TESTが“有効”を示す場合、クロックフィルターから出力されるクロックを選択する一方で、テスト信号TESTが“無効”を示す場合、PLL回路111から出力されるクロックを選択し、該選択の結果を選択回路114の入力端子A0に出力する。また、選択回路114は、スキャン制御信号SCCNTが“有効”を示す場合、システムクロックSCLKを選択する一方で、スキャン制御信号SCCNTが“無効”を示す場合、選択回路113から出力されるクロックを選択し、該選択の結果をクロックCLKとして、出力制御回路12(1)及び12(2)並びに14とメモリ回路16とに出力する。
図4は、本発明の一実施形態に係る半導体集積回路の出力制御回路の構成の一例を示す図である。同図に示すように、本実施形態に係る出力制御回路12は、選択回路121(1)乃至121(n)と、順序回路122(1)乃至122(n)とを含んで構成される。選択回路121と順序回路122とはそれぞれ一対一で対応付けられ、対応付けられた複数の選択回路121と順序回路122とのセットは、直列に接続される。
選択回路121の回路構成は、典型的には、上述した選択回路15、113及び114と同じである。選択回路121は、スキャン制御信号SCCNTが“有効”を示す場合、前段の順序回路122又はシフト入力端子sfiから入力端子A1に入力される信号を選択する一方で、スキャン制御信号SCCNTが“無効”を示す場合、入力端子iから入力端子A0に入力される信号を選択し、該選択した信号を対応する順序回路122のデータ入力端子Dに出力する。
順序回路122は、例えば、フリップフロップである。順序回路122は、クロック端子ckに入力されるクロックCLKに基づいて、対応する選択回路121から出力される信号をラッチし、該ラッチした信号をデータ出力端子Qから対応する出力端子oと後段の選択回路121の入力端子A1とに出力する。また、最後段の順序回路122(n)は、該ラッチした信号を出力端子o(n)とシフト出力端子sfoとに出力する。
図5は、本発明の一実施形態に係る半導体集積回路の出力制御回路の構成の一例を示す図である。同図に示すように、本実施形態に係る出力制御回路14は、出力制御回路12に対して論理否定回路141と選択回路142とが付加されたものである。論理否定回路141と選択回路142とは、メモリ回路16(図2を参照)のアドレス端子ADDに至る信号を出力する選択回路121及び順序回路122のセットに対して、それぞれ設けられる。なお、本例では、論理否定回路141及び選択回路142は、メモリ回路16のアドレス端子ADDに至る信号を出力する選択回路121及び順序回路122のセットに対してそれぞれ設けられているが、これに限られるものではない。論理否定回路141及び選択回路142は、メモリ回路16の任意の入力端子に至る信号を出力する選択回路121及び順序回路122のセットに対してそれぞれ設けられてもよい。また、選択回路121と順序回路122とに関しては出力制御回路12のものと同じであるのでその説明を省略する。
論理否定回路141は、例えばインバータ回路である。論理否定回路141は、対応する順序回路122から出力される信号に対して論理否定を行い、該論理否定の結果を対応する選択回路142の入力端子A1に出力する。
選択回路142の回路構成は、典型的には、上述した選択回路15、113、114及び121と同じである。選択回路142は、半導体試験装置20から出力される実動作信号ATSPDが“有効”を示す場合、対応する論理否定回路141からの出力を選択する一方で、実動作信号ATSPDが“無効”を示す場合、対応する入力端子iから入力される信号を選択し、該選択の結果を対応する選択回路121に出力する。
以上のように構成される出力制御回路14は、実動作試験モードが有効である場合、メモリ回路16のアドレス端子ADDに至る信号を出力する順序回路122の入力信号として、該順序回路122が出力する信号に対して論理否定を行った結果を選択する。一方、出力制御回路14は、実動作試験モードが無効である場合、メモリ回路16のアドレス端子ADDに至る信号を出力する順序回路122の入力信号として、前段の組合せ回路13(1)から対応する入力端子iを介して入力される信号を選択する。そして、出力制御回路14は、該選択した信号を後段の組合せ回路13(2)を介してメモリ回路16のアドレス端子ADDに出力する。
なお、本例では、出力制御回路14は、順序回路122の出力に対して論理否定回路141によって論理否定を行った結果を選択回路142に出力するが、これに限られるものではない。出力制御回路14は、例えば、順序回路122の出力をレジスタ(図示せず)によって記憶するとともに、該記憶した出力と、該記憶した出力と異なる出力とを交互に選択回路142に出力しても良い。
図6は、本発明の一実施形態に係る試験回路が半導体集積回路の遅延故障を検出するための試験を行う動作を示すフローチャートである。同図に示すように、まず、半導体試験装置20は、テスト信号TESTの状態を“有効”に設定するとともに、スキャン制御信号SCCNTの状態を“有効”に設定し、半導体集積回路10に該2つの信号を出力することによって、半導体集積回路10のテストモード及びスキャンモードを有効にする(S601)。
続いて、半導体試験装置20は、記憶装置24から所定のテストパターンを読み出して、該読み出した所定のテストパターンをスキャン入力信号SCINとして、半導体集積回路10に出力し、半導体集積回路10の内部の各順序回路の状態をスキャン入力信号SCINに従う所定の状態に設定する(S602)。なお、出力制御回路12(1)の順序回路の状態は、該状態が組合せ回路13(1)を介して出力制御回路14に至った場合に、出力制御回路14の各順序回路の状態を遷移させるような所定の状態に決定される。次に、半導体試験装置20は、スキャン制御信号SCCNTの状態を“無効”に設定し、該信号を半導体集積回路10に出力することによって、半導体集積回路10のスキャンモードを無効にする(S603)。
半導体試験装置20は、半導体集積回路10のクロックCLKが1回交番するタイミングで、組合せ回路13(1)を介して出力制御回路12(1)の状態を出力制御回路14に伝搬させ、出力制御回路14の状態を遷移させる(S604)。
半導体試験装置20は、半導体集積回路10のクロックCLKがさらに1回交番するタイミングで、組合せ回路13(2)を介して出力制御回路14の状態をメモリ回路16に伝搬させ、出力制御回路14の状態に示されるメモリ回路16のアドレスに、該状態に従うデータを記憶する(S605)。続いて、半導体試験装置20は、半導体集積回路10のクロックCLKが奇数回交番するタイミングで、半導体集積回路10のアドレス信号ADDRESSの状態を遷移させる(S606)。
この後、半導体試験装置20は、半導体集積回路10のクロックCLKが1回交番するタイミングで、半導体集積回路10のアドレス信号ADDRESSの状態を遷移させ、アドレス信号ADDRESの状態をステップS605の処理における状態に戻すとともに、アドレス信号ADDRESSの状態に従うメモリ回路16のアドレス(すなわち、ステップS605の処理においてデータが記憶されたアドレス)に記憶されているデータを読み出す(S607)。続いて、半導体試験装置20は、半導体集積回路10のクロックCLKに基づいて、組合せ回路13(3)を介して、メモリ回路16から読み出したデータを出力制御回路12(2)に伝搬させ、出力制御回路12(2)によって該読み出したデータをラッチする(S608)。
次に、半導体試験装置20は、スキャン制御信号SCCNTの状態を“有効”に設定し、該2つの信号を半導体集積回路10に出力することによって、半導体集積回路10のスキャンモードを有効にする(S609)。続いて、半導体試験装置20は、半導体集積回路10の出力制御回路12(2)の状態をスキャン出力信号SCOUTとして、半導体試験装置20に出力させる(S610)。
スキャン出力信号SCOUTを受け取った半導体試験装置20は、該スキャン出力信号SCOUTの状態が所定の期待値と一致するか否かを判断することによって、出力制御回路12(2)の状態を判断する(S611)。半導体試験装置20は、出力制御回路12(2)の状態の判断の結果を半導体集積回路10の遅延故障を検出するための試験の結果として、記憶装置24に出力し(S612)、記憶装置24によって該状態を記憶した後に、半導体集積回路10に対する遅延故障を検出するための試験を終了する。
上述したように、半導体試験装置20は、半導体集積回路10のメモリ回路16に対してデータの書き込みを行った後、アドレス信号ADDRESSの状態(すなわち論理)を反転させ、そして、アドレス信号ADDRESSの状態を反転させるとともに、メモリ回路16に対してデータの読み出しを行う。これにより、半導体試験装置20は、メモリ回路16に対するデータの書き込み及び読み出し動作時に、メモリ回路16に対するアドレス指定を一致させることができるため、出力制御回路14から、組合せ回路13(2)、メモリ回路16及び組合せ回路13(3)を介して、出力制御回路12(2)に至る経路の遅延故障を検出することができる。
なお、本例では、半導体試験装置20は、半導体集積回路10の遅延故障の検出の試験において、メモリ回路16への書き込み及び読み出し動作時に、半導体集積回路10の実動作試験モードを有効に設定することによって、出力制御回路14以降の構成要素の遅延故障を検出しているが、これに限られるものではない。半導体試験装置20は、半導体集積回路10の実動作試験モードを無効に設定し、従来のスキャンテストを実行することによって、従来通り、出力制御回路12(1)から、組合せ回路13(1)を介して、出力制御回路14に至る経路の遅延故障を検出することもできる。
図7は、本発明の一実施形態に係る半導体集積回路の各種の信号の遷移を示すタイミングチャートである。同図において、システムクロックSCLKの状態が遷移する時刻を時刻t701乃至t714とする。なお、テストモードは有効であるものとする。
半導体試験装置20は、時刻t701乃至t704で、スキャン制御信号SCCNTの状態を“1”(すなわち、“有効”)とするとともに、実動作信号ATSPDの状態を“1”(すなわち、“有効”)として、該2つの信号を半導体集積回路10に出力する。これにより、半導体集積回路10は、時刻t701乃至t704で、スキャンモードを有効にし、チップ選択信号CSELの状態を“1”にしてメモリ回路16の動作を無効にするとともに、実動作試験モードを有効にする。半導体集積回路10のクロック生成回路11は、システムクロックSCLKをクロックCLKとして出力する。また、半導体集積回路10は、クロックCLKに基づいて、各順序回路の状態を所定の状態に設定する。
半導体試験装置20は、時刻t705で、スキャン制御信号SCCNTの状態を“0”(すなわち、“無効”)に設定する。これにより、半導体集積回路10は、スキャンモードを無効にするとともに、チップ選択信号CSELの状態を“0”にしてメモリ回路16の動作を有効にする。半導体集積回路10のクロック生成回路11は、PLL回路111で生成されクロックフィルター112を介して出力されるクロックをクロックCLKとして出力する。また、半導体試験装置20は、半導体集積回路10のクロックCLKが1回交番するタイミングで、半導体集積回路10の出力制御回路14の状態を遷移させる。
メモリ回路16は、時刻t706で、組合せ回路13(2)から出力されるリードライト信号RDWTに従って、アドレス信号ADDRESSが示すメモリ回路16のアドレスAXに、データ信号DATAが示すデータDXを記憶する。
半導体試験装置20は、時刻t707で、半導体集積回路10のアドレス信号ADDRESSの状態を反転することによって、該信号の状態をAXから≠AXに遷移させる。半導体試験装置20は、時刻t708で、半導体集積回路10のアドレス信号ADDRESSの状態を反転することによって、該信号の状態を≠AXからAXに遷移させるとともに、リードライト信号RDWTによって、アドレス信号ADDRESSが示すメモリ回路16のアドレスAXから該アドレスに記憶されたデータDXを読出し、該読出したデータを組合せ回路13(3)に出力させる。半導体試験装置20は、時刻t709で、出力制御回路12(2)によって、組合せ回路13(3)を介してデータDXをラッチする。
半導体試験装置20は、時刻t710で、スキャン制御信号SCCNTの状態を“1”に設定する。これにより、半導体集積回路10は、スキャンモードを有効にするとともに、チップ選択信号CSELの状態を“1”に遷移させることによってメモリ回路16の動作を無効にする。これにより、半導体集積回路10は、時刻t710以降で、クロックCLKに基づいて、出力制御回路12(2)の状態を外部に出力する。
上述したように、半導体集積回路10は、半導体試験装置20の制御の下、メモリ回路16に対してデータの書き込みを行った後、アドレス信号ADDRESSの状態(すなわち論理)を反転させ、そして、アドレス信号ADDRESSの状態を反転させるとともに、メモリ回路16に対してデータの読み出しを行う。これにより、半導体試験装置20は、メモリ回路16に対するデータの書き込み及び読み出し動作時に、メモリ回路16に対するアドレス指定を一致させることができるため、出力制御回路14から、組合せ回路13(2)、メモリ回路16及び組合せ回路13(3)を介して、出力制御回路12(2)に至る経路の遅延故障を検出することができる。
上記各実施形態は、本発明を説明するための例示であり、本発明をこれらの実施形態にのみ限定する趣旨ではない。本発明は、その要旨を逸脱しない限り、さまざまな形態で実施することができる。
例えば、本明細書に開示される方法においては、その結果に矛盾が生じない限り、ステップ、動作又は機能を並行して又は異なる順に実施しても良い。説明されたステップ、動作及び機能は、単なる例として提供されており、ステップ、動作及び機能のうちのいくつかは、発明の要旨を逸脱しない範囲で、省略でき、また、互いに結合させることで一つのものとしてもよく、また、他のステップ、動作又は機能を追加してもよい。
また、本明細書では、さまざまな実施形態が開示されているが、一の実施形態における特定のフィーチャ(技術的事項)を適宜改良しながら、他の実施形態に追加し、又は該他の実施形態における特定のフィーチャと置換することができ、そのような形態も本発明の要旨に含まれる。
本発明は、半導体集積回路の分野に広く利用することができる。
1…半導体集積回路
10…半導体集積回路
11…クロック生成回路
111…PLL回路
112…クロックフィルター
113,114…選択回路
12…出力制御回路
121…選択回路
122…順序回路
13…組合せ回路
131…論理回路
14…出力制御回路
141…論理否定回路
142…選択回路
15…選択回路
16…メモリ回路
100…試験回路
20…半導体試験装置
21…インターフェース装置
22…制御装置
221…プロセッサモジュール
222…メモリモジュール
23…コンパレータ
24…記憶装置

Claims (7)

  1. 複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備える半導体集積回路の遅延故障を検出するために前記半導体集積回路に設けられた試験回路であって、
    前記試験回路は、前記半導体集積回路に接続される試験装置の制御の下、
    前記複数の順序回路のうちの、前記第1の組合せ回路を介して前記メモリ回路のアドレス端子に至る第1の順序回路の出力に対して所定の処理を行った結果を前記第1の順序回路に入力し、
    前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理を行った結果に従って、前記第1の組合せ回路を介して所定のデータを前記メモリ回路に記憶させ、
    前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを前記半導体集積回路の遅延故障の検出の結果として読み出すように構成される、
    試験回路。
  2. 前記複数の順序回路は、直列に接続されており、
    前記試験回路は、前記所定の処理の結果を前記第1の順序回路に入力する前に、最前段の前記順序回路に所定のテストパターンを入力し、前記所定のクロックに基づいて、前記最前段の順序回路から最後段の前記順序回路まで前記テストパターンを伝搬させる、
    請求項1に記載の試験回路。
  3. 前記最前段の順序回路に前記所定のテストパーンを入力する前に、前記所定のクロックの周波数を第1の周波数に設定し、
    前記最前段の順序回路から前記最後段の順序回路まで前記所定のテストパターンを伝搬させた後に、前記所定のクロックの周波数を前記第1の周波数よりも高い第2の周波数に設定する、
    請求項2に記載の試験回路。
  4. 前記半導体集積回路は、前記メモリ回路の後段に接続される第2の組合せ回路と、前記第2の組合せ回路の後段に接続された第2の出力制御回路とをさらに備え、
    前記第2の出力制御回路は、直列に接続された複数の順序回路を含み、
    前記試験回路は、前記所定のクロックに基づいて、前記メモリ回路から読み出したデータを前記第2の組合せ回路を介して前記第2の出力制御回路の最前段の前記順序回路によってラッチし、前記所定のクロックに基づいて、前記第2の出力制御回路の前記最前段の順序回路がラッチしたデータを最後段の前記順序回路まで伝搬させる、
    請求項1に記載の試験回路。
  5. 前記所定の処理は、論理否定である、請求項1記載の試験回路。
  6. 複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備える半導体集積回路の遅延故障を、試験装置の制御の下、検出するための試験方法であって、
    前記複数の順序回路のうちの第1の順序回路の出力に対する所定の処理の結果を前記第1の順序回路に入力することと、
    前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理の結果に従って、前記第1の組合せ回路を介して前記メモリ回路に所定のデータを記憶させることと、
    前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを読み出すことと、
    前記メモリ回路から読み出したデータが期待値を示す第1の状態であるか否かを判断し、該判断の結果に基づいて遅延故障の検出を行うことと、
    を含む、試験方法。
  7. 半導体集積回路の遅延故障を検出するためのプログラムであって、
    前記半導体集積回路は、複数の順序回路を含む第1の出力制御回路と、前記第1の出力制御回路の後段に接続される第1の組合せ回路と、前記第1の組合せ回路の後段に接続されるメモリ回路とを備え、
    前記プログラムは、試験装置の制御装置に、
    前記複数の順序回路のうちの第1の順序回路の出力に対する所定の処理の結果を前記第1の順序回路に入力させる機能と、
    前記複数の順序回路と前記メモリ回路とに入力される所定のクロックの所定の交番タイミングで、前記所定の処理の結果に従って、前記第1の組合せ回路を介して前記メモリ回路に所定のデータを記憶させる機能と、
    前記所定のクロックが前記所定の交番タイミング後に奇数回交番した後の次の交番タイミングで、前記メモリ回路から前記記憶したデータを読み出す機能と、
    前記メモリ回路から読み出したデータが期待値を示す第1の状態であるか否かを判断し、該判断の結果に基づいて遅延故障の検出を行う機能と、
    を実現させるプログラム。
JP2015065953A 2015-03-27 2015-03-27 半導体集積回路の試験回路及びこれを用いた試験方法 Active JP6530216B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2015065953A JP6530216B2 (ja) 2015-03-27 2015-03-27 半導体集積回路の試験回路及びこれを用いた試験方法
US15/073,979 US10083759B2 (en) 2015-03-27 2016-03-18 Testing circuit for semiconductor integrated circuit and testing method using the same
CN201610176904.9A CN106019119B (zh) 2015-03-27 2016-03-25 半导体集成电路的试验电路及使用其的试验方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015065953A JP6530216B2 (ja) 2015-03-27 2015-03-27 半導体集積回路の試験回路及びこれを用いた試験方法

Publications (2)

Publication Number Publication Date
JP2016186428A JP2016186428A (ja) 2016-10-27
JP6530216B2 true JP6530216B2 (ja) 2019-06-12

Family

ID=56975229

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015065953A Active JP6530216B2 (ja) 2015-03-27 2015-03-27 半導体集積回路の試験回路及びこれを用いた試験方法

Country Status (3)

Country Link
US (1) US10083759B2 (ja)
JP (1) JP6530216B2 (ja)
CN (1) CN106019119B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11131706B2 (en) * 2015-12-08 2021-09-28 International Business Machines Corporation Degradation monitoring of semiconductor chips
US10705934B2 (en) * 2017-06-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Scan synchronous-write-through testing architectures for a memory device
DE102017121308B4 (de) 2017-06-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Synchron-write-through-abtastprüfungsarchitekturen für einen speicherbaustein
US10790039B1 (en) * 2019-09-26 2020-09-29 Micron Technology, Inc. Semiconductor device having a test circuit
CN117672335A (zh) * 2022-08-26 2024-03-08 长鑫存储技术有限公司 芯片测试电路及存储器

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5543859A (en) * 1994-04-15 1996-08-06 Matsushita Electric Industrial Co., Ltd. Horizontal contour emphasizing signal processor
JPH1123660A (ja) * 1997-07-07 1999-01-29 Matsushita Electric Ind Co Ltd 集積回路のテスト容易化回路
JP2001013220A (ja) * 1999-04-28 2001-01-19 Matsushita Electric Ind Co Ltd 半導体集積回路及びその検査方法
JP2001004710A (ja) * 1999-06-21 2001-01-12 Mitsubishi Electric Corp スキャンテスト回路、自動テストパターン生成装置、スキャンテスト方法、スキャンテスト回路設計方法、自動テストパターン生成方法、スキャンテスト回路設計方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体および自動テストパターン生成方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
US6470485B1 (en) * 2000-10-18 2002-10-22 Lattice Semiconductor Corporation Scalable and parallel processing methods and structures for testing configurable interconnect network in FPGA device
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
JP2006073917A (ja) * 2004-09-06 2006-03-16 Nec Electronics Corp 集積回路
CN101373639B (zh) * 2007-08-22 2011-02-09 智原科技股份有限公司 存储器时序测量电路与其测试方法
JP2009205414A (ja) * 2008-02-27 2009-09-10 Nec Electronics Corp 半導体集積回路及びその設計方法並びに半導体集積回路設計装置
JP2010040092A (ja) * 2008-08-04 2010-02-18 Nec Electronics Corp 半導体集積回路
JP5625241B2 (ja) 2009-02-24 2014-11-19 富士通セミコンダクター株式会社 半導体装置及びその試験方法
JP5366872B2 (ja) * 2010-04-02 2013-12-11 ルネサスエレクトロニクス株式会社 半導体集積回路のクロック信号調整回路
US8516317B2 (en) * 2011-01-31 2013-08-20 Mentor Graphics Corporation Methods for at-speed testing of memory interface
EP2722680B1 (en) * 2012-10-19 2018-10-10 IMEC vzw Transition delay detector for interconnect test

Also Published As

Publication number Publication date
US20160282409A1 (en) 2016-09-29
US10083759B2 (en) 2018-09-25
CN106019119A (zh) 2016-10-12
CN106019119B (zh) 2020-02-18
JP2016186428A (ja) 2016-10-27

Similar Documents

Publication Publication Date Title
JP6530216B2 (ja) 半導体集積回路の試験回路及びこれを用いた試験方法
JP5579979B2 (ja) 半導体装置、内部信号タイミング回路、及び遅延時間測定方法
US11442107B2 (en) System-on-chip for AT-SPEED test of logic circuit and operating method thereof
KR100776937B1 (ko) 입출력 공용 단자 제어 회로
US6799134B2 (en) Characterization of self-timed sequential circuits
JP2018197969A (ja) 半導体装置の設計検証支援装置、半導体装置の設計検証支援プログラム及び半導体装置の設計検証支援方法
JP6988156B2 (ja) 診断回路及び診断回路の制御方法
JP6534592B2 (ja) スキャンテスト回路及びスキャンテスト装置
JP2000091506A (ja) 半導体集積回路
JP2008292368A (ja) スキャンテストポイント回路、及び集積回路
JP2008305947A (ja) 遅延測定装置および半導体装置
KR20150078012A (ko) 반도체 메모리 장치 및 이를 이용한 테스트 방법
JP5625241B2 (ja) 半導体装置及びその試験方法
JP4869911B2 (ja) ロジックbist回路及びモジュロ回路
JP2001319494A (ja) メモリ回路用の組込み自己試験装置
JP2614413B2 (ja) 集積回路
JP7271264B2 (ja) ラッチアレイ回路及び半導体集積回路
JPH11219385A (ja) 集積回路の遅延故障検出方法
JP2015184246A (ja) 半導体集積回路及びその試験方法
JP2872098B2 (ja) テスト機能付きカウンタ装置
JP2021016124A (ja) フリップフロップを用いたデジタル回路
JP2020134303A (ja) 回路診断テスト装置、及び回路診断テスト方法
JP2002156416A (ja) アドレスデータバステスト方法
JP2007271390A (ja) Tapコントローラ装置及びtapコントローラ制御方法
JP2002156420A (ja) Lsi検査回路、その設計方法および試験手順作成方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180207

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20180216

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20180216

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20180719

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20180802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190423

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190516

R150 Certificate of patent or registration of utility model

Ref document number: 6530216

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250