JP5882499B2 - 冷却式シャワーヘッド及びそれを具備する基板処理装置 - Google Patents

冷却式シャワーヘッド及びそれを具備する基板処理装置 Download PDF

Info

Publication number
JP5882499B2
JP5882499B2 JP2014548651A JP2014548651A JP5882499B2 JP 5882499 B2 JP5882499 B2 JP 5882499B2 JP 2014548651 A JP2014548651 A JP 2014548651A JP 2014548651 A JP2014548651 A JP 2014548651A JP 5882499 B2 JP5882499 B2 JP 5882499B2
Authority
JP
Japan
Prior art keywords
flow path
flange
shower head
substrate processing
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014548651A
Other languages
English (en)
Other versions
JP2015503248A (ja
Inventor
ヤン,イル−クヮン
ソン,ビョン−ギュ
キム,ヨン−キ
キム,キョン−フン
シン,ヤン−シク
Original Assignee
ユ−ジーン テクノロジー カンパニー.リミテッド
ユ−ジーン テクノロジー カンパニー.リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユ−ジーン テクノロジー カンパニー.リミテッド, ユ−ジーン テクノロジー カンパニー.リミテッド filed Critical ユ−ジーン テクノロジー カンパニー.リミテッド
Publication of JP2015503248A publication Critical patent/JP2015503248A/ja
Application granted granted Critical
Publication of JP5882499B2 publication Critical patent/JP5882499B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明はシャワーヘッド及びそれを具備する基板処理装置に関するものであり,より詳しくは,冷却式シャワーヘッド及びそれを具備する基板処理装置に関するものである。
半導体装置はシリコン基板の上に多くの層(layers)を有しており,そのような層は蒸着工程を介して基板の上に蒸着される。化学気相蒸着(CVD,chemical vapor deposition)とは,気体状態の化合物(又は反応ガス)を分解した後,化学的反応によって半導体基板の上に薄膜やエピタキシャル層を形成することをいう。
支持台は工程チャンバの内部に設置され,基板は支持台の上部に置かれる。蒸着工程は工程チャンバ内で行われ,蒸着工程が行われる前に工程チャンバの内部は高温(例えば,650℃以上)に加熱される。シャワーヘッドは基板の上部に設置され,気体状態の化合物(又は反応ガス)はシャワーヘッドを介して基板の上に供給される。気体状態の化合物は基板の表面に吸着されてから基板の表面で化学反応を開始し,それを介して薄膜を形成する。
一方,シャワーヘッドは工程チャンバの内部に設置されるため工程が行われる間に高い温度下に置かれる。そのため,シャワーヘッドが加熱されて熱変形する可能性があり,シャワーヘッドの熱変形は反応ガスの均一な供給に影響を及ぼす。反応ガスが基板の上に均一に供給されない場合,薄膜は基板の表面に沿って不均一な厚さ(non-uniform thickness)を有する恐れがある。
また,シャワーヘッドの温度が一定温度以上に加熱される場合,反応ガスがシャワーヘッド内で蒸着されるか微粒子を形成する恐れがある。
本発明の目的は,熱変形を防止する冷却式シャワーヘッド及びそれを具備する基板処理装置を提供することにある。
本発明の他の目的は,冷媒の漏洩によって工程に悪影響を及ぼすことを防止する冷却式シャワーヘッド及びそれを具備する基板処理装置を提供することにある。
本発明の更に別の目的は,シャワーヘッドを迅速に冷却させる冷却方式のシャワーヘッド及びそれを具備する基板処理装置を提供することにある。
本発明の更に他の目的は,後述する詳細な説明と添付した図面からより明確になるはずである。
本発明の一実施例によると,基板処理装置は,
上部が開放されて基板に対する工程が行われる内部空間が設けられたチャンバ本体と,
前記チャンバ本体の上部に設置されて前記チャンバ本体の上部を閉鎖し,前記内部空間に向かって反応ガスを供給するシャワーヘッドと,前記シャワーヘッドの上部に設置されるチャンバリッドと,を含み,
前記シャワーヘッドは,
内部に冷媒が流れる上部面から陥没した流路を有し,且つ前記チャンバリッドの下部面及び前記チャンバ本体の上部面と接するリング状のフランジと,
前記フランジの内側に位置し,前記チャンバリッドの下部面から離隔し,前記反応ガスを噴射する一つ以上の噴射孔が厚さ方向に形成された平板と,
前記流路から漏出した前記冷媒が,前記シャワーヘッドの前記平板上に流れることを防止するために,前記チャンバリッドと前記フランジとの間に介在されて前記流路の内側に設置されるシーリング部材を具備する。
前記シャワーヘッドは,前記フランジに接合されて前記流路の上部を閉鎖する流路カバーを更に具備する。
前記流路は,前記チャンバ本体の内側に位置して前記内部空間の外部境界に対応するように配置される。
記チャンバリッドと前記平板との間にバッファ空間が形成される。
前記チャンバリッドは,前記バッファ空間と連通されて外部から反応ガスが供給されるガス供給ポートを更に含み,前記基板処理装置は,前記チャンバリッドの下部に固定されて前記バッファ空間上に設置された,一つ以上の拡散孔を有するブロックプレートを更に含む。
前記流路カバーは前記フランジに溶着される。
前記流路は,前記噴射孔の周りに沿って配置される循環流路と,前記循環流路の両端にそれぞれ連通する流入流路及び流出流路を有する。
前記フランジは円形のリング状であり,前記フランジの厚さは前記平板の厚さより大きい。
前記フランジは四角のリング状であり,前記フランジの厚さは前記平板の厚さより大きい。
本発明の一実施例によると,シャワーヘッドを冷却してシャワーヘッドの熱変形を防止することができる。また,冷媒がシャワーヘッドから漏洩する場合,冷媒がチャンバの内部に流入して工程に悪影響を及ぼすことを防止することができる。また,シャワーヘッドの厚さの差によってシャワーヘッドを迅速に冷却させることができる。
本発明の一実施例による基板処理装置を示す図である。 図1に示したシャワーヘッドを示す図である。 図1に示したシャワーヘッドを示す図である。 図1に示したシャワーヘッドの他の実施例である。 本発明の他の実施例による基板処理装置を示す図である。 図5に示したシャワーヘッドを示す図である。
以下,本発明の好ましい実施例を添付した図1乃至図3を参照してより詳細に説明する。本発明の実施例は様々な形に変形してもよく,本発明の範囲が後述する実施例に限られると解釈してはならない。本実施例は,当該発明の属する技術分野における通常の知識を有する者に本発明をより詳細に説明するために提供されるものである。よって,図面に示した各要素の形状はより明確な説明を強調するために誇張されている可能性がある。
一方,以下では蒸着工程を例に挙げて説明しているが,本発明は蒸着工程を含む多様な半導体製造工程に応用することができる。
図1は,本発明の一実施例による基板処理装置を示す図である。図1に示したように,基板処理装置1はチャンバ本体10とチャンバリッド(chamber lid)20を含む。チャンバ本体10は上部が開放された形状であり,チャンバリッド20はチャンバ本体10の開放された上部を開閉する。チャンバリッド20がチャンバ本体10の開放された上部を閉鎖すると,チャンバ本体10及びチャンバリッド20は外部から閉鎖された内部空間を形成する。
チャンバ本体10は内部空間に当たるチャンバ内部11を有し,ウェハWはチャンバ本体10の一側に形成された通路を介してチャンバ内部11に装填される。支持プレート50はチャンバ内部11に設置され,装填されたウェハWは支持プレート50の上部面に置かれる。支持台51は支持プレート50の下部に連結されて支持プレート50を支持する。
ガス供給ポート21はチャンバリッド20の内部に形成され,反応ガスはガス供給ポート21を介してチャンバ内部11に流入される。反応ガスはウェハWの表面に薄膜を蒸着させるためのものであり,薄膜に応じて多様なガスが使用される。
シャワーヘッド40はチャンバリッド20の下部に連結され,シャワーヘッド40の両側はボルトBを介してチャンバリッド20に締結される。シャワーヘッド40はガス供給ポート21を介して供給された反応ガスをチャンバ内部11に供給し,反応ガスはウェハWの表面に移動してウェハWの表面で薄膜を形成する。
図2及び図3は,図1に示したシャワーヘッドを示す図である。図2及び図3に示したように,シャワーヘッド40はフランジ41及び平板43を具備する。図3に示すように,平板43はウェハWと対応する円盤(disk)状であり,フランジ41は平板43の外側の周りに沿って位置する円形のリング状を有する。
平板43は支持プレート50に置かれたウェハWに対応するように配置され,厚さ方向に形成された複数の噴射孔42を有する。反応ガスはガス供給ポート21を介して平板43の上部に移動し,噴射孔42を介してウェハWの表面に拡散される。
図1に示したように,フランジ41はボルトBを介してチャンバリッド20に締結され,平板43はフランジ41に連結されてチャンバリッド20の下部に位置する。この際,平板43の下部面はフランジ41の下部面と並んで配置され,フランジ41の厚さは平板43の厚さより大きいため,平板43はチャンバリッド20の下部面から離隔されて配置される。よって,平板43の上部面はチャンバリッド20の下部面から離隔され,バッファ空間22が平板43とチャンバリッド20との間に形成される。
ブロックプレート53はバッファ空間22に設置され,ブロックプレート53の両側はチャンバリッド20に固定される。ブロックプレート53は複数の拡散孔52を有する。反応ガスはガス供給ポート21を介してブロックプレート53の内部に移動し,拡散孔52を介して拡散されて平板43の上部に移動する。この際,拡散孔52は平板43に形成された噴射孔42と対応するように配置される。
図1及び図2に示したようにフランジ41は循環流路44を有し,循環流路44はチャンバ内部11に対応するように配置される。循環流路44はフランジ41の上部面から陥没して形成される。また,図3に示したように,循環流路44は平板43の外側の周りに沿って配置され,流入流路44a及び流出流路44bが流路44の両端にそれぞれ連続する。流入流路44a及び流出流路44bも同じくフランジ41の上部面から陥没して形成される。
冷媒(例えば,水のような)は流入流路44aを介して循環流路44に流入され,循環流路44を循環した冷媒は流出流路44bを介して流出される。冷媒は循環流路44を循環しながらシャワーヘッド40を設定温度以下に冷却し,流出された冷媒は外部に設置されたチラー(Chiller)を介して冷却される。このような方法を介してシャワーヘッド40が加熱されることを防止することができ,シャワーヘッド40を設定温度以下に制御することができる。噴射孔42は循環流路44の内側に位置する。
流路カバー45はフランジ41に固着(例えば,溶接のような方式で)されて循環流路44(及び流入流路44a及び流出流路44b)の開放された上部を閉鎖及び密封(sealing)し,循環流路44を介して流れる冷媒が外部に漏洩されることを防止する。流路カバー45は循環流路44,流入流路44a及び流出流路44bと対応する形状を有する。
前記によると,循環流路44はフランジ41の上面から陥没して形成され,このような構造は循環流路44に沿って循環する冷媒が循環流路44の下部に沿ってチャンバ内部11に漏洩する可能性を除去する。即ち,フランジ41は一体に形成され,循環流路44は加工を介してフランジ41の上部面から陥没して形成されるため,冷媒は循環流路44の上部を介して漏洩する可能性はあるが循環流路44の下部を介して漏洩する可能性はない。循環流路44の開放された上部は流路カバー45を介して密封される。
フランジ41は固定溝46を有し,固定溝46はフランジ41の上部面から陥没して形成される。固定溝46は流路44の内側に位置し,シーリング部材47は固定溝46の上に挿入設置される。
上述したように,循環流路44に沿って循環する冷媒は循環流路44の上部に向かって漏洩する可能性があり,流路カバー45を介して循環流路44の上部を密封するとしても流路カバー45の不完全な密封のため冷媒が漏洩する可能性は依然として存在する。もし,循環流路44の上部を介して漏洩された冷媒がシャワーヘッド40の内側に流れて平板43の上部(又はバッファ空間22)に移動する場合,冷媒は反応ガスとともにチャンバ内部11(又はウェハWの上部)に移動して工程に悪影響を及ぼし,それによって工程不良が発生する恐れがある。よって,冷媒の漏洩が発生しても漏洩された冷媒が工程に悪影響を及ぼすことを防止する必要があり,それを介して損害を最小化する必要がある。
シーリング部材47は固定溝46の上に設置され,フランジ41とチャンバリッド20との間を密封する。よって,冷媒はシャワーヘッド40の内側に流れることができず,シャワーヘッド40の外側に流れて基板処理装置1の外部に排出される。すなわち,シーリング部材47は冷媒がバッファ空間22を介してチャンバ内部11に流入することを防止し,それによって冷媒漏洩による工程不良を防止することができる。
結論的にシャワーヘッド40は,循環流路44,流入流路44a及び流出流路44bがフランジ41の上部面から陥没して形成された構造であるため,冷媒が循環流路44,流入流路44a及び流出流路44bの下部を介して漏洩されてチャンバ内部11に流入される可能性はない。また,冷媒が循環流路44の上部を介して漏洩される場合,冷媒はシーリング部材47によってシャワーヘッド40の内側に流れることができず,シャワーヘッド40の外側に流れて基板処理装置1の外部に排出される。
一方,フランジ41は冷媒を介して冷却され,平板43の熱はフランジ41に伝達される。平板43内の熱伝達は厚さ方向と長さ方向に対して同時に行われるため,平板43の厚さが厚いほどフランジ41に向かう(平板43の長さ方向)熱伝達速度が遅くなる。即ち,フランジ41に向かう熱伝達速度は平板43の厚さに反比例し,平板43の厚さが小さければ平板43内の熱伝達は殆どフランジ41に向かう方向(又は長さ方向)に集中され,それによって平板43が迅速に冷却される。
また,熱容量(heat capacity)は質量に比例するため,フランジ41の厚さが大きければフランジ41は十分な熱容量を有するため,平板43の熱を十分に吸収することができる。前記のような点を考慮して,フランジ41の厚さは平板43の厚さより大きいことが好ましい。
本発明を好ましい実施例を介して詳細に説明したが,それとは異なる実施例も可能である。よって,以下に記載された請求項の技術的思想と範囲は好ましい実施例に限られない。
以下に,本発明の実施例を添付した図4乃至図6を参照してより詳細に説明する。本実施例は様々な形態に変形してもよく,本発明の範囲が以下で説明する実施例に限られると解釈してはならない。本実施例は当該発明が属する技術分野における通常の知識を有する者に本発明をより詳細に説明するために提供されるものである。よって,図面に示した各要素の形状はより明確な説明を強調するために誇張される可能性がある。以下では上述した実施例と異なる内容に対してのみ説明し,以下で省略された説明は上述した説明の内容によって代替される。
一方,以下では蒸着工程を例に挙げて説明しているが,本発明は蒸着工程を含む多様な半導体製造工程に応用されることができる。
図4は図1に示したシャワーヘッドの他の実施例である。図3に示したシャワーヘッド40は円形のウェハWに対する工程を行うことができ,図4に示したシャワーヘッド40は四角基板に対する工程を行うことができる。四角基板は平板ディスプレー(例えば,液晶パネル)に対する工程に使用される。
図5は本発明の更に別の実施例による基板処理装置を示す図であり,図6は図5に示したシャワーヘッドを示す図である。図1及び図2は流路カバー45が循環流路44(及び流入流路44a及び流出流路44b)の開放された上部を閉鎖及び密封するものとして図示しているが,図5及び図6に示したように流路カバー45を省略してもよく,循環流路44(及び流入流路44a及び流出流路44b)の開放された上部はチャンバリッド20によって閉鎖及び密封してもよい。冷媒がチャンバリッド20の不完全な閉鎖及び密封によって循環流路44の上部を介して漏洩される恐れがあるが,シーリング部材47は漏洩された冷媒がシャワーヘッド40の内側に流れることを防止し,それを介して冷媒の漏洩による工程不良を十分に防止することができる。
本発明を実施例を介して詳細に説明したが,それとは異なる形態の実施例も可能である。よって,以下に記載された請求項の技術的思想と範囲は実施例に限られない。
本発明は多様な形態の半導体製造設備及び製造方法に応用される。

Claims (9)

  1. 上部が開放されて基板に対する工程が行われる内部空間が設けられたチャンバ本体と,
    前記チャンバ本体の上部に設置されて前記チャンバ本体の上部を閉鎖し,前記内部空間に向かって反応ガスを供給するシャワーヘッドと,前記シャワーヘッドの上部に設置されるチャンバリッドと,を含み,
    前記シャワーヘッドは,
    内部に冷媒が流れる上部面から陥没した流路を有し,且つ前記チャンバリッドの下部面及び前記チャンバ本体の上部面と接触するリング状のフランジと,
    前記フランジの内側に位置し,前記チャンバリッドの下部面から離隔し,前記反応ガスを噴射する一つ以上の噴射孔が厚さ方向に形成された平板と,
    前記流路から漏出した前記冷媒が,前記シャワーヘッドの前記平板上に流れることを防止するために,前記チャンバリッドと前記フランジとの間に介在されて前記流路の内側に設置されるシーリング部材を具備することを特徴とする基板処理装置。
  2. 前記シャワーヘッドは,前記フランジに接合されて前記流路の上部を閉鎖する流路カバーを更に具備することを特徴とする請求項1記載の基板処理装置。
  3. 前記流路は,前記チャンバ本体の内側に位置して前記内部空間の外部境界に対応するように配置されることを特徴とする請求項1記載の基板処理装置。
  4. 記チャンバリッドと前記平板との間にバッファ空間が形成されることを特徴とする請求項1記載の基板処理装置。
  5. 前記チャンバリッドは,前記バッファ空間と連通する,外部から反応ガスが供給されるガス供給ポートを更に含み,
    前記基板処理装置は,前記チャンバリッドの下部に固定されて前記バッファ空間上に設置され,一つ以上の拡散孔を有するブロックプレートを更に含むことを特徴とする請求項記載の基板処理装置。
  6. 前記流路カバーは,前記フランジに溶接締結されることを特徴とする請求項記載の基板処理装置。
  7. 前記流路は,前記噴射孔の周りに沿って配置される循環流路と,前記循環流路の両端にそれぞれ連通する流入流路及び流出流路を有することを特徴とする請求項1記載の基板処理装置。
  8. 前記フランジは円形のリング状であり,
    前記フランジの厚さは前記平板の厚さより大きいことを特徴とする請求項1記載の基板処理装置。
  9. 前記フランジは四角のリング状であり,
    前記フランジの厚さは前記平板の厚さより大きいことを特徴とする請求項1記載の基板処理装置。
JP2014548651A 2012-01-10 2012-11-23 冷却式シャワーヘッド及びそれを具備する基板処理装置 Active JP5882499B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020120003106A KR101327458B1 (ko) 2012-01-10 2012-01-10 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
KR10-2012-0003106 2012-01-10
PCT/KR2012/009952 WO2013105730A1 (ko) 2012-01-10 2012-11-23 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치

Publications (2)

Publication Number Publication Date
JP2015503248A JP2015503248A (ja) 2015-01-29
JP5882499B2 true JP5882499B2 (ja) 2016-03-09

Family

ID=48781647

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014548651A Active JP5882499B2 (ja) 2012-01-10 2012-11-23 冷却式シャワーヘッド及びそれを具備する基板処理装置

Country Status (6)

Country Link
US (1) US9593418B2 (ja)
JP (1) JP5882499B2 (ja)
KR (1) KR101327458B1 (ja)
CN (1) CN104025258B (ja)
TW (1) TWI496943B (ja)
WO (1) WO2013105730A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
KR101560623B1 (ko) 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
KR102507647B1 (ko) * 2016-12-07 2023-03-08 주식회사 케이씨텍 기판 처리 장치
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
KR101980971B1 (ko) 2017-09-13 2019-05-21 이영남 냉각 방식의 샤워헤드를 구비하는 기판 처리 장치
KR102411142B1 (ko) * 2017-12-12 2022-06-21 주식회사 원익아이피에스 샤워 헤드 구조체 및 이를 포함하는 기판 처리 장치
US10900124B2 (en) * 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102054236B1 (ko) 2019-03-15 2019-12-12 주식회사 뉴엠텍 냉각 방식의 샤워헤드
CN110508436A (zh) * 2019-09-23 2019-11-29 重庆通用工业(集团)有限责任公司 一种雾化喷头组件
KR102240080B1 (ko) * 2019-10-28 2021-04-14 주식회사 테스 기판처리장치
US20210381101A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Substrate processing system
WO2023107091A1 (en) * 2021-12-06 2023-06-15 Applied Materials, Inc. Cooling frame for diffuser
CN114855146A (zh) * 2022-04-26 2022-08-05 江苏微导纳米科技股份有限公司 半导体设备及反应腔

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
JPH0758101A (ja) * 1993-08-09 1995-03-03 Hitachi Electron Eng Co Ltd シリコン酸化膜の成膜方法およびcvd装置
JPH07321054A (ja) * 1994-05-27 1995-12-08 Mitsubishi Electric Corp プラズマcvd装置とそのクリーニング方法
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3261440B2 (ja) * 1995-12-27 2002-03-04 東京エレクトロン株式会社 アルミニウム膜の成膜方法
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
TW200819555A (en) * 2000-09-08 2008-05-01 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US7323080B2 (en) * 2004-05-04 2008-01-29 Semes Co., Ltd. Apparatus for treating substrate
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
KR20070013100A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 반도체소자 제조설비 및 이를 이용한 샤웨헤드의 냉각방법
KR101036185B1 (ko) * 2005-09-30 2011-05-23 엘아이지에이디피 주식회사 플라즈마 처리장치
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8142521B2 (en) * 2010-03-29 2012-03-27 Stion Corporation Large scale MOCVD system for thin film photovoltaic devices
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却***的气体注入装置
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US20130316094A1 (en) * 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
US9685356B2 (en) * 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal

Also Published As

Publication number Publication date
CN104025258B (zh) 2017-03-01
TWI496943B (zh) 2015-08-21
JP2015503248A (ja) 2015-01-29
WO2013105730A1 (ko) 2013-07-18
KR20130081969A (ko) 2013-07-18
KR101327458B1 (ko) 2013-11-08
US9593418B2 (en) 2017-03-14
CN104025258A (zh) 2014-09-03
US20140311411A1 (en) 2014-10-23
TW201333257A (zh) 2013-08-16

Similar Documents

Publication Publication Date Title
JP5882499B2 (ja) 冷却式シャワーヘッド及びそれを具備する基板処理装置
KR100628888B1 (ko) 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
TWI643976B (zh) 沉積裝置及具有該沉積裝置的沉積系統
KR101406379B1 (ko) 소수화 처리 방법, 소수화 처리 장치, 도포, 현상 장치 및 기억 매체
US8128751B2 (en) Film-forming apparatus
US8021488B2 (en) Sealing structure of vacuum device
JP6088659B2 (ja) 基板処理装置及びヒータの温度調節方法
TW201212104A (en) Film forming apparatus
US11670527B2 (en) Substrate processing apparatus
TWI697037B (zh) 處理裝置
KR101108576B1 (ko) 서셉터 및 그것을 구비한 종형 기판 처리 설비
US20150369539A1 (en) Apparatus for processing substrate
JP6447338B2 (ja) 縦型熱処理装置
JP2015520514A (ja) 基板処理装置
KR20130074416A (ko) 기판처리장치
US7180035B2 (en) Substrate processing device
JP7468926B2 (ja) シャワーヘッド及び基板処理装置
KR101452829B1 (ko) 히터의 온도조절방법
JP5957609B2 (ja) 基板処理装置
KR101689690B1 (ko) 가스 분사 장치
KR100590207B1 (ko) 반도체 소자 제조에 사용되는 저압 화학 기상 증착 장치
KR101980971B1 (ko) 냉각 방식의 샤워헤드를 구비하는 기판 처리 장치
TW202421841A (zh) 具有雙蓋封閉系統的單腔室原子層沉積設備
KR20060074563A (ko) 저압 화학기상증착장치
JP2004311550A (ja) 基板処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160203

R150 Certificate of patent or registration of utility model

Ref document number: 5882499

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250