JP5567486B2 - 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品 - Google Patents

窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品 Download PDF

Info

Publication number
JP5567486B2
JP5567486B2 JP2010532026A JP2010532026A JP5567486B2 JP 5567486 B2 JP5567486 B2 JP 5567486B2 JP 2010532026 A JP2010532026 A JP 2010532026A JP 2010532026 A JP2010532026 A JP 2010532026A JP 5567486 B2 JP5567486 B2 JP 5567486B2
Authority
JP
Japan
Prior art keywords
plasma
silicon nitride
substrate
component
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010532026A
Other languages
English (en)
Other versions
JP2011503845A (ja
JP2011503845A5 (ja
Inventor
テイラー・トラビス・アール.
スリニバサン・ムクンド
カドコダヤン・ボビー
ラマヌジャム・ケー.ワイ.
ミキジェルジ・ビルジャナ
ウー・シャンホワ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Ceradyne Inc
Original Assignee
Lam Research Corp
Ceradyne Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp, Ceradyne Inc filed Critical Lam Research Corp
Publication of JP2011503845A publication Critical patent/JP2011503845A/ja
Publication of JP2011503845A5 publication Critical patent/JP2011503845A5/ja
Application granted granted Critical
Publication of JP5567486B2 publication Critical patent/JP5567486B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/584Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride
    • C04B35/593Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride obtained by pressure sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3205Alkaline earth oxides or oxide forming salts thereof, e.g. beryllium oxide
    • C04B2235/3206Magnesium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/72Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Ceramic Products (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

プラズマ処理装置は、エッチング、物理気相成長(PVD)、化学気相成長(CVD)、イオン注入、及びレジスト除去を含む技術によって基板を処理するために使用される。プラズマ処理に使用されるプラズマ処理装置の一種は、上部電極と下部電極とを含む反応チャンバを含む。電極間のRF生成プラズマは、反応チャンバ内において、ウエハ基板及びチャンバパーツをエッチングするエネルギイオン及び中性種を発生させる。
プラズマエッチングチャンバの平均洗浄間隔時間及びチャンバパーツの寿命を延ばす方法が提供される。イオン衝撃及び/又はイオン化ハロゲンガスに曝される少なくとも1つの焼結窒化シリコン構成部品を使用しつつ、チャンバ内において一度に1枚ずつ半導体基板がプラズマエッチングされる。窒化シリコン構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなる。焼結助剤は、高純度の二酸化シリコンからなる。
プラズマ処理チャンバが提供される。基板ホルダは、処理チャンバの内部において基板を支える。焼結窒化シリコン構成部品は、基板に隣接して曝露表面を有する。構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなる。焼結助剤は、高純度の二酸化シリコンからなる。ガス供給は、処理チャンバの内部にプロセスガスを供給する。エネルギ源は、基板を処理するために、処理チャンバの内部にエネルギを供給してプロセスガスをプラズマ状態に励起する。構成部品は、プラズマによる処理時に基板の表面上における金属汚染を最小限に抑えて100×1010原子数/cm2未満にする。
プラズマ処理時のシリコン基板の表面上における金属汚染を軽減する方法が提供される。シリコン基板は、プラズマ処理装置の反応チャンバ内において基板サポートの上に配される。プラズマ処理装置は、1つ又は2つ以上の焼結窒化シリコン構成部品を含む。窒化シリコン構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなる。焼結助剤は、高純度の二酸化シリコンからなる。反応チャンバに、プロセスガスが導入される。プロセスガスから、プラズマが生成される。シリコン基板は、プラズマによって処理される。
プラズマエッチングチャンバ内においてイオン衝撃及び/又はプラズマ浸食に曝されるプラズマエッチングチャンバ処理構成部品を製造する方法が提供される。約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の二酸化シリコンとからなる粉末組成が混合される。この粉末組成から、成形構成部品が形成される。成形構成部品は、熱及び圧力の同時的印加によって緻密化される。
プラズマ処理構成部品が提供される。構成部品は、焼結窒化シリコン構成部品を含み、該構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなる。焼結助剤は、高純度の二酸化シリコンからなる。
プラズマ処理装置のためのシャワーヘッド電極アセンブリ及び基板サポートの一実施形態の一部分を示している。 プラズマ処理装置のための基板サポートのホットエッジリングを取り囲む一部分を示している。 プラズマ処理装置のための基板サポートのホットエッジリングを取り囲む一部分を示している。 窒化シリコン構成部品及び酸化マグネシウム焼結助剤を含む処理チャンバ内におけるプラズマ処理後のシリコンウエハの表面上における金属汚染を示している。 窒化シリコン構成部品及び二酸化シリコン焼結助剤を含む処理チャンバ内におけるプラズマ処理後のシリコンウエハの表面上における金属汚染を示している。 クォーツ構成部品の磨耗率と、焼結助剤として様々な量の二酸化シリコンを含む窒化シリコン構成部品の磨耗率とを示している。 クォーツ構成部品の磨耗率と、焼結助剤として様々な量の二酸化シリコンを含む窒化シリコン構成部品の磨耗率とを示している。
集積回路デバイスの物理的サイズの縮小及び作動電圧の減少に伴って、関連の製造歩留まりは、粒子汚染及び金属不純物汚染による影響を更に受けやすくなる。したがって、より小さい物理的サイズを有する集積回路デバイスの製造では、これまで許容可能だと見なされてきたよりも微粒子汚染及び金属汚染が低レベルであることを求められる。
集積回路デバイスの製造は、フォトレジストマスクによって画定される被選択層をエッチングすることができるプラズマエッチングチャンバの使用を含む。処理チャンバは、その1つ又は2つ以上の電極に高周波(RF)電力を印加される間に処理ガス(すなわちエッチング化学)を受け取るように構成される。処理チャンバの内部における圧力は、また、特定のプロセスのために制御される。(1つ又は2つ以上の)電極に所望のRF電力が印加されると、チャンバ内のプロセスガスは、プラズマを形成するように励起される。プラズマは、こうして、半導体ウエハの被選択層に対して所望のエッチングを実施するために生成される。
プラズマエッチング条件は、プラズマに曝される処理チャンバの表面に対してかなりのイオン衝撃を与える。このイオン衝撃は、プラズマ化学及び/又はエッチング副産物と相まって、処理チャンバのプラズマ曝露表面に対してかなりの浸食、腐食、及び腐食−浸食を生じる。その結果、浸食、腐食、及び/又は腐食−浸食を含む、物理的及び/又は化学的な攻撃によって、表面材料が除去される。この攻撃は、パーツ寿命の短縮、パーツコストの増大、微粒子汚染、ウエハ上における遷移金属汚染、及びプロセスドリフトを含む問題を引き起こす。寿命が比較的短いパーツは、一般に、消耗品と呼ばれる。消耗パーツの寿命が短いと、所有のコストが高くなる。消耗品及びその他のパーツの浸食は、プラズマ処理チャンバ内において微粒子汚染を生じる。
また、集積回路の製造時に半導体ウエハの表面上における微粒子汚染を制御することは、高信頼性のデバイスを実現するとともに高い歩留まりを得るのに不可欠である。プラズマ処理装置などの処理機器は、微粒子汚染のもとになる可能性がある。プラズマチャンバ内におけるエッチング工程時のウエハ表面上における所望の金属汚染レベルは、新世代の半導体テクノロジのために積極的に低減されてきた。プラズマエッチングリアクタ内における最先端の半導体デバイス製造では、金属のウエハ上金属汚染仕様が5×1010原子数/cm2であることを現時点で求められている。金属汚染の例として、アルミニウム、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、又はジルコンが挙げられる。
図1は、例えばシリコンウエハなどの半導体基板が処理されるプラズマ処理装置のためのシャワーヘッド電極アセンブリ10の代表的実施形態を示している。シャワーヘッド電極アセンブリ10は、上部電極12を含むシャワーヘッド電極と、上部電極12に固定された受け部材14と、熱制御板16とを含む。プラズマ処理装置の真空処理チャンバ内において、上部電極12の下方には、下部電極及び静電クランプ電極(例えば静電チャック)を含む基板サポート18(その一部分のみが図1に示されている)が配置される。プラズマ処理を施される基板20は、基板サポート18のサポート上面22の上に静電的に固定される。
図示された実施形態では、シャワーヘッド電極の上部電極12は、内側電極部材24と、随意の外側電極部材26とを含む。内側電極部材24は、円柱状の板(例えばシリコンで構成された板)であることが好ましい。もし板がシリコンで作成される場合は、内側電極部材24は、処理対象となる例えば最大12インチ(300mm)のウエハと比べて小さい、等しい、又は大きい直径を有することができる。好ましい実施形態では、シャワーヘッド電極アセンブリ10は、300mm又はそれを超える直径を有する半導体ウエハなどの大きい基板を処理するのに十分な大きさである。300mmウエハの場合は、上部電極12は、直径が少なくとも300mmである。しかしながら、シャワーヘッド電極アセンブリは、その他のウエハサイズ、又は非円形の構成を有する基板を処理するように、サイズを決めることができる。
図示された実施形態では、内側電極部材24は、基板20よりも広い。300mmウエハを処理する場合は、上部電極12の直径を約15インチ(約380mm)から約17インチ(約430mm)まで拡張するために、外側電極部材26が提供される。外側電極部材26は、連続部材(例えば連続したポリシリコンリング)又は分割部材(例えばシリコンで構成された2〜6個の個別の分割片をリング状に配置されたもの)であってよい。多分割片の外側電極部材26を含む上部電極12の実施形態では、各分割片は、その下にある接着材料がプラズマに曝されないように互いに重なり合うエッジを有することが好ましい。
内側電極部材24は、上部電極12と基板サポート18との間に位置するプラズマ処理チャンバ内の空間にプロセスガスを注入するための複数のガス通路28を、受け部材14内に形成された複数のガス通路30に対応して通されることが好ましい。受け部材14は、内側電極部材24内及び受け部材14内のガス通路28及び30にプロセスガスを分配するために、複数のプレナム32を含む。
シリコンは、内側電極部材24及び外側電極部材26のプラズマ曝露表面として好ましい材料である。高純度の単結晶シリコンは、プラズマ処理時における基板の汚染を最小限に抑えるとともにプラズマ処理時に滑らかに磨耗することによって、粒子を最少に抑える。上部電極12のプラズマ曝露表面として使用することができる代替材料として、例えば、SiC又はAlNが挙げられる。
図示された実施形態では、受け部材14は、受け板34と、受け板34の周囲に広がる受けリング36とを含む。本実施形態では、内側電極部材24が受け板34と同延であり、外側電極部材26が周辺受けリング36と同延である。しかしながら、受け板34は、受け板だけを使用して内側電極部材24及び分割外側電極部材26を支えられるように、内側電極部材24を超えて広がることができる。内側電極部材24及び外側電極部材26は、接着材料によって受け部材14に取り付けられることが好ましい。
受け板30及び受けリング36は、プラズマ処理チャンバ内において半導体基板を処理するために使用されるプロセスガスと化学的に共存可能であるとともに電導性で且つ熱伝導性な材料で作成されることが好ましい。受け部材14を作成するために使用することができる代表的な適切な材料として、アルミニウム、アルミニウム合金、グラファイト、及びSiCが挙げられる。
上部電極12は、熱応力に対応するとともに上部電極12と受け板34及び受けリング36との間で熱及び電気エネルギを伝達する熱伝導性で且つ電導性な適切なエラストマ接着材料によって、受け板34及び受けリング36に取り付けることができる。電極アセンブリの表面を貼り合わせるためにエラストマを使用することは、例えば、引用によって本明細書に全体を組み込まれる共同所有の米国特許第6,073,577号に記載されている。
300mmウエハなどの大きい基板を処理するための容量結合RFプラズマリアクタでは、接地電極に加えて第2の接地も使用することができる。例えば、基板サポート18は、1つ又は2つ以上の周波数のRFエネルギを供給される下部電極を含み、プロセスガスは、接地された上部電極であるシャワーヘッド電極112を通じてチャンバの内部に供給することができる。基板サポート18内において下部電極より外側に設けられた第2の接地は、処理対象となる基板20を含む平面内に全体的に広がるがホットエッジリング38によって隔離される電気的に接地された部分を含むことができる。ホットエッジリング38は、プラズマ発生時に加熱を受ける電導性材料又は半導体材料で作成することができる。
また、受け板34及び受けリング36より外側に、プラズマ閉じ込めリングアセンブリ40を提供することができる。プラズマ閉じ込めリングアセンブリ40及び第2の接地は、上部電極12と基板サポート18との間に位置する空間にプラズマを閉じ込めるのに役立つことができる。RF容量結合プラズマリアクタ内において使用されるプラズマ閉じ込めリング及び第2の接地についての詳細な説明は、ともに引用によって本明細書に組み込まれる同一出願人による米国特許第5,534,751号及び第6,744,212号に見いだすことができる。プラズマの閉じ込めによって、チャンバ壁によって引き起こされる汚染はほとんど又は全く無くされる。したがって、プラズマの閉じ込めは、プラズマを閉じ込められない場合には提供されないレベルの清浄さを提供する。例えば、閉じ込めリングアセンブリ40は、クォーツで構成することができる。
図2A及び図2Bは、ホットエッジリング38を取り囲む図1の領域Aの拡大図である。基板20上におけるエッチング速度の均一性を制御して、基板の中心におけるエッチング速度を基板エッジにおける速度に一致させるために、基板の境界条件は、化学物質への基板エッジの曝露、プロセスの圧力、及びRF電磁界強度に関する連続性を基板全体にわたって保証するように設計されることが好ましい。現行の設計では、ホットエッジリング38は、基板20の周囲にちょうど嵌るように実装されている。基板の汚染を最小限に抑えるために、ホットエッジリング38は、ウエハ自体と共存可能な材料で製造される。ホットエッジリング材料の一例として、シリコン、グラファイト、炭化シリコンなどが挙げられる。
ホットエッジリング38は、基板サポート18の外周に配された結合リング42の上に重なっている。結合リング42の材料は、基板20のエッジにおいてRF電磁界強度を漸減させてエッチング速度の均一性を向上させるように選択される。例えば、結合リング42は、セラミック(例えばクォーツ)又は導電性材料で作成することができる。
ホットエッジリング38を取り囲むのは、誘電体材料で構成されるホットエッジリングカバー44である。ホットエッジリングカバー44は、プラズマを基板20の上方の領域に閉じ込めクォーツで構成することができるフォーカスリング46の上に重なっている。ホットエッジリングカバー44を更に取り囲むのは、接地リングカバー48である。ホットエッジリングカバー44は、接地拡張をプラズマによる攻撃から保護する。例えば、ホットエッジリングカバー44及び接地リングカバー48は、クォーツで構成することができる。接地拡張50は、アルミニウムで構成することができる。
図2Bに示されるように、ホットエッジリング38及び結合リング42の中には、導電性ピン52及びピンスリーブ54を含めることができる。導電性ピン52は、プラズマ処理時に基板サポート18の静電チャックにおけるRFバイアス電圧を監視し、これは、プラズマの全体的特性を決定するために使用される。例えば、導電性ピン52は、炭化シリコン又はその他の任意の導電性材料で構成することができる。ピンスリーブ54は、導電性ピン52を取り囲み、導電性ピン52を電気的に絶縁する。例えば、ピンスリーブ54は、クォーツで構成することができる。
クォーツは、消耗パーツの内表面の材料として使用することができる。しかしながら、クォーツは、プラズマチャンバ内に存在する消耗材料のなかで、最もRF寿命が短い。消耗材料の交換及びそれに関連したプラズマチャンバの平均洗浄間隔時間(MTBC)は、応用に特有である。現在のところ、Lam Research Corporation(カリフォルニア州フリーモント所在)によって製造された2300 EXELAN FLEX(登録商標)誘電体エッチングシステムを使用した高アスペクト比コンタクト(HARC)応用のMTBCは、約250RF時間でクォーツ構成部品を交換することで示される。以上を踏まえると、より耐浸食性であるとともに処理対象ウエハ表面の汚染(例えば粒子汚染及び金属不純物)を最小限に抑えるのに役立つ消耗パーツを有する高密度プラズマ処理チャンバが必要とされている。
共同所有の米国特許第5,993,594号に記載されるように、窒化シリコンは、プラズマ処理チャンバに使用されるガス分配板、ライナ、及びフォーカスリングなどのプラズマ処理構成部品に適した材料であることを証明されている。
このような窒化シリコン構成部品は、様々な方法で作成することができる。例えば、窒化シリコンは、高率のα型窒化シリコンを含む粉末を使用して、1500℃を超える温度でホットプレスすることができる。このような温度でのホットプレスの間に、α相は、β相に変態し、この変態及び緻密化は、圧力、温度、及び出発粉末のα/β相比に依存する。窒化シリコンのための焼結助剤としては、MgO、Y23、CeO2、ZrO2、Al23、Y3Al512(イットリウムアルミニウムガーネットすなわちYAG)が挙げられ、場合によってはその他の希土類金属酸化物も挙げられる。緻密化は、無加圧焼結、ホットプレス、熱間静水圧プレス(HIP)、又はガス圧焼結などの焼結プロセスによって実施することができる。熱間静水圧プレス窒化シリコンと比較して、ガス圧焼結材料は、高アスペクト比の粒子を伴う粗い構造を呈するのに対し、プレス材料は、より細かい等軸構造を有するであろう。ガス圧焼結は、最大2MPaの窒素ガス圧を使用して実施されてよく、窒素ガスは、窒化シリコンの熱分解を抑え、より高い焼結温度を緻密化に使用することを可能にする。ホットプレス窒化シリコンは、誘導加熱されたグラファイトダイに入れ、15〜30MPaの印加圧力下において1〜4時間にわたって1650℃から1850℃の範囲の温度まで熱及び一軸圧力を加えることによって形成することができる。別の技術は、窒化シリコンの成形構成部品を、0.1MPaの窒素雰囲気下において1700℃から1800℃で燃やすことを伴う。別の技術は、シリコンに対してその成形前にMgO又はY23などの添加物を加え、次いで、窒素雰囲気下において約1100℃から約1450℃の温度範囲で窒化することを伴う。金属酸化物の焼結助剤(例えばMgO)は、緻密な窒化シリコン構成部品の形成を容易にするが、これらは、プラズマ処理時にシリコンウエハの表面上に許容できないレベルの金属汚染を生じる可能性がある。
プラズマ処理後の様々な金属汚染物の表面濃度を決定するために、ホットプレスによって形成され約1wt%のMgO焼結助剤を含む窒化シリコン構成部品が、2300 EXELAN FLEX(登録商標)誘電体エッチングシステムに装着された。この材料は、十分に緻密であり、高純度のSi34粉末をMg含有化合物と混ぜ合わせ、次いでグラファイトダイに入れ、約1600℃を上回る温度でホットプレスすることによって作成された。ホットプレス後、部品は、ホットプレスされたブランクをもとに研磨され、エッチングチャンバにおける使用のために洗浄された。
2300 EXELAN FLEX(登録商標)誘電体エッチングシステムにおけるテストは、シリコンウエハの表面上における金属元素のレベルを決定する前に、約1wt%MgOを伴う窒化シリコン構成部品を約50時間にわたってプラズマ環境に曝すことを行った。フォトレジストをコーティングされたブランクシリコンウエハに、約5分間にわたって高アスペクト比コンタクト(HARC)エッチングレシピを施した。HARCエッチングレシピとして、約100sccmのC48/約50sccmのC46/約100sccmのCH22/約50sccmのO2/約1,000sccmのArのガス混合が、約35ミリトール及び約40ミリトールのチャンバ圧力を有するプロセスチャンバに供給された。C48/C46/CH22/O2/Arプロセスガスによってプラズマを生成するために、約5,000ワットから約6,000ワットの間のRF電力が印加された。完了後、テストウエハは取り出され、約30秒間にわたってウエハレス自動洗浄(WAC)チャンバ洗浄レシピが実施された。WAC洗浄レシピとして、約300sccmのN2及び約3,000sccmのO2が、約600ミリトールのチャンバ圧力を有するプロセスチャンバに供給された。N2/O2プロセスガスによってプラズマを生成し、処理チャンバの内部からポリマ堆積物を除去するために、約700ワットのRF電力が印加された。HARCエッチングレシピ及びそれに続くWACレシピからなるこの一連の手順は、窒化シリコン構成部品が約50時間にわたってプラズマ環境に曝されるまで繰り返された。HARCエッチングレシピ及びWACチャンバ洗浄レシピは、これらのプラズマ処理条件下においてクォーツが浸食を受けやすいゆえに選択された。
窒化シリコン構成部品がプラズマ環境において約50RF時間にわたって曝された後、コーティングを施されていない300mmのブランクシリコンテストウエハに、HARCエッチングレシピを使用したプラズマ処理を約5分間にわたって施した。プラズマ処理後、シリコンウエハの表面は、希硝酸(HNO3)によってすすがれ、様々な金属汚染物の表面濃度(原子数/cm2)を誘導結合プラズマ質量分析法(ICP−MS)によって分析された。図3は、MgO焼結助剤を伴う窒化シリコンベースの構成部品をプラズマに曝されたエッチングシステムにおいてプラズマ処理された300mmのブランクシリコンウエハについて、様々な金属汚染物の表面濃度を示している。
上述のように、シリコンウエハの表面上における金属元素の汚染レベルは、例外のアルミニウムを除き、5×1010原子数/cm2又はそれ未満であるのが理想的である。図3に見られるように、マグネシウムによる表面汚染は、100×1010原子数/cm2を超えた。したがって、特定の応用では、1wt%のMgO焼結助剤を伴う窒化シリコン構成部品の使用は、シリコンウエハの表面におけるマグネシウム及びその他の汚染のレベルが高いゆえに、完全に満足のいく結果を提供することができない。
シリコンウエハの表面上における金属汚染を軽減させるためのアプローチの1つは、アルミニウム、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、又はジルコンを意図的に添加されない焼結助剤を使用することである。高純度の二酸化シリコンを焼結助剤として含む高純度の窒化シリコンベースのプラズマ処理構成部品は、結果としてシリコンウエハの表面上における金属元素の汚染レベルを軽減させることがわかった。
プラズマ処理後の様々な金属汚染物の表面濃度を決定するために、熱間静水圧プレスによって形成され10wt%の二酸化シリコン焼結助剤を含む窒化シリコン構成部品が、2300 EXELAN FLEX(登録商標)誘電体エッチングシステムに装着された。窒化シリコン構成部品を作成するためのプロセス工程は、(1)アルコール溶媒の中で、割合90(wt%)の高純度の窒化シリコン粉末を割合10(wt%)の高純度の二酸化シリコン粉末と混ぜ合わせる工程、(2)アルコール溶媒を蒸発させて、乾燥粉末混合を形成する工程、(3)粉末混合をダイセットに装填し、約100MPaから約120MPaの間で混合粉末に一軸乾燥プレス又は冷間静水圧プレス(CIP)を施して、予備成形素地(すなわち未焼成セラミック体)を形成する工程、並びに(4)ガラスカプセル技術を使用して、約1750℃から約1900℃の間の温度で約175MPaから約225MPaの間の印加圧力下において約60分から約120分の間の時間にわたって予備成形品を熱間静水圧プレス(HIP)する工程である。
一軸乾燥プレス又は冷間静水圧プレスにおいて、予備成形素地の密度は、理論密度の45%以上である。熱間静水圧プレス後、窒化シリコン構成部品は、光学的微細構造に基づいて、理論密度の約95%又はそれを超える密度を有するものと決定され、この構造において、窒化シリコン構成部品は、空孔フリーであった。二酸化シリコン焼結助剤を含む窒化シリコン構成部品は、5000ppm未満、好ましくは1000ppm未満、最も好ましくは100ppm未満の総金属汚染を有する。金属汚染は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、及びジルコンを含む。
前述のように、2300 EXELAN FLEX(登録商標)誘電体エッチングシステムにおけるテストは、シリコンウエハの表面上における金属元素のレベルを決定する前に、10wt%二酸化シリコンを伴う窒化シリコン構成部品を約50時間にわたってプラズマ環境に曝すことを行った。フォトレジストをコーティングされたブランクシリコンウエハに、約5分間にわたって高アスペクト比コンタクト(HARC)エッチングレシピを施した。完了後、テストウエハは取り出され、約30秒間にわたってウエハレス自動洗浄(WAC)チャンバ洗浄レシピが実施された。この一連の手順は、窒化シリコン構成部品が約50時間にわたってプラズマ環境に曝されるまで繰り返された。
プラズマ処理後、シリコンウエハの表面は、希硝酸(HNO3)によってすすがれ、様々な金属汚染物の表面濃度(原子数/cm2)を誘導結合プラズマ質量分析法(ICP−MS)によって分析された。図4に見られるように、マグネシウムによる表面汚染は、5×1010原子数/cm2未満であった。また、カルシウム、リチウム、ナトリウムは、5×1010原子数/cm2汚染レベルを超えたが、これらの金属のレベルは、約22×1010原子数/cm2未満であった。図3の結果と図4の結果とを比較すると、10%のSiO2焼結助剤を含む窒化シリコン構成部品は、1%のMgOを含む窒化シリコン構成部品と比べて大幅な改善を提供している。
熱間静水圧プレスによって形成されSiO2焼結助剤を含む窒化シリコン構成部品は、クォーツ同等物と比較して、フルオロカーボン/ヒドロフルオロカーボンプラズマ及び酸素/窒素プラズマに曝されるときに優れた耐摩耗性も呈した。更に、10wt%のSiO2焼結助剤を含む窒化シリコンは、最も低い磨耗率を呈すことがわかった。ガラスカプセルに封入した熱間静水圧プレスによって、約5wt%、約10wt%、約20wt%、及び約35wt%のSiO2焼結助剤を含む窒化シリコンベースの構成部品(例えばピンスリーブ)が形成された。極めて精密にダイヤモンド研削され、洗浄された後、各構成部品は、個別に2300 EXELAN FLEX(登録商標)誘電体エッチングシステムに入れられ、約5分間に及ぶHARCエッチングレシピと続く約30秒間に及ぶWACレシピとを、プラズマ曝露が合計で約18RF時間に達するまで交互に施された。テスト時に、ピンスリーブ構成部品は、イオン衝撃及び/又はイオン化ハロゲンガスに曝された。ピンスリーブの磨耗率は、図5Aに示されるように、y方向における寸法の変化を測定することによって決定された。ピンスリーブは、図5Aに示されるように、その高さが新しいピンスリーブの高さの50%まで磨耗されたときに交換される。一実施形態では、ピンスリーブの高さは、約5mmから約15mmの範囲に及ぶことができる。
図5Bに示されるように、約5wt%から約20wt%の間のSiO2を含む窒化シリコンピンスリーブ構成部品は、プラズマ処理時において約8μm/RF時間未満の改善された磨耗率を示した。クォーツ構成部品(すなわち100%SiO2)は、約13μm/RF時間の最も高い磨耗率を示した。しかしながら、約10wt%のSiO2を含む窒化シリコン構成部品は、少なくとも2分の1に減少した約6μm/RF時間の磨耗率を示した。したがって、クォーツ消耗構成部品を、約5wt%から約20wt%の間(例えば約8wt%から約12wt%の間、約9wt%から約11wt%の間、約10wt%)のSiO2を含む窒化シリコン構成部品で置き換えることによって、寿命を、約250RF時間から2倍以上に延ばし、約800RF時間から約1,000RF時間の間にすることができる。
以上は、その具体的な実施形態への言及によって詳細に説明されてきたが、当業者ならば、添付の特許請求の範囲から逸脱することなく様々な修正及び変更が可能であること並びに均等物が用いられることが明らかである。
(形態1)
プラズマエッチングチャンバの平均洗浄間隔時間及びチャンバパーツの寿命を延ばす方法であって、
イオン衝撃及び/又はイオン化ハロゲンガスに曝される少なくとも1つの焼結窒化シリコン構成部品を使用しつつ、前記チャンバ内において一度に1枚ずつ半導体基板をプラズマエッチングする工程を含み、前記窒化シリコン構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなる
方法。
(形態2)
形態1に記載の方法であって、更に、
前記半導体基板をプラズマエッチングする前に、クォーツ構成部品を前記焼結窒化シリコン構成部品に置き換える工程を含む、方法。
(形態3)
形態1に記載の方法であって、更に、
前記半導体基板をプラズマエッチングする前に、窒化シリコン構成部品を前記焼結窒化シリコン構成部品に置き換える工程を含む、方法。
(形態4)
形態1に記載の方法であって、
前記構成部品は、ピンスリーブ、閉じ込めリング、ホットエッジリングカバー、又は接地カバーリングの少なくとも1つである、方法。
(形態5)
形態1に記載の方法であって、
前記プラズマエッチングは、フルオロカーボン及び/又はヒドロフルオロカーボンエッチングガスを使用して誘電体材料内に開口をエッチングする工程を含む、方法。
(形態6)
形態1に記載の方法であって、
前記高純度の窒化シリコン及び前記高純度の二酸化シリコンは、1000ppmから5000ppmの間の、100ppmから1000ppmの間の、又は100ppm未満の金属不純物を有し、前記金属不純物は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、及びジルコンを含み、前記窒化シリコン構成部品は、理論密度の約95%若しくはそれを超える密度を有する、且つ/又は空孔フリーである、方法。
(形態7)
形態1に記載の方法であって、
前記平均洗浄間隔時間は、誘電体材料のエッチング時において約800RF時間から約1,000RF時間の間である、方法。
(形態8)
形態1に記載の方法であって、更に、
前記プラズマエッチングチャンバから前記半導体基板を取り出す工程と、
前記プラズマエッチングチャンバの内部をプラズマ洗浄する工程であって、前記プラズマ洗浄は、前記プラズマエッチングチャンバの前記内部からポリマ堆積物を除去するために、酸素ガス及び/又は窒素ガスによってプラズマを生成する工程を含み、前記プラズマ洗浄は、1枚の基板をエッチングした後、別の基板をエッチングする前に実施される、
方法。
(形態9)
プラズマ処理チャンバであって、
前記処理チャンバの内部において基板を支えるための基板ホルダと、
前記基板に隣接して曝露表面を有する焼結窒化シリコン構成部品であって、前記構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなる、焼結窒化シリコン構成部品と、
前記処理チャンバの前記内部にプロセスガスを供給するガス供給と、
前記基板を処理するために、前記処理チャンバの前記内部にエネルギを供給して前記プロセスガスをプラズマ状態に励起するエネルギ源であって、前記構成部品は、前記プラズマによる処理時に前記基板の表面上における金属汚染を最小限に抑えて100×10 10 原子数/cm 2 未満にするエネルギ源と
を備えるプラズマ処理チャンバ。
(形態10)
形態9に記載のプラズマ処理チャンバであって、
前記構成部品は、前記プラズマによる処理時に前記基板の前記表面上における金属汚染を最小限に抑えて50×10 10 原子数/cm 2 未満にし、前記構成部品は、約80wt%から約93wt%の間の高純度の窒化シリコンと、約7wt%から約20wt%の間の焼結助剤とからなる、プラズマ処理チャンバ。
(形態11)
形態9に記載のプラズマ処理チャンバであって、
前記構成部品は、前記プラズマによる処理時に前記基板の前記表面上における金属汚染を最小限に抑えて10×10 10 原子数/cm 2 未満にする、プラズマ処理チャンバ。
(形態12)
形態9に記載のプラズマ処理チャンバであって、
前記構成部品は、前記プラズマによる処理時に前記基板の前記表面上における金属汚染を最小限に抑えて5×10 10 原子数/cm 2 未満にする、プラズマ処理チャンバ。
(形態13)
形態9に記載の処理チャンバであって、
前記金属汚染は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、及びジルコンを含む、処理チャンバ。
(形態14)
形態9に記載の処理チャンバであって、
前記プロセスガスは、フルオロカーボン及び/又はヒドロフルオロカーボンを含む、処理チャンバ。
(形態15)
プラズマ処理時のシリコン基板の表面上における金属汚染を軽減する方法であって、
プラズマ処理装置の反応チャンバ内において基板サポートの上にシリコン基板を配する工程であって、前記プラズマ処理装置は、1つ又は2つ以上の焼結窒化シリコン構成部品を含み、前記窒化シリコン構成部品は、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなり、
前記反応チャンバにプロセスガスを導入し、
前記プロセスガスからプラズマを生成し、
前記シリコン基板を前記プラズマによって処理する
方法。
(形態16)
形態15に記載の方法であって、
前記プロセスガスは、フルオロカーボン及び/又はヒドロフルオロカーボンを含む、方法。
(形態17)
形態15に記載の方法であって、
前記1つ又は2つ以上の窒化シリコン構成部品は、ピンスリーブ、閉じ込めリング、ホットエッジリングカバー、又は接地カバーリングである、方法。
(形態18)
形態15に記載の方法であって、
前記シリコン基板は、前記プラズマによる前記シリコン基板の処理後に約5×10 10 原子数/cm 2 未満の金属表面濃度を有する、方法。
(形態19)
形態18に記載の方法であって、
前記金属は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、又はジルコンを含む、方法。
(形態20)
形態15に記載の方法であって、
前記シリコン基板を処理することは、エッチングを含む、方法。
(形態21)
プラズマエッチングチャンバ内においてイオン衝撃及び/又はプラズマ浸食に曝されるプラズマエッチングチャンバ処理構成部品を製造する方法であって、
約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の二酸化シリコンとからなる粉末組成を混合する工程と、
前記粉末組成から成形構成部品を形成する工程と、
熱及び圧力の同時的印加によって前記成形構成部品を緻密化する工程と、
を備える方法。
(形態22)
形態21に記載の方法であって、
前記粉末組成を混合する工程は、更に、
アルコール溶媒の中で、高純度の窒化シリコンと高純度の二酸化シリコンとを混ぜ合わせる工程と、
前記アルコール溶媒を蒸発させて、乾燥粉末混合を形成する工程と、
を含み、前記成形構成部品を形成することは、更に、
前記乾燥粉末混合をダイセットに装填する工程と、
約100MPaから約120MPaの間の圧力で前記粉末混合に一軸プレス又は冷間静水圧プレスを施して、予備成形素地を形成する工程と、
を含み、
熱及び圧力の同時的印加によって前記成形構成部品を緻密化する工程は、
ガラスカプセル技術を使用して、約1750℃から約1900℃の間の温度で約175MPaから約225MPaの間の印加圧力下において約60分から約120分の間の時間にわたって前記予備成形素地を熱間静水圧プレスする処理を含む、方法。
(形態23)
形態22に記載の方法であって、
前記予備成形素地は、理論密度の45%の最小密度を有する、方法。
(形態24)
プラズマ処理構成部品であって、
焼結窒化シリコン構成部品であって、約80wt%から約95wt%の間の高純度の窒化シリコンと、約5wt%から約20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなる、焼結窒化シリコン構成部品を備えるプラズマ処理構成部品。
(形態25)
形態24に記載のプラズマ処理構成部品であって、
前記構成部品は、ピンスリーブ、閉じ込めリング、ホットエッジリングカバー、又は接地カバーリングである
プラズマ処理構成部品。

Claims (21)

  1. プラズマエッチングチャンバの平均洗浄間隔時間及びチャンバパーツの寿命を延ばす方法であって、
    イオン衝撃及び/又はイオン化ハロゲンガスに曝される少なくとも1つの焼結窒化シリコン構成部品を使用しつつ、前記チャンバ内において一度に1枚ずつ半導体基板をプラズマエッチングする工程を含み、前記窒化シリコン構成部品は、80wt%から5wt%の間の高純度の窒化シリコンと、15wt%から20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなる
    方法。
  2. 請求項1に記載の方法であって、更に、
    前記半導体基板をプラズマエッチングする前に、クォーツ構成部品を前記焼結窒化シリコン構成部品に置き換える工程を含む、方法。
  3. 請求項1または請求項2記載の方法であって、
    前記構成部品は、ピンスリーブ、閉じ込めリング、ホットエッジリングカバー、又は接地カバーリングの少なくとも1つである、方法。
  4. 請求項1ないし請求項3のいずれか一項に記載の方法であって、
    前記プラズマエッチングは、フルオロカーボン及び/又はヒドロフルオロカーンエッチングガスを使用して誘電体材料内に開口をエッチングする工程を含む、方法。
  5. 請求項1ないし請求項4のいずれか一項に記載の方法であって、
    前記高純度の窒化シリコン及び前記高純度の二酸化シリコンは、1000ppmから5000ppmの間の、100ppmから1000ppmの間の、又は100ppm未満の金属不純物を有し、前記金属不純物は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、及びジルコンを含み、前記窒化シリコン構成部品は、理論密度の95%若しくはそれを超える密度を有する、且つ/又は空孔フリーである、方法。
  6. 請求項1ないし請求項5のいずれか一項に記載の方法であって、
    前記平均洗浄間隔時間は、誘電体材料のエッチング時において800RF時間から1,000RF時間の間である、方法。
  7. 請求項1ないし請求項6のいずれか一項に記載の方法であって、更に、
    前記プラズマエッチングチャンバから前記半導体基板を取り出す工程と、
    前記プラズマエッチングチャンバの内部をプラズマ洗浄する工程であって、前記プラズマ洗浄は、前記プラズマエッチングチャンバの前記内部からポリマ堆積物を除去するために、酸素ガス及び/又は窒素ガスによってプラズマを生成する工程を含み、前記プラズマ洗浄は、1枚の基板をエッチングした後、別の基板をエッチングする前に実施される、
    方法。
  8. 請求項1に記載の方法であって、更に、
    前記半導体基板をプラズマエッチングする前に、窒化シリコン構成部品を前記焼結窒化シリコン構成部品に置き換える工程を含む、方法。
  9. プラズマ処理チャンバであって、
    前記処理チャンバの内部において基板を支えるための基板ホルダと、
    前記基板に隣接して曝露表面を有する焼結窒化シリコン構成部品であって、前記構成部品は、80wt%から5wt%の間の高純度の窒化シリコンと、15wt%から20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなる、焼結窒化シリコン構成部品と、
    前記処理チャンバの前記内部にプロセスガスを供給するガス供給と、
    前記基板を処理するために、前記処理チャンバの前記内部にエネルギを供給して前記プロセスガスをプラズマ状態に励起するエネルギ源であって、前記構成部品は、前記プラズマによる処理時に前記基板の表面上における金属汚染を最小限に抑えて100×1010原子数/cm2未満にするエネルギ源と
    を備えるプラズマ処理チャンバ。
  10. 請求項9に記載のプラズマ処理チャンバであって、
    前記構成部品は、前記プラズマによる処理時に前記基板の前記表面上における金属汚染を最小限に抑えて50×1010原子数/cm2未満にる、プラズマ処理チャンバ。
  11. 請求項9または請求項10に記載のプラズマ処理チャンバであって、
    前記構成部品は、前記プラズマによる処理時に前記基板の前記表面上における金属汚染を最小限に抑えて10×1010原子数/cm2未満にする、プラズマ処理チャンバ。
  12. 請求項9ないし請求項11のいずれか一項に記載のプラズマ処理チャンバであって、
    前記構成部品は、前記プラズマによる処理時に前記基板の前記表面上における金属汚染を最小限に抑えて5×1010原子数/cm2未満にする、プラズマ処理チャンバ。
  13. 請求項9ないし請求項12のいずれか一項に記載の処理チャンバであって、
    前記金属汚染は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、及びジルコンを含む、処理チャンバ。
  14. 請求項9ないし請求項13のいずれか一項に記載の処理チャンバであって、
    前記プロセスガスは、フルオロカーボン及び/又はヒドロフルオロカーボンを含む、処理チャンバ。
  15. プラズマ処理時のシリコン基板の表面上における金属汚染を軽減する方法であって、
    プラズマ処理装置の反応チャンバ内において基板サポートの上にシリコン基板を配する工程であって、前記プラズマ処理装置は、1つ又は2つ以上の焼結窒化シリコン構成部品を含み、前記窒化シリコン構成部品は、80wt%から5wt%の間の高純度の窒化シリコンと、15wt%から20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからなり、
    前記反応チャンバにプロセスガスを導入し、
    前記プロセスガスからプラズマを生成し、
    前記シリコン基板を前記プラズマによって処理する
    方法。
  16. 請求項15に記載の方法であって、
    前記プロセスガスは、フルオロカーボン及び/又はヒドロフルオロカーボンを含む、方法。
  17. 請求項15または請求項16に記載の方法であって、
    前記1つ又は2つ以上の窒化シリコン構成部品は、ピンスリーブ、閉じ込めリング、ホットエッジリングカバー、又は接地カバーリングである、方法。
  18. 請求項15ないし請求項17のいずれか一項に記載の方法であって、
    前記シリコン基板は、前記プラズマによる前記シリコン基板の処理後に5×1010原子数/cm2未満の金属表面濃度を有する、方法。
  19. 請求項18に記載の方法であって、
    前記金属は、バリウム、カルシウム、セリウム、クロム、銅、ガリウム、インジウム、鉄、リチウム、マグネシウム、ニッケル、カリウム、ナトリウム、ストロンチウム、錫、チタン、バナジウム、イットリウム、亜鉛、又はジルコンを含む、方法。
  20. 請求項15ないし請求項19のいずれか一項に記載の方法であって、
    前記シリコン基板を処理することは、エッチングを含む、方法。
  21. プラズマ処理構成部品であって、
    焼結窒化シリコン構成部品であって、80wt%から5wt%の間の高純度の窒化シリコンと、15wt%から20wt%の間の焼結助剤とからなり、前記焼結助剤は、高純度の二酸化シリコンからな
    前記構成部品は、ピンスリーブ、閉じ込めリング、ホットエッジリングカバー、又は接地カバーリングである
    プラズマ処理構成部品。
JP2010532026A 2007-10-31 2008-10-27 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品 Active JP5567486B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US111307P 2007-10-31 2007-10-31
US61/001,113 2007-10-31
PCT/US2008/012173 WO2009058235A2 (en) 2007-10-31 2008-10-27 High lifetime consumable silicon nitride-silicon dioxide plasma processing components

Publications (3)

Publication Number Publication Date
JP2011503845A JP2011503845A (ja) 2011-01-27
JP2011503845A5 JP2011503845A5 (ja) 2011-12-08
JP5567486B2 true JP5567486B2 (ja) 2014-08-06

Family

ID=40591690

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010532026A Active JP5567486B2 (ja) 2007-10-31 2008-10-27 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品

Country Status (6)

Country Link
US (1) US8622021B2 (ja)
JP (1) JP5567486B2 (ja)
KR (1) KR101645043B1 (ja)
CN (1) CN101889329B (ja)
TW (1) TWI433199B (ja)
WO (1) WO2009058235A2 (ja)

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4833687B2 (ja) * 2006-02-27 2011-12-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US20090221150A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP2010199475A (ja) * 2009-02-27 2010-09-09 Tokyo Electron Ltd プラズマ処理装置のクリーニング方法及び記憶媒体
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
JP2011003730A (ja) * 2009-06-18 2011-01-06 Mitsubishi Materials Corp プラズマ処理装置用シリコンリング
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
DE112011105215A5 (de) * 2011-05-06 2014-05-15 Osram Opto Semiconductors Gmbh Bauelementträgerverbund mit einer bauelementträgerbereiche abtrennenden Grabenstruktur und Verfahren zur Herstellung einer Mehrzahl von Bauelementträgerbereichen
US8747538B2 (en) * 2011-09-20 2014-06-10 Chung-Hou Tony Hsiao Photovoltaic ingot mold release
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7055040B2 (ja) * 2018-03-07 2022-04-15 東京エレクトロン株式会社 被処理体の載置装置及び処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US20200161506A1 (en) * 2018-11-21 2020-05-21 Osram Opto Semiconductors Gmbh Method for Producing a Ceramic Converter Element, Ceramic Converter Element, and Optoelectronic Component
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5860676A (ja) * 1981-09-30 1983-04-11 日本特殊陶業株式会社 窒化珪素焼結体及びその製造方法
US4761134B1 (en) * 1987-03-30 1993-11-16 Silicon carbide diffusion furnace components with an impervious coating thereon
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3066783B2 (ja) * 1992-11-16 2000-07-17 東京エレクトロン株式会社 電極材料及びそれを用いたプラズマ処理装置
JPH08133840A (ja) * 1994-10-31 1996-05-28 Kyocera Corp 半導体製造装置用セラミックス
JP2777076B2 (ja) * 1995-01-27 1998-07-16 京セラ株式会社 高周波用低損失誘電体材料
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP2000026166A (ja) * 1998-07-07 2000-01-25 Kyocera Corp 高純度窒化珪素質耐食性部材及びその製造方法
US6362110B1 (en) 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
JP2002226274A (ja) * 2001-01-25 2002-08-14 Ngk Insulators Ltd 耐蝕性セラミック材料、その製造方法および半導体製造用製品
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
KR100798179B1 (ko) * 2001-04-27 2008-01-24 교세라 가부시키가이샤 웨이퍼 가열장치
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP2003253449A (ja) * 2002-02-27 2003-09-10 Sumitomo Electric Ind Ltd 半導体/液晶製造装置
JP3840990B2 (ja) * 2002-03-05 2006-11-01 住友電気工業株式会社 半導体/液晶製造装置
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
TWI247551B (en) * 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
JP2007046141A (ja) * 2005-08-12 2007-02-22 Ngk Insulators Ltd 加熱装置
JP4555865B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
KR101046902B1 (ko) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
CN100483750C (zh) * 2005-12-15 2009-04-29 无锡尚德太阳能电力有限公司 基于丝网印刷工艺的背面点接触硅太阳电池的制造方法
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
JP5358543B2 (ja) * 2009-09-17 2013-12-04 日本碍子株式会社 セラミックスヒーター及びその製造方法
US8652981B2 (en) * 2010-01-21 2014-02-18 Ceradyne, Inc. Dense silicon nitride body having high strength, high Weibull modulus and high fracture toughness

Also Published As

Publication number Publication date
KR101645043B1 (ko) 2016-08-02
US8622021B2 (en) 2014-01-07
JP2011503845A (ja) 2011-01-27
TWI433199B (zh) 2014-04-01
US20110021031A1 (en) 2011-01-27
WO2009058235A3 (en) 2009-06-18
TW200939285A (en) 2009-09-16
KR20100099137A (ko) 2010-09-10
CN101889329B (zh) 2012-07-04
CN101889329A (zh) 2010-11-17
WO2009058235A2 (en) 2009-05-07

Similar Documents

Publication Publication Date Title
JP5567486B2 (ja) 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US6890861B1 (en) Semiconductor processing equipment having improved particle performance
US6394026B1 (en) Low contamination high density plasma etch chambers and methods for making the same
KR200476554Y1 (ko) 경사진 상부면을 가진 고온 에지 링
TWI279397B (en) Erosion resistant process chamber components
JP2008511175A (ja) プラズマチャンバ内部で使用するためのイットリア絶縁体リング
JP5043439B2 (ja) 遊離炭素を取り除くために扱われた半導体基板処理装置の炭化シリコン部品
JP2000072529A (ja) 耐プラズマ部材およびそれを用いたプラズマ処理装置
US8715782B2 (en) Surface processing method
US20220204410A1 (en) Controlled porosity yttrium oxide for etch applications
JP2021534587A (ja) 処理チャンバ用コーティング材料
TWI777799B (zh) 大尺寸的氧化釔燒結體
US20180040457A1 (en) Surface treatment for improvement of particle performance
JP4623794B2 (ja) アルミナ質耐食部材及びプラズマ装置
US20200194242A1 (en) Integrated cleaning process for substrate etching
KR20230104663A (ko) 플라즈마 프로세싱 챔버를 위한 스파크 플라즈마 소결된 (spark plasma sinter) 컴포넌트

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111018

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111018

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140610

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140619

R150 Certificate of patent or registration of utility model

Ref document number: 5567486

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250