JP4913313B2 - エッジリングクランピングアセンブリ、プラズマ反応チャンバ、及び半導体基板を処理する方法 - Google Patents

エッジリングクランピングアセンブリ、プラズマ反応チャンバ、及び半導体基板を処理する方法 Download PDF

Info

Publication number
JP4913313B2
JP4913313B2 JP2002534582A JP2002534582A JP4913313B2 JP 4913313 B2 JP4913313 B2 JP 4913313B2 JP 2002534582 A JP2002534582 A JP 2002534582A JP 2002534582 A JP2002534582 A JP 2002534582A JP 4913313 B2 JP4913313 B2 JP 4913313B2
Authority
JP
Japan
Prior art keywords
edge ring
chuck
reaction chamber
plasma reaction
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002534582A
Other languages
English (en)
Other versions
JP2004511901A (ja
JP2004511901A5 (ja
Inventor
ジェロム エス. ヒューバセク、
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004511901A publication Critical patent/JP2004511901A/ja
Publication of JP2004511901A5 publication Critical patent/JP2004511901A5/ja
Application granted granted Critical
Publication of JP4913313B2 publication Critical patent/JP4913313B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)

Description

【0001】
発明の分野
本発明は、プラズマ処理用に改良された装置及び方法に関し、特に、半導体基板をエッチングするプラズマ用に改良された装置及び方法に関する。
発明の背景
半導体処理の分野では、真空チャンバにエッチングガス又はデポジションガスを供給し、このガスにRF電界を加えてガスにエネルギを与えてプラズマ状態にすることによって、基板上の材料をエッチングしたり、化学気相成長(CVD)をするために、真空処理チャンバが一般的に用いられる。平行平板の例としては、誘導結合プラズマ(ICP)とも呼ばれる変圧器結合プラズマ(TCP(登録商標))、電子サイクロトロン共鳴(ECR)リアクタ、及びこれらの構成要素が、本願と同じ出願人による U.S. Patent Nos.4,340,462、4,948,458、5,200,232、及び 5,820,723 に開示されている。U.S. Patent No.4,793,975 も平行平板プラズマリアクタを開示している。
【0002】
半導体基板の処理中では、基板は、機械的なクランプ及び静電気的なクランプ(ESC)によって、真空チャンバ内の基板ホルダ上の所定位置に、一般的に保持される。このようなクランピングシステム及びその構成要素の例としては、本願と同じ出願人による U.S. Patent Nos. 5,262,029 及び 5,838,529 がある。単極チャックの例としては、U.S. Patent No. 4,665,463 があり、複極チャックの例としては、U.S. Patent Nos. 4,692,836 及び U.S. Patent Nos. 5,055,964 がある。基板を冷却するためには、ヘリウム等の冷却ガスが基板の裏面に供給されうる。このような冷却の例としては、U.S. Patent Nos. 5,160,152、5,238,499、5,350,479、及び 5,534,816 がある。
【0003】
基板支持体は、ウエハ上方の領域にプラズマを閉じ込め、及び/又は、プラズマによる侵食からESCを保護するために、基板の周囲に消耗(犠牲)エッジリングを含むことができる。例えば、エッジリング機構が、本願と同じ出願人による U.S. Patent Nos. 5,805,408、5,998,932、及び 6,013,984 に記載されている。他のエッジリング機構の他の例としては、U.S. Patent Nos. 5,494,523、5,986,874、6,022,809、6,096,161、及び 6,117,349 がある。
【0004】
犠牲リングがウエハを取り囲むプラズマ処理機構では、リングと基板支持体の下にある部分との間の熱的接触を改善することが望ましい。熱結合を改善することによって、リングの温度制御の改善が実現され、リングとウエハとの間に必要とされる間隙が小さくなりうる。また、ウエハの端部付近におけるプラズマの均一性を改善するために、ウエハ上方の領域におけるベースプレートからプラズマまでのRFインピーダンス経路が、エッジリングの領域におけるベースプレートからプラズマまでのRFインピーダンス経路とより近く一致することが望ましい。
発明の要約
本発明は、プラズマ反応チャンバ内で基板支持体を取り囲むように構成された結合リングアセンブリを提供するものである。結合リングアセンブリは、環状の支持面を有する部材と、支持面上にある静電チャックと、を備える。
【0005】
本発明は、また、処理すべきウエハをその上に搭載可能なウエハ支持体と、処理中にその上でエッジリングを支持可能な静電エッジリングチャックと、を備えるプラズマ反応チャンバを提供するものである。好適な実施の形態に係るプラズマ反応チャンバにおいては、基板支持体は、その上面に静電ウエハチャックを有するベースプレートを備える。他の好適な実施の形態に係るプラズマ反応チャンバにおいては、エッジリングチャックは、結合リングアセンブリの一部である。
【0006】
本発明は、また、プラズマチャンバ内のウエハ等の半導体基板を処理する方法を提供するものであり、このプラズマチャンバは、基板支持体と、基板支持体の上面の上の静電ウエハチャックと、その上にエッジリングを支持可能な静電エッジリングチャックと、を備える。好適な実施の形態によれば、この方法は、ウエハをウエハチャックに静電気的にクランプする工程と、エッジリングをエッジリングチャックに静電気的にクランプする工程と、プラズマチャンバの内部にプロセスガスを供給する工程と、このプロセスガスにエネルギを加えてプラズマ状態にする工程と、このプラズマを用いてウエハを処理する工程と、を含む。
好適な実施の形態の説明
本発明は、プラズマリアクタ用に改良された基板支持機構であって、シリコンウエハ等の半導体基板を取り囲む犠牲エッジリングを提供するものである。この機構では、エッジリングは、下にある基板支持体上に単に置かれているだけであ、重力及び/又は基板支持体との摩擦接触によって所定位置に保持されており、このリングは、基板のプラズマ処理中に非常に高温になりうる。基板がエッジリングの一部の上に位置する場合には、プラズマ処理中のエッジリングの熱膨張によって、高温のエッジリングが基板を基板支持体から持ち上げてしまわないように、エッジリングと基板との間に十分な間隙を与えることが必要となる。基板にRFバイアスを供給する電動電極を備える基板支持体に、基板を固定させる静電チャックが用いられる場合には、電動電極からESC及び基板を通ってプラズマまでのRFインピーダンス経路が、電動電極の外側部分からエッジリングを通ってプラズマまでのRFインピーダンス経路と異なる場合があり、これによって、基板のエッジで不均一なプラズマ密度が生じうる。本発明は、基板支持体に対するエッジリングの熱結合を改善する静電エッジリングチャックを提供することによって、このような問題を解決するものである。更に、本発明に係るエッジリングチャック及びエッジリング用の材料を選択することによって、RFインピーダンス経路マッチングが改善される結果、基板全体にわたってより均一なプラズマ密度を提供することが可能である。
【0007】
図1は、本発明の一実施形態に係る平行平板プラズマ反応チャンバ10を示す図である。この装置は、上部電極11と下部電極アセンブリ12とを備える。下部電極アセンブリは、ベースプレート13と、その上面に搭載された静電ウエハチャック14と、を備える。結合リング15は、ベースプレートのフランジ16上に置かれる。結合リング15は、その上面の上に搭載されたエッジリングチャック17を有する。エッジリング18は、エッジリングチャック17の露出した上面の上に支持される。ウエハ19は、ウエハチャック及びエッジリング18の内面と重なり合うようにウエハチャック14の上に搭載される。ウエハ19は、ウエハチャック14のエッジのプラズマ中のイオンへの露出が減少するように、ウエハチャックのエッジと重なり合う。ウエハチャック14のエッジのプラズマへの露出は、侵食の原因となり、それによってチャック14の寿命を減らすことになりうる。エッジリング18は、プラズマ中のイオンからウエハチャック14のエッジを更に保護するため、ウエハチャック14を取り囲む。エッジリング18は、消耗品又は交換可能な部品である。ウエハ19は、ウエハチャック14にかぶさっており、エッジリング18の一部は、ウエハ19のエッジの下に延びている。典型的には、ウエハ19は、ウエハチャック14のエッジを1〜2mmかぶさる。ウエハチャック14の保護をより強化するため、エッジリング18の上部は、ウエハ19の下側にできるだけ近く配置される。処理中にエッジリングが膨張するため、ウエハの下側とエッジリングとの間には間隙が必要とされる。エッジリングがウエハ裏面に接近し過ぎると、処理中にエッジリングの熱膨張によって、ウエハが外れて、処理が中止しうる。
【0008】
図2は、図1の下部電極アセンブリ12の拡大図である。ウエハ19は、エッジリング18と重なり合って、ウエハ裏面とエッジリング18との間にギャップ又は間隙を形成するように図示されている。エッジリング18の内側のエッジは、ウエハチャック14の外端と接しているため、ウエハ19に対して所望の位置でエッジリング18を保持する。図に示すように、エッジリング18は、結合リング15と、その上面に固定された静電エッジリングチャック17と、を備える結合リングアセンブリ上に置かれている。エッジリングチャック17は、結合リング15の全体にわたって延びることができるか、或いは、エッジリングチャック17は、結合リング15の上面の窪みに配置されうる。結合リング15は、複数のボルト24のような機械的取り付け又は接着取り付けを用いるか、或いは、用いないで、ベースプレート13上に支持されうる。エッジリングチャック17は、ボルト24の1つの通路を通して延びるリード線28を用いて、DC電源26のような適切な電源構成によって、DC電力が供給されうる。エッジリング15とベースプレート13との間の熱の移動を改善するため、ガス供給源30からヘリウム又はプロセスガスなどの伝熱ガスが、結合リング15とベースプレート13との間、及び/又は、エッジリングチャック17とエッジリング18との間の境界面に、ガス通路32を介して供給されうる。ガス通路32は、例えば、ボルト28の通路を通して延びるなどのように、ベースプレート13の周りに空いたスペースの1つ又は複数の位置で、ベースプレート13及び結合リング15を通して延びることができる。エッジリングチャック17は、単極又は複極であってもよい。
【0009】
図3〜図5は、本発明の様々な他の実施形態を示す図であり、エッジリングチャックが、結合リング上ではなくベースプレート上に搭載される。図3に示すように、エッジリングチャックはベースプレート32上に支持され、ウエハチャック36はウエハ38を支持する。熱の移動を促進するために、ガス供給源30は、ガス通路32を通してエッジリングチャック34とエッジリング30との間の境界面に、伝熱ガスを供給することができる。
【0010】
図4は、一体型(ワンピース)ウエハ及びエッジリングチャックを備える本発明のプラズマチャンバの他の実施形態を示す図である。図に示すように、一体型ウエハ/エッジリングチャック40の上面の周辺部には、窪みが設けられており、これによって、チャックの中央部に搭載されたウエハ42が、チャックの周辺部に搭載されたエッジリング44と重なり合うことができる。エッジリングチャックの電極は、図に示すようにDC電源46によって電力が供給される。チャックのエッジリング部の内部電極(単極)又は複数の電極(複極)は、チャックのウエハ部の内部電極又は複数の電極から電気的に絶縁されていることが望ましい。
【0011】
図5は、本発明に係るプラズマチャンバの他の実施形態を示す図であり、エッジリングの上面及びウエハチャック50、52がほぼ共面にあるように、エッジリングチャック50及びウエハチャック52がベースプレート54上に直接搭載される。ベースプレート54上の溝56は、エッジリング50とウエハチャック52とを分離する。エッジリング58は、ベースプレート56の溝と半径方向に延びる部分62とを連結するように構成された軸方向に延びる部分60を有する。半径方向に延びる部分62の下面は、エッジリングチャック50上に置かれる。エッジリング60の軸方向に延びる部分は、処理中にエッジリングの膨張を許容する大きさに作られる。エッジリングの軸方向及び半径方向に延びる部分60、62は、ウエハ66がエッジリング58の内面と重なり合うことを許容するように構成された遷移部64によって接続される。他の実施形態と同様に、エッジリング58の下面には、伝熱ガス68が供給される。エッジリング60の軸方向に延びる部分とベースプレート56の溝との間の境界面には、ベースプレートとエッジリングとの熱結合を更に改善するために、伝熱ガスが供給されてもよい。
【0012】
より優れたエッジリングの温度制御によって、多数のプロセス上の利点が得られる。第一に、エッジリングの温度制御によって、エッジリングの熱膨張を低減し、エッジリングの上部とウエハの下面との間の小さな間隙が可能となる。例えば、エッジリングが、下にある結合リング又は基板支持体上に単に置かれただけの場合では、ウエハ裏面とエッジリングとの間の間隙は、典型的には0.005又は0、006インチの範囲にある。しかしながら、静電気的にクランプされるエッジリングを用いると、この間隙は0、002〜0、003インチにまで減少されうる。エッジリングとウエハとの間の間隙を減少させることによって、ウエハチャックのエッジが、プラズマによる侵食からより強く保護される。さらに、プラズマ処理中に生じたパーティクルがチャックのエッジに堆積しにくくなる。パーティクルの堆積は、ウエハがウエハチャックと均一に接触する妨げとなるため、クランプ能力が減少してしまう。エッジリングの温度を減少させることによっても、プラズマ中のイオンとエッジリング材料との化学反応の速度がより低温ではより低下するため、エッジリングの寿命を延ばすことができる。
【0013】
エッジリングをクランプするために静電チャックを用いることによっても、ウエハのエッチング特性が改善されうる。エッチング均一性の改善は、ウエハ及びエッジリングを通るRFインピーダンス経路のマッチングだけでなく、ウエハ及びエッジリングの温度のマッチングにも原因する。第一に、ウエハ及びエッジリングの処理中の温度が同様であれば、ウエハのエッジ付近のエッチング特性はよりう均一になりうる。第二に、ウエハチャックと同じ材料で作られたエッジリングチャックを用いることによって、RFを動力とするベースプレートから高温のエッジリングまでのインピーダンス経路は、RFを動力とするベースプレートからウエハまでのインピーダンス経路に、より近くなりうる。これは、ウエハ及びエッジリングが同じ材料(例えば、シリコン)で作られる場合に、特に当てはまる。ウエハ及びエッジリング表面付近のプラズマ領域の電流密度は、これらの表面のRFインピーダンスによって影響される。エッジリング及びウエハのRFインピーダンスをマッチングすることによって、プラズマからウエハ表面への電流の流れがウエハのエッジ付近でより均一になりうるため、プラズマの均一性及びリアクタのエッチング特性が向上する。
【0014】
静電気的にエッジリングをクランプすることによって、また、接着又は機械的な締め付け等の他のエッジリングの固定方法と比べた場合に、結果として、プラズマ処理装置の動作コストの低減がもたらされる。例えば、エッジリングを他の部材と接着させる必要がないため、消耗エッジリングのコストが低減されうる。また、静電気的なクランピングによって、エッジリングは、装置を動作させる前に、独立した工程で被覆される露出したネジ頭を持つこともない。
【0015】
エッジリングは、特に限定しないが、シリコン(silicon)、窒化シリコン(silicon nitride)、SiC(silicon carbide)、窒化アルミニウム(aluminum nitride)を含む任意の導電性材料で作られてもよい。エッジリングの材料は、RF結合の均一性を改善するため、ウエハの材料と同じであるのが好ましい。
【0016】
エッジリングチャックは、陽極酸化アルミニウム(anodized aluminum)、ポリイミド(polyimide)、及びセラミック材料を含む従来の静電ウエハチャックに用いられるような任意の適切な材料で作られてもよい。エッジリングチャックは、アルミナ(alumina)又は窒化アルミニウム(aluminum nitride)のようなセラミック材料で作られるのが好ましい。エッジリングチャックの1又は複数の電極は、任意の適切な導電性材料で作られてもよい。焼結セラミック(sintered ceramic)チャックを用いると、電極は、シンタリング中に生じる高温に耐えうるタングステン(tungsten)又はモリブデン(molybdenum)のような耐熱金属で作られるのが好ましい。エッジリングチャックは、2つのセラミック基板(ceramic green sheets)の間の耐熱金属電極(タングステンインク)をはさんで、焼結構造を形成するために加熱するようなシンタリング処理によって作られてもよい。エッジリングチャックは、クーロン(完全に絶縁性の)又はジョンソン−ラーベック型(半導体の)であってもよい。
【0017】
エッジリングチャックは、ウエハチャックを固定するための従来から知られている任意の方法及び材料を用いて、結合リング又は基板支持体に固定されうる。エッジリングチャックは、例えば、シリコーン接着剤のような高温ポリマー接着剤を用いて固定されてもよい。
【0018】
本発明は、その好適な実施の形態と関連して説明されたが、当業者であれば、特に示していないが、特許請求の範囲で定められた発明の思想及び範囲から逸脱しない限り、追加、削除、変更、及び置換が可能であることを理解できよう。
【図面の簡単な説明】
【図1】 本発明に係る平行平板プラズマ処理装置の部分図である。
【図2】 本発明の一実施形態に係る結合リング上に搭載されるエッジリングチャックを備えるプラズマチャンバの部分図である。
【図3】 本発明の他の実施形態に係るベースプレート上に搭載されるエッジリングチャックを備えるプラズマチャンバの部分図である。
【図4】 ベースプレート上に搭載される一体型エッジリング/ウエハチャックを備える本発明に係るプラズマチャンバの部分図である。
【図5】 ウエハとエッジリングチャックとの間のベースプレートの溝に収まるように構成されたエッジリングを備える本発明の他の実施の形態に係るプラズマチャンバの部分図である。

Claims (30)

  1. プラズマ反応チャンバ内で基板支持体を取り囲むように構成されたエッジリングクランピングアセンブリであって、
    環状の支持面を有する部材と、
    前記環状支持面上の静電エッジリングチャックと、
    前記基板支持体上に配置された基板の下面とエッジリングの上面との間に間隙を提供するように寸法付けられた、前記静電エッジリングチャック上のエッジリングと、を備え
    前記エッジリングチャックの外側のエッジと前記エッジリングの外側のエッジと、は垂直方向に一直線となるように並べられる、
    ことを特徴とするエッジリングクランピングアセンブリ
  2. 前記静電エッジリングチャックは、1つ又は複数の埋込み電極を含むセラミック体を含むことを特徴とする請求項1に記載のエッジリングクランピングアセンブリ
  3. 前記静電エッジリングチャックは、接着剤で前記支持面に接着されていることを特徴とする請求項1に記載のエッジリングクランピングアセンブリ
  4. 前記部材及び前記静電エッジリングチャックを通って延びる少なくとも1つのガス通路を更に備え、
    前記ガス通路は、前記静電エッジリングチャックの露出面に伝熱ガスを供給するように構成されていることを特徴とする請求項1に記載のエッジリングクランピングアセンブリ
  5. 前記静電エッジリングチャックは、バイポーラチャックを含むことを特徴とする請求項1に記載のエッジリングクランピングアセンブリ
  6. プラズマ反応チャンバであって、
    前記プラズマ反応チャンバ内で基板支持体を取り囲むように構成されたエッジリングクランピングアセンブリを備え、
    前記エッジリングクランピングアセンブリは、
    環状支持面を有する部材と、
    前記環状支持面上の静電エッジリングチャックと、
    前記基板支持体上に配置されたウエハの下面とエッジリングの上面との間に間隙を提供するように寸法付けられた前記エッジリングチャック上のエッジリングと、を備え
    前記エッジリングチャックの外側のエッジと前記エッジリングの外側のエッジと、は垂直方向に一直線となるように並べられる、
    ことを特徴とするプラズマ反応チャンバ。
  7. 前記基板支持体はベースプレートを備え、前記ベースプレートは、その上面に静電ウエハチャックを有することを特徴とする請求項6に記載のプラズマ反応チャンバ。
  8. 前記部材の下面は前記基板支持体の上面に接触することを特徴とする請求項6に記載のプラズマ反応チャンバ。
  9. 前記ウエハチャックの上面は、前記エッジリングチャックの上面よりも高いことを特徴とする請求項7に記載のプラズマ反応チャンバ。
  10. 前記ウエハチャックとエッジリングチャックとの間の前記ベースプレートに溝を備えることを特徴とする請求項7に記載のプラズマ反応チャンバ。
  11. 前記エッジリングは、導電性材料を含むことを特徴とする請求項6に記載のプラズマ反応チャンバ。
  12. 前記エッジリングは、シリコンを含むことを特徴とする請求項11に記載のプラズマ反応チャンバ。
  13. 前記エッジリングは、その上面の内側のエッジに窪みを有し、前記窪みは、前記ウエハチャックに搭載されたウエハの下に嵌められるように構成されていることを特徴とする請求項7に記載のプラズマ反応チャンバ。
  14. 前記ベースプレートは、導電性材料を含むことを特徴とする請求項7に記載のプラズマ反応チャンバ。
  15. 前記ベースプレートは、RF駆動される電極であることを特徴とする請求項14に記載のプラズマ反応チャンバ。
  16. 前記プラズマ反応チャンバは、前記ベースプレートに対向する上部電極を有する平行平板リアクタを含むことを特徴とする請求項15に記載のプラズマ反応チャンバ。
  17. ウエハの外端が、前記ウエハの下面と前記エッジリングの上面との間に前記間隙をもって前記エッジリングにかぶさるように前記ウエハチャック上に搭載されるウエハを含むことを特徴とする請求項16に記載のプラズマ反応チャンバ。
  18. 前記ウエハは、前記エッジリングの内側のエッジにかぶさることを特徴とする請求項17に記載のプラズマ反応チャンバ。
  19. 前記間隙は、最大で約0.00508〜約0.00762cm(約0.002〜約0.003インチ)の間隔を有することを特徴とする請求項17に記載のプラズマ反応チャンバ。
  20. 前記エッジリングクランピングアセンブリは、前記基板支持体にボルト締め又はネジ止めされていることを特徴とする請求項6に記載のプラズマ反応チャンバ。
  21. 当該プラズマ反応チャンバは、半導体エッチング装置であることを特徴とする請求項6に記載のプラズマ反応チャンバ。
  22. 請求項7に記載のプラズマ反応チャンバで半導体基板を処理する方法であって、
    ウエハを前記ウエハチャックに静電気的にクランプする工程と、
    前記エッジリングチャックに前記エッジリングを静電気的にクランプする工程と、
    前記プラズマ反応チャンバの内側にプロセスガスを供給する工程と、
    前記プロセスガスにエネルギを与えてプラズマ状態にする工程と、
    前記プラズマを用いて前記ウエハを処理する工程と、
    を含むことを特徴とする方法。
  23. 前記エッジリングの対向面と前記環状支持面との間に伝熱ガスを供給することによって、前記エッジリングの温度を調節する工程を更に含むことを特徴とする請求項22に記載の方法。
  24. 前記静電エッジリングチャックは、前記基板支持体上に搭載されたセラミック体の外側部分を含み、
    前記セラミック体は、前記静電エッジチングチャックの径方向内側に配置された静電基板チャックを含むことを特徴とする請求項1に記載のエッジリングクランピングアセンブリ
  25. 溝が前記環状支持面に配置され、前記溝は、前記静電エッジリングチャック上にクランプされた前記エッジリングの延長部分を受け入れるように構成されていることを特徴とする請求項1に記載のエッジリングクランピングアセンブリ
  26. 前記基板支持体は、環状フランジを含み、
    前記部材は、前記環状フランジ上に搭載された結合リングであることを特徴とする請求項に記載のプラズマ反応チャンバ。
  27. 前記部材は結合リングである、
    ことを特徴とする請求項1に記載のエッジリングクランピングアセンブリ。
  28. 前記部材はベースプレートである、
    ことを特徴とする請求項1に記載のエッジリングクランピングアセンブリ。
  29. 前記部材は結合リングである、
    ことを特徴とする請求項6に記載のプラズマ反応チャンバ。
  30. 前記部材はベースプレートである、
    ことを特徴とする請求項6に記載のプラズマ反応チャンバ。
JP2002534582A 2000-10-06 2001-09-26 エッジリングクランピングアセンブリ、プラズマ反応チャンバ、及び半導体基板を処理する方法 Expired - Lifetime JP4913313B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/680,515 2000-10-06
US09/680,515 US6475336B1 (en) 2000-10-06 2000-10-06 Electrostatically clamped edge ring for plasma processing
PCT/US2001/030286 WO2002031219A1 (en) 2000-10-06 2001-09-26 Electrostatically clamped edge ring for plasma processing

Publications (3)

Publication Number Publication Date
JP2004511901A JP2004511901A (ja) 2004-04-15
JP2004511901A5 JP2004511901A5 (ja) 2008-11-13
JP4913313B2 true JP4913313B2 (ja) 2012-04-11

Family

ID=24731424

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002534582A Expired - Lifetime JP4913313B2 (ja) 2000-10-06 2001-09-26 エッジリングクランピングアセンブリ、プラズマ反応チャンバ、及び半導体基板を処理する方法

Country Status (11)

Country Link
US (1) US6475336B1 (ja)
EP (1) EP1332241B1 (ja)
JP (1) JP4913313B2 (ja)
KR (1) KR100807136B1 (ja)
CN (2) CN1285757C (ja)
AT (1) ATE407232T1 (ja)
AU (1) AU2001296352A1 (ja)
CA (1) CA2419130A1 (ja)
DE (1) DE60135672D1 (ja)
IL (2) IL154439A0 (ja)
WO (1) WO2002031219A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018164092A (ja) * 2018-05-28 2018-10-18 東京エレクトロン株式会社 静電吸着方法、プラズマ処理方法及びプラズマ処理装置
US10269607B2 (en) 2014-12-25 2019-04-23 Tokyo Electron Limited Electrostatic chucking method and substrate processing apparatus
JP2020014026A (ja) * 2019-10-24 2020-01-23 東京エレクトロン株式会社 静電吸着方法、プラズマ処理方法及びプラズマ処理装置
JP7474651B2 (ja) 2019-09-09 2024-04-25 東京エレクトロン株式会社 プラズマ処理装置

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
JP5492578B2 (ja) * 2003-04-24 2014-05-14 東京エレクトロン株式会社 プラズマ処理装置
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050103274A1 (en) * 2003-11-14 2005-05-19 Cheng-Tsung Yu Reliability assessment system and method
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
GB0424371D0 (en) * 2004-11-04 2004-12-08 Trikon Technologies Ltd Shielding design for backside metal deposition
JP4849829B2 (ja) * 2005-05-15 2012-01-11 株式会社ソニー・コンピュータエンタテインメント センタ装置
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
KR100733080B1 (ko) * 2006-01-03 2007-06-29 삼성전자주식회사 식각장치
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) * 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
KR101386175B1 (ko) * 2007-09-19 2014-04-17 삼성전자주식회사 반도체 식각장치 및 방법과 그 식각장치의 정전척
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8104770B2 (en) * 2007-02-01 2012-01-31 Parker-Hannifin Corporation Semiconductor process chamber
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080296261A1 (en) * 2007-06-01 2008-12-04 Nordson Corporation Apparatus and methods for improving treatment uniformity in a plasma process
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
WO2009039623A2 (en) * 2007-09-25 2009-04-02 Roger Vanderlinden Sealed pick-up head for a mobile sweeper
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
SG187387A1 (en) * 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
CN101471275B (zh) * 2007-12-26 2011-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种被处理体的保持装置
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8409355B2 (en) * 2008-04-24 2013-04-02 Applied Materials, Inc. Low profile process kit
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
WO2010021890A2 (en) * 2008-08-19 2010-02-25 Lam Research Corporation Edge rings for electrostatic chucks
US8454027B2 (en) * 2008-09-26 2013-06-04 Lam Research Corporation Adjustable thermal contact between an electrostatic chuck and a hot edge ring by clocking a coupling ring
JP5100617B2 (ja) * 2008-11-07 2012-12-19 東京エレクトロン株式会社 リング状部材及びその製造方法
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8139340B2 (en) * 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
JP5508737B2 (ja) * 2009-02-24 2014-06-04 東京エレクトロン株式会社 静電チャック及びプラズマ処理装置
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
SG176059A1 (en) 2009-05-15 2011-12-29 Entegris Inc Electrostatic chuck with polymer protrusions
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
KR101644673B1 (ko) * 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8623145B2 (en) * 2010-03-25 2014-01-07 Parker-Hannifin Corporation Substrate processing apparatus with composite seal
CN102986017B (zh) 2010-05-28 2015-09-16 恩特格林斯公司 高表面电阻率静电吸盘
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
JP5503503B2 (ja) * 2010-11-09 2014-05-28 東京エレクトロン株式会社 プラズマ処理装置
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US8946058B2 (en) * 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR101235151B1 (ko) 2011-07-15 2013-02-22 주식회사 템네스트 반도체 제조설비의 정전척
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
KR101319824B1 (ko) * 2012-01-05 2013-10-23 (재)한국나노기술원 냉각장치가 구비된 웨이퍼 클램프
JP5313375B2 (ja) * 2012-02-20 2013-10-09 東京エレクトロン株式会社 プラズマ処理装置およびフォーカスリングとフォーカスリング部品
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP2014107387A (ja) 2012-11-27 2014-06-09 Tokyo Electron Ltd 載置台構造及びフォーカスリングを保持する方法
US10557190B2 (en) * 2013-01-24 2020-02-11 Tokyo Electron Limited Substrate processing apparatus and susceptor
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6400273B2 (ja) * 2013-03-11 2018-10-03 新光電気工業株式会社 静電チャック装置
KR101317942B1 (ko) * 2013-03-13 2013-10-16 (주)테키스트 반도체 제조용 척의 에지링 냉각모듈
CN104124126B (zh) * 2013-04-26 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种承载装置及等离子体加工设备
DE102013012082A1 (de) * 2013-07-22 2015-01-22 Aixtron Se Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
KR102233920B1 (ko) * 2014-09-30 2021-03-30 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
CN105575863B (zh) * 2014-11-10 2019-02-22 中微半导体设备(上海)有限公司 等离子体处理装置、基片卸载装置及方法
US10109510B2 (en) * 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102604063B1 (ko) * 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
CN109750279A (zh) * 2017-11-07 2019-05-14 中微半导体设备(上海)股份有限公司 一种用于热化学气相沉积的基片托盘和反应器
KR102383784B1 (ko) * 2017-12-15 2022-04-08 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
US10766057B2 (en) 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
WO2019143473A1 (en) * 2018-01-22 2019-07-25 Applied Materials, Inc. Processing with powered edge ring
CN110323117B (zh) 2018-03-28 2024-06-21 三星电子株式会社 等离子体处理设备
JP7122864B2 (ja) * 2018-05-14 2022-08-22 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
JP7101055B2 (ja) * 2018-06-12 2022-07-14 東京エレクトロン株式会社 静電チャック、フォーカスリング、支持台、プラズマ処理装置、及びプラズマ処理方法
KR102600003B1 (ko) 2018-10-30 2023-11-09 삼성전자주식회사 반도체 공정 챔버 및 반도체 소자의 제조 방법
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
KR20210088723A (ko) * 2018-12-03 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 척킹 및 아크 발생 성능이 개선된 정전 척 설계
US20220162749A1 (en) * 2019-02-08 2022-05-26 Lam Research Corporation Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2021044885A1 (ja) 2019-09-06 2021-03-11 Toto株式会社 静電チャック
KR20210044568A (ko) 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
JP7361588B2 (ja) * 2019-12-16 2023-10-16 東京エレクトロン株式会社 エッジリング及び基板処理装置
CN111180370A (zh) * 2020-02-21 2020-05-19 北京北方华创微电子装备有限公司 晶圆承载托盘及半导体加工设备
KR20220102201A (ko) 2021-01-12 2022-07-20 삼성전자주식회사 척 어셈블리, 그를 포함하는 반도체 소자의 제조 장치, 및 반도체 소자의 제조방법
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法
CN115440558A (zh) * 2021-06-03 2022-12-06 长鑫存储技术有限公司 半导体蚀刻设备
KR102637744B1 (ko) * 2021-09-30 2024-02-19 주식회사 나이스플라즈마 클램프 링이 구비된 클램프 척
CN114293176A (zh) * 2021-12-31 2022-04-08 拓荆科技股份有限公司 晶圆支撑盘及工艺腔体

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08293539A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
JPH1064989A (ja) * 1996-06-14 1998-03-06 Applied Materials Inc 静電チャック用シールド
JPH10242244A (ja) * 1997-02-21 1998-09-11 Applied Materials Inc 多基板処理装置
JPH10303288A (ja) * 1997-04-26 1998-11-13 Anelva Corp プラズマ処理装置用基板ホルダー

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3129452B2 (ja) 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JPH06124998A (ja) * 1992-10-12 1994-05-06 Tadahiro Omi プラズマ処理装置
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
DE69420774T2 (de) 1993-05-13 2000-01-13 Applied Materials Inc Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5805408A (en) 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
CN1178392A (zh) * 1996-09-19 1998-04-08 株式会社日立制作所 静电吸盘和应用了静电吸盘的样品处理方法及装置
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US5986874A (en) 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
US6013984A (en) 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
DE29813326U1 (de) * 1998-07-29 1998-12-10 PROTEC Gesellschaft für Werkstoff- und Oberflächentechnik mbH, 57234 Wilnsdorf Verbesserte Vorrichtung zum Schutz von elektrostatischen Haltesystemen in Anlagen zur Bearbeitung von Wafern
US6117349A (en) 1998-08-28 2000-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring equipped with a sacrificial inner ring
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP4119551B2 (ja) * 1998-12-01 2008-07-16 東京エレクトロン株式会社 基板保持台、及びプラズマ処理装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08293539A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
JPH1064989A (ja) * 1996-06-14 1998-03-06 Applied Materials Inc 静電チャック用シールド
JPH10242244A (ja) * 1997-02-21 1998-09-11 Applied Materials Inc 多基板処理装置
JPH10303288A (ja) * 1997-04-26 1998-11-13 Anelva Corp プラズマ処理装置用基板ホルダー

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269607B2 (en) 2014-12-25 2019-04-23 Tokyo Electron Limited Electrostatic chucking method and substrate processing apparatus
US10825709B2 (en) 2014-12-25 2020-11-03 Tokyo Electron Limited Electrostatic chucking method and substrate processing apparatus
US11521886B2 (en) 2014-12-25 2022-12-06 Tokyo Electron Limited Substrate processing apparatus and substrate support
JP2018164092A (ja) * 2018-05-28 2018-10-18 東京エレクトロン株式会社 静電吸着方法、プラズマ処理方法及びプラズマ処理装置
JP7474651B2 (ja) 2019-09-09 2024-04-25 東京エレクトロン株式会社 プラズマ処理装置
JP2020014026A (ja) * 2019-10-24 2020-01-23 東京エレクトロン株式会社 静電吸着方法、プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
CN1285757C (zh) 2006-11-22
EP1332241A4 (en) 2006-08-16
CN100424849C (zh) 2008-10-08
WO2002031219A1 (en) 2002-04-18
WO2002031219A8 (en) 2002-09-06
IL154439A (en) 2006-04-10
KR100807136B1 (ko) 2008-02-27
CA2419130A1 (en) 2002-04-18
JP2004511901A (ja) 2004-04-15
DE60135672D1 (de) 2008-10-16
ATE407232T1 (de) 2008-09-15
CN1468322A (zh) 2004-01-14
IL154439A0 (en) 2003-09-17
AU2001296352A1 (en) 2002-04-22
EP1332241A1 (en) 2003-08-06
CN1917164A (zh) 2007-02-21
KR20030051645A (ko) 2003-06-25
EP1332241B1 (en) 2008-09-03
US6475336B1 (en) 2002-11-05

Similar Documents

Publication Publication Date Title
JP4913313B2 (ja) エッジリングクランピングアセンブリ、プラズマ反応チャンバ、及び半導体基板を処理する方法
TWI438861B (zh) 環形夾具及背側氣冷靜電夾頭
CN103081088B (zh) 静电夹盘和使用静电夹盘的方法
JP4256257B2 (ja) 高温静電チャック
JP4805450B2 (ja) 静電チャック及び真空チャンバ内の基板処理方法
US6786175B2 (en) Showerhead electrode design for semiconductor processing reactor
US6104596A (en) Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
CN103843128B (zh) 静电夹具
JP4007640B2 (ja) 静電チャック用シールド
KR101582785B1 (ko) 정전 척 조립체
TWI358785B (ja)
WO2011063084A2 (en) Electrostatic chuck with reduced arcing
JP2002526915A (ja) 半導体ウェーハ処理装置にウェーハを保持する静電チャック内蔵カソード組立体
JP2001250816A5 (ja)
CN115053323A (zh) 用于衬底处理的静电边缘环安置***

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080925

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111222

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120119

R150 Certificate of patent or registration of utility model

Ref document number: 4913313

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150127

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250