JP4854317B2 - 基板処理方法 - Google Patents

基板処理方法 Download PDF

Info

Publication number
JP4854317B2
JP4854317B2 JP2006023098A JP2006023098A JP4854317B2 JP 4854317 B2 JP4854317 B2 JP 4854317B2 JP 2006023098 A JP2006023098 A JP 2006023098A JP 2006023098 A JP2006023098 A JP 2006023098A JP 4854317 B2 JP4854317 B2 JP 4854317B2
Authority
JP
Japan
Prior art keywords
chamber
wafer
unit
layer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006023098A
Other languages
English (en)
Other versions
JP2007207894A (ja
Inventor
栄一 西村
貴倫 菊地
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006023098A priority Critical patent/JP4854317B2/ja
Priority to CNB2006101424976A priority patent/CN100552874C/zh
Priority to KR1020060107765A priority patent/KR100789007B1/ko
Priority to US11/668,684 priority patent/US20070175393A1/en
Priority to TW096103560A priority patent/TW200739714A/zh
Publication of JP2007207894A publication Critical patent/JP2007207894A/ja
Priority to US12/909,277 priority patent/US20110033636A1/en
Application granted granted Critical
Publication of JP4854317B2 publication Critical patent/JP4854317B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、基板処理方法に関し、特に、有機物層を除去する基板処理方法に関する。
シリコンウエハ(以下、単に「ウエハ」という。)から電子デバイスを製造する電子デバイスの製造方法では、ウエハの表面に導電膜や絶縁膜を成膜するCVD(Chemical Vapor Deposition)等の成膜工程、成膜された導電膜や絶縁膜上に所望のパターンのフォトレジスト層を形成するリソグラフィ工程、及びフォトレジスト層をマスクとして用いて処理ガスから生成されたプラズマによって導電膜をゲート電極に成形し、或いは絶縁膜に配線溝やコンタクトホールを成形するエッチング工程が順次繰り返して実行される。
例えば、或る電子デバイスの製造方法では、ウエハ上に形成された、SiN(窒化珪素)層及びポリシリコン層からなるフローティングゲートをHBr(臭化水素)系の処理ガスを用いてエッチングし、フローティングゲート下の層間SiO膜をCHF系の処理ガスを用いてエッチングし、さらに、層間SiO膜の下のSi層をHBr(臭化水素)系の処理ガスを用いてエッチングすることがある。この場合、ウエハ上に形成されたトレンチ(溝)180の側面に3つの層からなるデポジット膜181が形成される(図13参照。)。このデポジット膜は、上述した各処理ガスに対応してSiOBr層182、CF系デポジット層183及びSiOBr層184からなる。SiOBr層182,184はSiO層に似た性質を有する疑似SiO層であり、CF系デポジット層183は有機物層である。
ところで、これらのSiOBr層182,184及びCF系デポジット層183は電子デバイスの不具合、例えば、導通不良の原因となるため、除去する必要がある。
疑似SiO層の除去方法として、ウエハにCOR(Chemical Oxide Removal)処理及びPHT(Post Heat Treatment)処理を施す基板処理方法が知られている。COR処理は、疑似SiO層とガス分子を化学反応させて生成物を生成する処理であり、PHT処理は、COR処理が施されたウエハを加熱して、COR処理の化学反応によってウエハに生成された生成物を気化・熱酸化(Thermal Oxidation)させて該ウエハから除去する処理である。
このCOR処理及びPHT処理からなる基板処理方法を実行する基板処理装置として、化学反応処理装置と、該化学反応処理装置に接続された熱処理装置とを備える基板処理装置が知られている。化学反応処理装置はチャンバを備え、該チャンバに収容されたウエハにCOR処理を施す。熱処理装置もチャンバを備え、該チャンバに収容されたウエハにPHT処理を施す(例えば、特許文献1参照。)。
米国特許出願公開第2004/0185670号明細書
しかしながら、上述した基板処理装置で疑似SiO層であるSiOBr層184を除去した場合、CF系デポジット層183が露出する。該CF系デポジット層183は熱処理を施しても気化することがなく、また、ガス分子と化学反応して生成物を生成することがないため、上述した基板処理装置でCF系デポジット層183を除去するのは困難である。すなわち、SiOBr層184及びCF系デポジット層183を効率良く除去することは困難である。
本発明の目的は、酸化物層及び有機物層を効率良く除去することができる基板処理方法を提供することにある。
上記目的を達成するために、請求項1記載の基板処理方法は、疑似SiO層であるSiOBr層で覆われたCF系有機物層が表面に形成された基板を処理容器内の載置台に載置して前記基板に処理を施す基板処理方法であって、前記SiOBr層をガス分子と化学反応させて前記表面上に生成物を生成する化学反応処理ステップと、前記生成物が前記表面に生成された前記基板を加熱して前記生成物を気化するステップと、前記生成物が気化して前記CF系有機物層が露出した前記基板の上方へ向けて酸素ガスを供給すると共に、前記酸素ガスが供給された基板の上方へマイクロ波を導入して前記CF系有機物層を除去するステップとを有することを特徴とする。
請求項2記載の基板処理方法は、請求項1に記載の基板処理方法において、前記生成物を気化するステップと前記CF系有機物層を除去するステップは、同じ処理容器内で連続して行われることを特徴とする。
請求項3記載の基板処理方法は、請求項1又は2に記載の基板処理方法において、前記生成物を気化するステップ及び前記CF系有機物層を除去するステップでは、前記処理容器内に窒素ガスを導入して粘性流を生じさせることを特徴とする。
請求項4記載の基板処理方法は、請求項1〜3のいずれか1項に記載の基板処理方法において、前記CF系有機物層を除去するステップでは、前記基板の上方へ向けてさらに希ガスが導入されることを特徴とする。
請求項記載の基板処理方法によれば、SiOBr層で覆われたCF系有機物層が表面に形成された基板において、SiOBr層がガス分子と化学反応して基板の表面上に生成物が生成され、該生成物が表面に生成された基板が加熱されて生成物が気化し、CF系有機物層が露出した基板の上方へ向けて酸素ガスが供給されると共にマイクロ波が導入されてCF系有機物層が分解する。したがって、SiOBr層に続けてCF系有機物層を連続的に除去することができ、もって、酸化物層及び有機物層を効率良く除去することができる。
以下、本発明の実施の形態について図面を参照しながら説明する。
まず、本発明の第1の実施の形態に係る基板処理装置について説明する。
図1は、本実施の形態に係る基板処理装置の概略構成を示す平面図である。
図1において、基板処理装置10は、電子デバイス用のウエハ(以下、単に「ウエハ」という。)(基板)Wにエッチング処理を施す第1のプロセスシップ11と、該第1のプロセスシップ11と平行に配置され、第1のプロセスシップ11においてエッチング処理が施されたウエハWに後述するCOR処理、PHT処理及び有機物層除去処理を施す第2のプロセスシップ12と、第1のプロセスシップ11及び第2のプロセスシップ12がそれぞれ接続された矩形状の共通搬送室としてのローダーユニット13とを備える。
ローダーユニット13には、上述した第1のプロセスシップ11及び第2のプロセスシップ12の他、25枚のウエハWを収容する容器としてのフープ(Front Opening Unified Pod)14がそれぞれ載置される3つのフープ載置台15と、フープ14から搬出されたウエハWの位置をプリアライメントするオリエンタ16と、ウエハWの表面状態を計測する第1及び第2のIMS(Integrated Metrology System、Therma-Wave, Inc.)17,18とが接続されている。
第1のプロセスシップ11及び第2のプロセスシップ12は、ローダーユニット13の長手方向における側壁に接続されると共にローダーユニット13を挟んで3つのフープ載置台15と対向するように配置され、オリエンタ16はローダーユニット13の長手方向に関する一端に配置され、第1のIMS17はローダーユニット13の長手方向に関する他端に配置され、第2のIMS18は3つのフープ載置台15と並列に配置される。
ローダーユニット13は、内部に配置された、ウエハWを搬送するスカラ型デュアルアームタイプの搬送アーム機構19と、各フープ載置台15に対応するように側壁に配置されたウエハWの投入口としての3つのロードポート20とを有する。搬送アーム機構19は、フープ載置台15に載置されたフープ14からウエハWをロードポート20経由で取り出し、該取り出したウエハWを第1のプロセスシップ11、第2のプロセスシップ12、オリエンタ16、第1のIMS17や第2のIMS18へ搬出入する。
第1のIMS17は光学系のモニタであり、搬入されたウエハWを載置する載置台21と、該載置台21に載置されたウエハWを指向する光学センサ22とを有し、ウエハWの表面形状、例えば、表面層の膜厚、及び配線溝やゲート電極等のCD(Critical Dimension)値を測定する。第2のIMS18も光学系のモニタであり、第1のIMS17と同様に、載置台23と光学センサ24とを有し、ウエハWの表面におけるパーティクル数を計測する。
第1のプロセスシップ11は、ウエハWにエッチング処理を施す第1のプロセスユニット25と、該第1のプロセスユニット25にウエハWを受け渡すリンク型シングルピックタイプの第1の搬送アーム26を内蔵する第1のロード・ロックユニット27とを有する。
第1のプロセスユニット25は、円筒状の処理室容器(チャンバ)と、該チャンバ内に配置された上部電極及び下部電極を有し、該上部電極及び下部電極の間の距離はウエハWにエッチング処理を施すための適切な間隔に設定されている。また、下部電極はウエハWをクーロン力等によってチャックするESC28をその頂部に有する。
第1のプロセスユニット25では、チャンバ内部に処理ガスを導入し、上部電極及び下部電極間に電界を発生させることによって導入された処理ガスをプラズマ化してイオン及びラジカルを発生させ、該イオン及びラジカルによってウエハWにエッチング処理を施す。
第1のプロセスシップ11では、ローダーユニット13の内部圧力は大気圧に維持される一方、第1のプロセスユニット25の内部圧力は真空に維持される。そのため、第1のロード・ロックユニット27は、第1のプロセスユニット25との連結部に真空ゲートバルブ29を備えると共に、ローダーユニット13との連結部に大気ゲートバルブ30を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。
第1のロード・ロックユニット27の内部には、略中央部に第1の搬送アーム26が設置され、該第1の搬送アーム26より第1のプロセスユニット25側に第1のバッファ31が設置され、第1の搬送アーム26よりローダーユニット13側には第2のバッファ32が設置される。第1のバッファ31及び第2のバッファ32は、第1の搬送アーム26の先端部に配置されたウエハWを支持する支持部(ピック)33が移動する軌道上に配置され、エッチング処理が施されたウエハWを一時的に支持部33の軌道の上方に待避させることにより、エッチング未処理のウエハWとエッチング処理済みのウエハWとの第1のプロセスユニット25における円滑な入れ換えを可能とする。
第2のプロセスシップ12は、ウエハWにCOR処理を施す第2のプロセスユニット34(化学反応処理装置)と、該第2のプロセスユニット34に真空ゲートバルブ35を介して接続された、ウエハWにPHT処理及び有機物層除去処理を施す第3のプロセスユニット36(熱処理装置)と、第2のプロセスユニット34及び第2のプロセスユニット36にウエハWを受け渡すリンク型シングルピックタイプの第2の搬送アーム37を内蔵する第2のロード・ロックユニット49とを有する。
図2は、図1における第2のプロセスユニットの断面図であり、(A)は図1における線II−IIに沿う断面図であり、(B)は図2(A)におけるA部の拡大図である。
図2(A)において、第2のプロセスユニット34は、円筒状の処理室容器(チャンバ)38と、該チャンバ38内に配置されたウエハWの載置台としてのESC39と、チャンバ38の上方に配置されたシャワーヘッド40と、チャンバ38内のガス等を排気するTMP(Turbo Molecular Pump)41と、チャンバ38及びTMP41の間に配置され、チャンバ38内の圧力を制御する可変式バタフライバルブとしてのAPC(Adaptive Pressure Control)バルブ42とを有する。
ESC39は、内部に直流電圧が印加される電極板(図示しない)を有し、直流電圧により発生するクーロン力又はジョンソン・ラーベック(Johnsen-Rahbek)力によってウエハWを吸着して保持する。また、ESC39は調温機構として冷媒室(図示しない)を有する。この冷媒室には所定温度の冷媒、例えば、冷却水やガルデン液が循環供給され、当該冷媒の温度によってESC39の上面に吸着保持されたウエハWの処理温度が制御される。さらに、ESC39は、ESC39の上面とウエハの裏面との間に伝熱ガス(ヘリウムガス)を満遍なく供給する伝熱ガス供給系統(図示しない)を有する。伝熱ガスは、COR処理の間、冷媒によって所望の指定温度に維持されたESC39とウエハとの熱交換を行い、ウエハを効率よく且つ均一に冷却する。
また、ESC39は、その上面から突出自在なリフトピンとしての複数のプッシャーピン56を有し、これらのプッシャーピン56は、ウエハWがESC39に吸着保持されるときにはESC39に収容され、COR処理が施されたウエハWをチャンバ38から搬出するときには、ESC39の上面から突出してウエハWを上方へ持ち上げる。
シャワーヘッド40は2層構造を有し、下層部43及び上層部44のそれぞれに第1のバッファ室45及び第2のバッファ室46を有する。第1のバッファ室45及び第2のバッファ室46はそれぞれガス通気孔47,48を介してチャンバ38内に連通する。すなわち、シャワーヘッド40は、第1のバッファ室45及び第2のバッファ室46にそれぞれ供給されるガスのチャンバ38内への内部通路を有する、階層状に積み重ねられた2つの板状体(下層部43、上層部44)からなる。
ウエハWにCOR処理を施す際、第1のバッファ室45にはNH(アンモニア)ガスが後述するアンモニアガス供給管57から供給され、該供給されたアンモニアガスはガス通気孔47を介してチャンバ38内へ供給されると共に、第2のバッファ室46にはHF(弗化水素)ガスが後述する弗化水素ガス供給管58から供給され、該供給された弗化水素ガスはガス通気孔48を介してチャンバ38内へ供給される。
また、シャワーヘッド40はヒータ(図示しない)、例えば、加熱素子を内蔵する。この加熱素子は、好ましくは、上層部44上に配置されて第2のバッファ室46内の弗化水素ガスの温度を制御する。
また、図2(B)に示すように、ガス通気孔47,48におけるチャンバ38内への開口部は末広がり状に形成される。これにより、アンモニアガスや弗化水素ガスをチャンバ38内へ効率よく拡散することができる。さらに、ガス通気孔47,48は断面がくびれ形状を呈するので、チャンバ38で発生した堆積物がガス通気孔47,48、引いては、第1のバッファ室45や第2のバッファ室46へ逆流するのを防止する。なお、ガス通気孔47,48は螺旋状の通気孔であってもよい。
この第2のプロセスユニット34は、チャンバ38内の圧力と、アンモニアガス及び弗化水素ガスの体積流量比を調整することによってウエハWにCOR処理を施す。また、この第2のプロセスユニット34は、チャンバ38内において初めてアンモニアガス及び弗化水素ガスが混合するように設計されている(ポストミックス設計)ため、チャンバ38内に上記2種類のガスが導入されるまで、該2種類の混合ガスが混合するのを防止して、弗化水素ガスとアンモニアガスとがチャンバ38内への導入前に反応するのを防止する。
また、第2のプロセスユニット34では、チャンバ38の側壁がヒータ(図示しない)、例えば、加熱素子を内蔵し、チャンバ38内の雰囲気温度が低下するのを防止する。これにより、COR処理の再現性を向上することができる。また、側壁内の加熱素子は、側壁の温度を制御することによってチャンバ38内に発生した副生成物が側壁の内側に付着するのを防止する。
図3は、図1における第3のプロセスユニットの断面図である。
図3において、第3のプロセスユニット36は、筐体状の処理室容器(チャンバ)50と、該チャンバ50の天井部185と対向するように、チャンバ50内に配置されたウエハWの載置台としてのステージヒータ51と、該ステージヒータ51の近傍に配置され、ステージヒータ51に載置されたウエハWを上方に持ち上げるバッファアーム52とを有する。
ステージヒータ51は、表面に酸化皮膜が形成されたアルミからなり、内蔵された電熱線等からなるヒータ186によって上面に載置されたウエハWを所定の温度まで加熱する。具体的には、ステージヒータ51は載置したウエハWを少なくとも1分間に亘って100〜200℃、好ましくは約135℃まで直接加熱する。なお、ヒータ186の発熱量はヒータ制御装置187によって制御される。また、ステージヒータ51は調温機構としてヒータ186の他に冷媒室229を有する。この冷媒室229には所定温度の冷媒、例えば、冷却水やガルデン液が循環供給され、有機物層除去処理の際に、当該冷媒の温度によってステージヒータ51の上面に載置されたウエハWを所定の温度まで冷却する。さらに、ステージヒータ51は、ステージヒータ51の上面とウエハの裏面との間に伝熱ガス(ヘリウムガス)を満遍なく供給する伝熱ガス供給系統(図示しない)を有する。伝熱ガスは、有機物層除去処理の間、冷媒によって所望の指定温度に維持されたステージヒータ51とウエハWとの熱交換を行い、ウエハWを効率よく且つ均一に冷却する。
チャンバ50の側壁にはカートリッジヒータ188が内蔵され、該カートリッジヒータ188はチャンバ50の側壁の壁面温度を25〜80℃に制御する。これにより、チャンバ50の側壁に副生成物が付着するのを防止し、付着した副生成物に起因するパーティクルの発生を防止してチャンバ50のクリーニング周期を延伸する。なお、チャンバ50の外周は熱シールド(図示しない)によって覆われており、カートリッジヒータ188の発熱量はヒータ制御装置189によって制御される。
ウエハWを上方から加熱するヒータとして、シートヒータや紫外線放射(UV radiation)ヒータを天井部185に配してもよい。紫外線放射ヒータとしては、波長190〜400nmの紫外線を放射する紫外線ランプ等が該当する。
バッファアーム52は、COR処理が施されたウエハWを一時的に第2の搬送アーム37における支持部53の軌道の上方に待避させることにより、第2のプロセスユニット34や第3のプロセスユニット36におけるウエハWの円滑な入れ換えを可能とする。
この第3のプロセスユニット36は、ウエハWを加熱することによってウエハWにPHT処理を施す。
また、第3のプロセスユニット36は、マイクロ波源190と、アンテナ装置191(マイクロ波導入装置)と、酸素ガス供給系192と、放電ガス供給系193とを備える。
酸素ガス供給系192は、酸素ガス源194と、バルブ195と、MFC(Mass Flow Controller)196と、これらを接続する酸素ガス供給路197とを有する。また、酸素ガス供給系192は酸素ガス供給路197によってチャンバ50の側壁に配された石英製の酸素ガス供給リング198に接続されている。
有機物層除去処理の際、酸素ガス源194は酸素ガスを供給し、バルブ195は開口し、MFC196は、例えば、ブリッジ回路、増幅回路、コンパレータ制御回路、流量調節バルブ等を有し、酸素ガスの流れに伴う熱移動を検出することによって流量測定を行い、該測定結果に基づいて流量調節バルブによって酸素ガスの流量を制御する。
図4は、図3における酸素ガス供給リングの概略構成を示す平面図である。
図4において、酸素ガス供給リング198は、石英からなるリング形状の本体部204と、酸素ガス供給路197に接続された導入口199と、導入口199に接続された円環状の流路200と、流路200に接続された複数の酸素ガス供給ノズル201と、流路200及び後述するガス排出路202に接続された排出口203とを有する。複数の酸素ガス供給ノズル201は本体部204の円周方向に沿って等間隔で配置されており、チャンバ50内に均一な酸素ガスの流れを形成する。
また、酸素ガス供給リング198の流路200及び酸素ガス供給ノズル201はガス排出路202に接続され、該ガス排出路202はPCV(Pressure Control Valve)205を介して、例えば、TMP、スパッターイオンポンプ、ゲッターポンプ、ソープションポンプ、若しくはクライオポンプからなる真空ポンプ206に接続されている。したがって、流路200及び酸素ガス供給ノズル201内の(残留)酸素ガスや水分は排出口203から排気可能である。これにより、後述する第3のプロセスユニット排気系67では完全に除去することが困難な、流路200及び酸素ガス供給ノズル201内の(残留)酸素ガスや水分等の残留物を効果的に除去することができる。
PCV205は、バルブ195の開口時に閉口され、バルブ195の閉口時に開口されるように制御される。これにより、バルブ195が開口される有機物層除去処理時には真空ポンプ206は閉口されて、酸素ガスを有機物層除去処理に効率的に使用可能にする。一方、有機物層除去処理の終了後等の有機物層除去処理以外の期間において真空ポンプ206は開口され、酸素ガス供給リング198の流路200及び酸素ガス供給ノズル201内の残留物が確実に排気される。これにより、以降の有機物層除去処理において残留物の存在に起因する酸素ガス供給ノズル201からの酸素ガスの不均一な導入や残留物そのもののウエハWへの付着を防止することができる。
放電ガス供給系193は、放電ガス源207と、バルブ208と、MFC209と、これらを接続する放電ガス供給路210とを有する。また、放電ガス供給系193は放電ガス供給路210によってチャンバ50の側壁に配された石英製の放電ガス供給リング211に接続されている。
有機物層除去処理の際、放電ガス源207は放電ガス、例えば、希ガス(ネオンガス、キセノンガス、アルゴンガス、ヘリウムガス、ラドンガス、若しくはクリプトンガスのいずれか)にN及びHが混合されたガスを供給する。なお、バルブ208、MFC209、放電ガス供給路210及び放電ガス供給リング211は、それぞれバルブ195、MFC196、酸素ガス供給路197及び酸素ガス供給リング198と同様の構成を有するため、これらの説明を省略する。
また、放電ガス供給リング211の流路及び放電ガス供給ノズル(いずれも図示しない)はガス排出路212に接続され、該ガス排出路212はPCV213を介して真空ポンプ214に接続されている。なお、ガス排出路212、PCV213及び真空ポンプ214は、それぞれガス排出路202、PCV205及び真空ポンプ206と同様の構成及び機能を有するため、これらの説明を省略する。
マイクロ波源190は、例えば、マグネトロンからなり、通常2.45GHzのマイクロ波を、例えば、5kWの出力で発生することができる。また、マイクロ波源190は導波管215を介してアンテナ装置191に接続されている。導波管215の途中にはモード変換器216が配されている。モード変換器216はマイクロ波源190が発生したマイクロ波の伝送形態をTM、TE、若しくはTEMモード等に変換する。なお、図3では、反射してマグネトロンへ戻るマイクロ波を吸収するアイソレータや、この他、EHチューナ、若しくはスタブチューナが省略されている。
アンテナ装置191は、円板状の温調板217と、円筒状の収納部材218と、円板状のスロット電極219(アンテナ)と、円板状の誘電板220と、収納部材218の側面を囲む円環状の電磁波吸収体221と、温調板217に接続された温度制御装置222と、円板状の遅波材223とを備える。
収納部材218は、上部において温調板217を載置すると共に、その内部に遅波材223と該遅波材223の下部に接触するスロット電極219とを収納する。また、スロット電極219の下方には誘電板220が配置されている。収納部材218及び遅波材223は熱伝導率が高い材料からなり、その結果、収納部材218及び遅波材223の温度は温調板217の温度とほぼ同じ温度となる。
遅波材223は、マイクロ波の波長を短くする所定の誘電率であって、熱伝導率が高い所定の材料からなる。また、チャンバ50に導入されるマイクロ波の密度を均一にするためにスロット電極219に多くの後述するスリット224を形成する必要があるが、遅波材223は、マイクロ波の波長を短くすることによってスロット電極219に多くのスリット224を形成することを可能にする。
遅波材223の材料としては、例えば、アルミナ系セラミック、SiN、AlNを用いるのが好ましい。例えば、AlNは比誘電率εが約9であり、1/(ε1/2で表される波長短縮率nが約0.33である。これにより、遅波材223を通過したマイクロ波の速度及び波長はそれぞれは約0.33倍となり、スロット電極219におけるスリット224の間隔を短くすることができ、スロット電極219においてより多くのスリット224を形成することができる。
スロット電極219は、遅波材223にねじ止めされており、例えば、直径50cm、厚さ1mm以下の銅板から構成される。スロット電極219は、本発明の属する技術分野においてラジアルラインスロットアンテナ(RLSA)(又は超高能率平面アンテナ)と称される。なお、本実施の形態においてRLSA以外の形式のアンテナ、例えば、一層構造導波管平面アンテナや誘電体基板平行平板スロットアレーを用いてもよい。
図5は、図3におけるスロット電極の概略構成を示す平面図である。
図5において、スロット電極219の表面は互いに同じ面積を有する複数の領域に仮想的に分割され、各領域においてスリット224a及び224bからなる1つのスリット組225を有する。したがって、スロット電極219の表面におけるスリット組225の密度はほぼ一定となる。これにより、スロット電極219の下方に配置されている誘電板220の表面において均一にイオンエネルギーが分布するため、イオンエネルギーの偏在に起因する誘電板220からの元素脱離(遊離)の発生を防止することができる。その結果、誘電板220から脱離した元素が酸素ガスに不純物として混入するのを防止することができ、もって、高品質な有機物層除去処理をウエハWに施すことができる。
また、各スリット組225においてスリット224a及び224bは略T字状に配置されると共に互いに僅かに離間する。
各スリット224a、224bは、その長さL1が導波管215内におけるマイクロ波の波長(以下、「管内波長」という。)λの略0.5倍乃至自由空間における波長の略2.5倍のいずれかに設定されると共に、その幅が略1mmに設定され、隣接するスリット組225同士の間隔L2は管内波長λと略同一に設定されている。具体的には、各スリット224a、224bの長さL1は、下記式で示される範囲内に設定される。
Figure 0004854317
各スリット224a、224bはそれぞれスロット電極219の中心からの放射線に対して45°だけ斜交するように配置されている。また、各スリット組225の大きさはスロット電極219の中心から離間するにつれて大きくなる。例えば、中心から所定の距離に配置されたスリット組225に対して、該所定の距離の2倍に該当する距離に配置されたスリット組225の大きさは1.2倍乃至2倍のいずれかに設定される。
なお、スロット電極219の表面上におけるスリット組の密度を略一定にできる限り、スリット224の形状や配置は、上述したものに限られず、また、分割された各領域の形状も上述したものに限られない。例えば、各領域は同一形状を有してもよいし、異なる形状を有してもよい。また、同一形状を有する場合でもその形状は六角形に限定されず、三角形や四角形等の任意の形状を採用することができる。また、各スリット組225は同心円状又は渦巻状に配列されてもよい。
本実施の形態において用いることができるスロット電極としては、図5に示すスロット電極219に限られず、図6(A)乃至(C)に示すスロット電極226、スロット電極227又はスロット電極228も該当する。図6(A)乃至(C)に示すスロット電極226乃至228において各領域は4角形を有する。また、スロット電極226,227はいずれもT字形のスリット組225を有するが、互いにスリット224の寸法と配置において相違する。また、スロット電極228では各スリット組225において2つのスリットがV字形を呈するように配置されている。
また、スロット電極219の周縁部、引いては、収納部材218の側面を囲むように幅数mm程度のマイクロ波電力反射防止用放射素子からなる円環状の電磁波吸収体221が配されている。電磁波吸収体221はスロット電極219からのマイクロ波における定在波(横波)を吸収して該定在波の発生を抑制することができ、これにより、チャンバ50内におけるマイクロ波の分布が定在波によって乱されるのを防止することができ、また、スロット電極219のアンテナ効率を上げることができる。
温度制御装置222は、温調板217に接続された温度センサ及びヒータ(共に図示しない)を有し、温調板217に導入される冷却水や冷媒(アルコール、ガルデン液、フロン等)の流量、温度等を調節することによって温調板217の温度を所定の温度に制御する。温調板217は、熱伝導率が高く、流路を内部に成形し易い材料、例えば、ステンレスからなる。また、遅波材223及びスロット電極219は収納部材218を介して温調板217に接触しているため、該温調板217によって温度が制御される。したがって、マイクロ波によって温度が上昇する遅波材223及びスロット電極219の温度を所望の温度に制御することができ、その結果、遅波材223及びスロット電極219が熱膨張して変形するのを防ぐことができ、もって、遅波材223及びスロット電極219の変形に起因する、チャンバ50内におけるマイクロ波の不均一な分布の発生を防止することができる。以上により、マイクロ波の不均一な分布に起因する有機物層除去処理の品質低下を防止することができる。
誘電板220は絶縁体からなり、スロット電極219とチャンバ50との間に配置されている。スロット電極219と誘電板220は、例えば、ロウにより強固にかつ機密に面接合される。なお、焼成されたセラミック又は窒化アルミニウム(AlN)からなる誘電板220の裏面に、スクリーン印刷等によって銅薄膜を焼き付けるようにスリットを含むスロット電極219を形成してもよい。
誘電板220は、チャンバ50内の低圧力に起因するスロット電極219の変形、並びに、スロット電極219の被スパッタや銅汚染の発生を防止する。また、誘電板220は絶縁体からなるので、スロット電極219からのマイクロ波は誘電板220を透過してチャンバ50内に導入される。さらに、誘電板220を熱伝導率の低い材質で構成することによって、スロット電極219がチャンバ50の温度から影響を受けるのを防止してもよい。
本実施の形態における誘電板220の厚みは該誘電板220を透過するマイクロ波の波長の0.5倍から0.75倍のいずれか、好ましくは、約0.6倍から約0.7倍のいずれかに設定されている。2.45GHzのマイクロ波は真空中で約122.5mmの波長を有する。誘電板220がAlNから構成されれば、上述したように、比誘電率εが約9であるため波長短縮率が約0.33となり、誘電板220内のマイクロ波の波長は約40.8mmとなる。したがって、誘電板220がAlNから構成されれば、誘電板220の厚さは約20.4mmから約30.6mmのいずれか、好ましくは、約24.5mmから約28.6mmのいずれかに設定される。より一般的には、誘電板220の厚さHは、誘電板220を透過するマイクロ波の波長λを用いて、0.5λ<H<0.75λを満足し、より好ましくは、0.6λ≦H≦0.7λを満足するのが好ましい。ここで、誘電板220を透過するマイクロ波の波長λは、真空中のマイクロ波の波長λと波長短縮率n=1/(ε1/2とを用いて、λ=λ×nで示される。
ステージヒータ51には、バイアス用高周波電源230とマッチングボックス(整合器)231が接続されている。バイアス用高周波電源230はウエハWに負の直流バイアス(例えば、13.56MHzの高周波)を印加する。したがって、ステージヒータ51は下部電極としても機能する。マッチングボックス231は、並列及び直列に配置されたバリコンを有し、チャンバ50内の電極浮遊容量やストレーインダクタンス等の影響を防止し、且つ負荷に対してマッチング行うことができる。また、ウエハWに負の直流バイアスが印加されると、ウエハWに向かってイオンがそのバイアス電圧によって加速されてイオンによる処理が促進される。イオンエネルギーはバイアス電圧によって定まり、バイアス電圧はバイアス用高周波電源230から印加される高周波電力によって制御することができる。バイアス用高周波電源230が印加する高周波電力の周波数はスロット電極219のスリット224の形状、数及び分布に応じて調節することができる。
チャンバ50内は第3のプロセスユニット排気系67によって所望の低圧力、例えば、真空に維持される。第3のプロセスユニット排気系67はチャンバ50内を均一に排気することによって該チャンバ50内のプラズマ密度を均一に保つ。第3のプロセスユニット排気系67は、例えば、TMPやDP(Dry Pump)(共に図示しない)を有し、DP等はPCV(図示しない)やAPCバルブ69を介してチャンバ50に接続されている。PCVとしては、例えば、コンダクタンスバルブ、ゲートバルブ又は高真空バルブ等が該当する。
この第3のプロセスユニット36は、PHT処理が施されたウエハWに該PHT処理に続けて有機物層除去処理を施す。
図1に戻り、第2のロード・ロックユニット49は、第2の搬送アーム37を内蔵する筐体状の搬送室(チャンバ)70を有する。また、ローダーユニット13の内部圧力は大気圧に維持される一方、第2のプロセスユニット34及び第3のプロセスユニット36の内部圧力は真空若しくは大気圧以下に維持される。そのため、第2のロード・ロックユニット49は、第3のプロセスユニット36との連結部に真空ゲートバルブ54を備えると共に、ローダーユニット13との連結部に大気ドアバルブ55を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。
図7は、図1における第2のプロセスシップの概略構成を示す斜視図である。
図7において、第2のプロセスユニット34は、第1のバッファ室45へアンモニアガスを供給するアンモニアガス供給管57と、第2のバッファ室46へ弗化水素ガスを供給する弗化水素ガス供給管58と、チャンバ38内の圧力を測定する圧力ゲージ59と、ESC39内に配設された冷却系統に冷媒を供給するチラーユニット60とを備える。
アンモニアガス供給管57にはMFC(図示しない)が設けられ、該MFCは第1のバッファ室45へ供給するアンモニアガスの流量を調整すると共に、弗化水素ガス供給管58にもMFC(図示しない)が設けられ、該MFCは第2のバッファ室46へ供給する弗化水素ガスの流量を調整する。アンモニアガス供給管57のMFCと弗化水素ガス供給管58のMFCは協働して、チャンバ38へ供給されるアンモニアガスと弗化水素ガスの体積流量比を調整する。
また、第2のプロセスユニット34の下方には、DP(図示しない)に接続された第2のプロセスユニット排気系61が配置される。第2のプロセスユニット排気系61は、チャンバ38とAPCバルブ42の間に配設された排気ダクト62と連通する排気管63と、TMP41の下方(排気側)に接続された排気管64とを有し、チャンバ38内のガス等を排気する。なお、排気管64はDPの手前において排気管63に接続される。
第3のプロセスユニット36は、チャンバ50内の圧力を測定する圧力ゲージ66と、チャンバ50内の窒素ガス等を排気する第3のプロセスユニット排気系67とを備える。
第3のプロセスユニット排気系67は、チャンバ50に連通すると共にDP(図示しない)に接続された本排気管68と、該本排気管68の途中に配されたAPCバルブ69と、本排気管68からAPCバルブ69を回避するように分岐し、且つDPの手前において本排気管68に接続される副排気管68aとを有する。APCバルブ69は、チャンバ50内の圧力を制御する。
第2のロード・ロックユニット49は、チャンバ70へ窒素ガスを供給する窒素ガス供給管71と、チャンバ70内の圧力を測定する圧力ゲージ72と、チャンバ70内の窒素ガス等を排気する第2のロード・ロックユニット排気系73と、チャンバ70内を大気開放する大気連通管74とを備える。
窒素ガス供給管71にはMFC(図示しない)が設けられ、該MFCはチャンバ70へ供給される窒素ガスの流量を調整する。第2のロード・ロックユニット排気系73は1本の排気管からなり、該排気管はチャンバ70に連通すると共に、DPの手前において第3のプロセスユニット排気系67における本排気管68に接続される。また、第2のロード・ロックユニット排気系73及び大気連通管74はそれぞれ開閉自在な排気バルブ75及びリリーフバルブ76を有し、該排気バルブ75及びリリーフバルブ76は協働してチャンバ70内の圧力を大気圧から所望の真空度までのいずれかに調整する。
図8は、図7における第2のロード・ロックユニットのユニット駆動用ドライエア供給系の概略構成を示す図である。
図8において、第2のロード・ロックユニット49のユニット駆動用ドライエア供給系77のドライエア供給先としては、大気ドアバルブ55が有するスライドドア駆動用のドアバルブシリンダ、Nパージユニットとしての窒素ガス供給管71が有するMFC、大気開放用のリリーフユニットとしての大気連通管74が有するリリーフバルブ76、真空引きユニットとしての第2のロード・ロックユニット排気系73が有する排気バルブ75、及び真空ゲートバルブ54が有するスライドゲート駆動用のゲートバルブシリンダが該当する。
ユニット駆動用ドライエア供給系77は、第2のプロセスシップ12が備える本ドライエア供給管78から分岐された副ドライエア供給管79と、該副ドライエア供給管79に接続された第1のソレノイドバルブ80及び第2のソレノイドバルブ81とを備える。
第1のソレノイドバルブ80は、ドライエア供給管82,83,84,85の各々を介してドアバルブシリンダ、MFC、リリーフバルブ76及びゲートバルブシリンダに接続され、これらへのドライエアの供給量を制御することによって各部の動作を制御する。また、第2のソレノイドバルブ81は、ドライエア供給管86を介して排気バルブ75に接続され、排気バルブ75へのドライエアの供給量を制御することによって排気バルブ75の動作を制御する。なお、窒素ガス供給管71におけるMFCは窒素(N)ガス供給系87にも接続されている。
また、第2のプロセスユニット34や第3のプロセスユニット36も、上述した第2のロード・ロックユニット49のユニット駆動用ドライエア供給系77と同様の構成を有するユニット駆動用ドライエア供給系を備える。
図1に戻り、基板処理装置10は、第1のプロセスシップ11、第2のプロセスシップ12及びローダーユニット13の動作を制御するシステムコントローラと、ローダーユニット13の長手方向に関する一端に配置されたオペレーションパネル88を備える。
オペレーションパネル88は、例えばLCD(Liquid Crystal Display)からなる表示部を有し、該表示部は基板処理装置10の各構成要素の動作状況を表示する。
また、図9に示すように、システムコントローラは、EC(Equipment Controller)89と、3つのMC(Module Controller)90,91,92と、EC89及び各MCを接続するスイッチングハブ93とを備える。該システムコントローラはEC89からLAN(Local Area Network)170を介して、基板処理装置10が設置されている工場全体の製造工程を管理するMES(Manufacturing Execution System)としてのPC171に接続されている。MESは、システムコントローラと連携して工場における工程に関するリアルタイム情報を基幹業務システム(図示しない)にフィードバックすると共に、工場全体の負荷等を考慮して工程に関する判断を行う。
EC89は、各MCを統括して基板処理装置10全体の動作を制御する主制御部(マスタ制御部)である。また、EC89は、CPU、RAM、HDD等を有し、オペレーションパネル88においてユーザ等によって指定されたウエハWの処理方法、すなわち、レシピに対応するプログラムに応じてCPUが各MCに制御信号を送信することにより、第1のプロセスシップ11、第2のプロセスシップ12及びローダーユニット13の動作を制御する。
スイッチングハブ93は、EC89からの制御信号に応じてEC89の接続先としてのMCを切り替える。
MC90,91,92は、それぞれ第1のプロセスシップ11、第2のプロセスシップ12及びローダーユニット13の動作を制御する副制御部(スレーブ制御部)である。各MCは、DIST(Distribution)ボード96によってGHOSTネットワーク95を介して各I/O(入出力)モジュール97,98,99にそれぞれ接続される。GHOSTネットワーク95は、各MCが有するMCボードに搭載されたGHOST(General High-Speed Optimum Scalable Transceiver)と称されるLSIによって実現されるネットワークである。GHOSTネットワーク95には、最大で31個のI/Oモジュールを接続可能であり、GHOSTネットワーク95では、MCがマスタに該当し、I/Oモジュールがスレーブに該当する。
I/Oモジュール98は、第2のプロセスシップ12における各構成要素(以下、「エンドデバイス」という。)に接続された複数のI/O部100からなり、各エンドデバイスへの制御信号及び各エンドデバイスからの出力信号の伝達を行う。I/Oモジュール98においてI/O部100に接続されるエンドデバイスには、例えば、第2のプロセスユニット34におけるアンモニアガス供給管57のMFC、弗化水素ガス供給管58のMFC、圧力ゲージ59及びAPCバルブ42、第3のプロセスユニット36におけるMFC196、MFC209、マイクロ波源190、圧力ゲージ66、APCバルブ69、バッファアーム52及びステージヒータ51、第2のロード・ロックユニット49における窒素ガス供給管71のMFC、圧力ゲージ72及び第2の搬送アーム37、並びにユニット駆動用ドライエア供給系77における第1のソレノイドバルブ80及び第2のソレノイドバルブ81等が該当する。
なお、I/Oモジュール97,99は、I/Oモジュール98と同様の構成を有し、第1のプロセスシップ11に対応するMC90及びI/Oモジュール97の接続関係、並びにローダーユニット13に対応するMC92及びI/Oモジュール99の接続関係も、上述したMC91及びI/Oモジュール98の接続関係と同様の構成であるため、これらの説明を省略する。
また、各GHOSTネットワーク95には、I/O部100におけるデジタル信号、アナログ信号及びシリアル信号の入出力を制御するI/Oボード(図示しない)も接続される。
基板処理装置10において、ウエハWにCOR処理を施す際には、COR処理のレシピに対応するプログラムに応じてEC89のCPUが、スイッチングハブ93、MC91、GHOSTネットワーク95及びI/Oモジュール98におけるI/O部100を介して、所望のエンドデバイスに制御信号を送信することによって第2のプロセスユニット34においてCOR処理を実行する。
具体的には、CPUが、アンモニアガス供給管57のMFC及び弗化水素ガス供給管58のMFCに制御信号を送信することによってチャンバ38におけるアンモニアガス及び弗化水素ガスの体積流量比を所望の値に調整し、TMP41及びAPCバルブ42に制御信号を送信することによってチャンバ38内の圧力を所望の値に調整する。また、このとき、圧力ゲージ59がチャンバ38内の圧力値を出力信号としてEC89のCPUに送信し、該CPUは送信されたチャンバ38内の圧力値に基づいて、アンモニアガス供給管57のMFC、弗化水素ガス供給管58のMFC、APCバルブ42やTMP41の制御パラメータを決定する。
また、ウエハWにPHT処理を施す際には、PHT処理のレシピに対応するプログラムに応じてEC89のCPUが、所望のエンドデバイスに制御信号を送信することによって第3のプロセスユニット36においてPHT処理を実行する。
具体的には、CPUが、APCバルブ69に制御信号を送信することによってチャンバ50内の圧力を所望の値に調整し、ステージヒータ51に制御信号を送信することによってウエハWの温度を所望の温度に調整する。また、このとき、圧力ゲージ66がチャンバ50内の圧力値を出力信号としてEC89のCPUに送信し、該CPUは送信されたチャンバ50内の圧力値に基づいて、APCバルブ69の制御パラメータを決定する。
さらに、ウエハWに有機物層除去処理を施す際には、有機物層除去処理のレシピに対応するプログラムに応じてEC89のCPUが、所望のエンドデバイスに制御信号を送信することによって第3のプロセスユニット36において有機物層除去処理を実行する。
具体的には、CPUが、MFC196及びMFC209に制御信号を送信することによってチャンバ50内に酸素ガス及び放電ガスを導入し、APCバルブ69に制御信号を送信することによってチャンバ50内の圧力を所望の値に調整し、ステージヒータ51に制御信号を送信することによってウエハWの温度を所望の温度に調整し、マイクロ波源190に制御信号を送信することによってアンテナ装置191のスロット電極219からチャンバ50内へマイクロ波を導入する。また、このとき、例えば、圧力ゲージ66がチャンバ50内の圧力値を出力信号としてEC89のCPUに送信し、該CPUは送信されたチャンバ50内の圧力値に基づいて、APCバルブ69の制御パラメータを決定する。
図9のシステムコントローラでは、複数のエンドデバイスがEC89に直接接続されることなく、該複数のエンドデバイスに接続されたI/O部100がモジュール化されてI/Oモジュールを構成し、該I/OモジュールがMC及びスイッチングハブ93を介してEC89に接続されるため、通信系統を簡素化することができる。
また、EC89のCPUが送信する制御信号には、所望のエンドデバイスに接続されたI/O部100のアドレス、及び当該I/O部100を含むI/Oモジュールのアドレスが含まれているため、スイッチングハブ93は制御信号におけるI/Oモジュールのアドレスを参照し、MCのGHOSTが制御信号におけるI/O部100のアドレスを参照することによって、スイッチングハブ93やMCがCPUに制御信号の送信先の問い合わせを行う必要を無くすことができ、これにより、制御信号の円滑な伝達を実現することができる。
ところで、先に述べたように、ウエハW上におけるフローティングゲートや層間SiO膜のエッチングの結果、ウエハW上に形成されたトレンチの側面にSiOBr層、CF系デポジット層及びSiOBr層からなるデポジット膜が形成される。なお、SiOBr層は、上述したようにSiO層に似た性質を有する疑似SiO層である。これらのSiOBr層及びCF系デポジット層は電子デバイスの不具合、例えば、導通不良の原因となるため、除去する必要がある。
本実施の形態に係る基板処理方法は、これに対応して、デポジット膜がトレンチの側面に形成されたウエハWにCOR処理、PHT処理及び有機物層除去処理を施す。
本実施の形態に係る基板処理方法では、COR処理においてアンモニアガス及び弗化水素ガスを用いる。ここで、弗化水素ガスは疑似SiO層の腐食を促進し、アンモニアガスは、酸化膜と弗化水素ガスとの反応を必要に応じて制限し、最終的には停止させるための反応副生成物(By-product)を合成する。具体的には、本実施の形態に係る基板処理方法では、COR処理及びPHT処理において以下の化学反応を利用する。
(COR処理)
SiO+4HF → SiF+2HO↑
SiF+2NH+2HF → (NHSiF
(PHT処理)
(NHSiF → SiF↑+2NH↑+2HF↑
尚、PHT処理においては、N及びHも若干量発生する。
また、本実施の形態に係る基板処理方法では、有機物層除去処理において酸素ガスから生成された酸素ラジカルを用いる。ここで、COR処理及びPHT処理が施されたウエハWでは、トレンチの側面のデポジット膜において最表層のSiOBr層が除去されて有機物層であるCF系デポジット層が露出する。酸素ラジカルは露出したCF系デポジット層を分解する。具体的には、酸素ラジカルに暴露されたCF系デポジット層は化学反応によってCO、COやF等に分解される。これにより、トレンチの側面のデポジット膜においてCF系デポジット層が除去される。
図10は、本実施の形態に係る基板処理方法としてのデポジット膜除去処理のフローチャートである。
図10において、基板処理装置10において、まず、トレンチの側面にSiOBr層、CF系デポジット層及びSiOBr層からなるデポジット膜が形成されたウエハWを第2のプロセスユニット34のチャンバ38に収容し、該チャンバ38内の圧力を所定の圧力に調整し、チャンバ38内にアンモニアガス、弗化水素ガス及び希釈ガスとしてのアルゴン(Ar)ガスを導入して、チャンバ38内をこれらから成る混合気体の雰囲気とし、最表層のSiOBr層を所定の圧力下において混合気体に暴露する。これにより、SiOBr層、アンモニアガス及び弗化水素ガスを化学反応させて錯体構造を有する生成物((NHSiF)を生成する(ステップS101)(化学反応処理ステップ)。このとき、最表層のSiOBr層が混合気体に暴露される時間は2〜3分であるのが好ましく、また、ESC39の温度は10〜100℃のいずれかに設定されるのが好ましい。
チャンバ38内における弗化水素ガスの分圧は6.7〜13.3Pa(50〜100mTorr)であるのが好ましい。これにより、チャンバ38内の混合気体の流量比等が安定するため、生成物の生成を助長することができる。また、温度が高いほどチャンバ38内に発生した副生成物が付着しにくいことから、チャンバ38内の内壁温度は、側壁に埋設されたヒータ(図示しない)によって50℃に設定されるのが好ましい。
次いで、生成物が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置し、該チャンバ50内の圧力を所定の圧力に調整し、チャンバ50内に放電ガス供給リング211等から窒素ガスを導入して粘性流を生じさせ、ステージヒータ51によってウエハWを所定の温度に加熱する(ステップS102)(熱処理ステップ)。このとき、熱によって生成物の錯体構造が分解し、生成物は四弗化珪素(SiF)、アンモニア、弗化水素に分離して気化する。気化したこれらのガス分子はチャンバ50内に導入された窒素ガスの粘性流に巻き込まれて第3のプロセスユニット排気系67によってチャンバ50から排出される。
第3のプロセスユニット36において、生成物は配位結合を含む錯化合物(Complex compound)であり、錯化合物は結合力が弱く、比較的低温においても熱分解が促進されるので、加熱されたウエハWの所定の温度は80〜200℃であるのが好ましく、さらに、ウエハWにPHT処理を施す時間は、30〜120秒であるのが好ましい。また、チャンバ50に粘性流を生じさせるためには、チャンバ50内の真空度を高めるのは好ましくなく、また、一定の流量のガス流が必要である。したがって、該チャンバ50における所定の圧力は、6.7×10〜1.3×10Pa(500mTorr〜1Torr)であるのが好ましく、窒素ガスの流量は500〜3000SCCMであるのが好ましい。これにより、チャンバ50内において粘性流を確実に生じさせることができるため、生成物の熱分解によって生じたガス分子を確実に除去することができる。
次いで、第3のプロセスユニット36のチャンバ50内に、放電ガス供給系193から放電ガス供給リング211を介して放電ガスを所定の流量で供給すると共に、酸素ガス供給系192から酸素ガス供給リング198を介して酸素ガスを所定の流量で供給する。酸素ガス供給リング198の各酸素ガス供給ノズル201は、図4に示すように、チャンバ50の中心に向けて開口する。また、ステージヒータ51は平面視においてチャンバ50の略中心に配置されている。したがって、酸素ガス供給リング198はステージヒータ51に載置されたウエハWの上方へ向けて酸素ガスを供給する(酸素ガス供給ステップ)(ステップS103)。
次いで、マイクロ波源190からマイクロ波を、導波管215を介して遅波材223に、例えば、TEMモードで導入する。遅波材223に導入されたマイクロ波は、該遅波材223を透過する際にその波長が短縮される。遅波材223を透過したマイクロ波はスロット電極219に入射し、スロット電極219は各スリット組225からチャンバ50内にマイクロ波を導入する。すなわち、スロット電極219は酸素ガスが供給されたチャンバ50内へマイクロ波を導入する(マイクロ波導入ステップ)(ステップS104)。このとき、マイクロ波が印加された酸素ガスは励起して酸素ラジカルを発生する。発生した酸素ラジカルは最表層のSiOBr層が除去されて露出したCF系デポジット層を化学反応によってCO、COやF等のガス分子に分解する。これらのガス分子は放電ガス供給リング211から供給された窒素ガスの粘性流に巻き込まれて第3のプロセスユニット排気系67によってチャンバ50から排出される。このとき、酸素ガスをチャンバ50内に供給する時間は10秒前後であるのが好ましく、また、ステージヒータ51の温度は100〜200℃のいずれかに設定されるのが好ましい。なお、酸素ガス供給孔197から供給される酸素ガスの流量は1〜5SLMであるのが好ましい。
また、ステップS104において、遅波材223及びスロット電極219は所望の温度に維持されて熱膨張等の変形を発生しないので、各スリット組225のスリット224は最適な長さを維持することができ、これにより、マイクロ波は、均一に(部分的集中なしに)且つ所望の密度で(密度の低下なしに)チャンバ50内に導入される。
次いで、トレンチの側面のデポジット膜においてCF系デポジット層が除去されて最下層のSiOBr層が露出したウエハWを第2のプロセスユニット34のチャンバ38に収容し、上述したステップS101と同様の処理を該ウエハWに施し(ステップS105)、さらに、該ウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置し、上述したステップS102と同様の処理を該ウエハWに施す(ステップS106)。これにより、最下層のSiOBr層を除去し、その後、本処理を終了する。
なお、上述したステップS103及びS104が有機物層除去処理に該当する。
上述した本実施の形態に係る基板処理装置によれば、第3のプロセスユニット36はチャンバ50内に酸素ガスを供給する酸素ガス供給系192及び酸素ガス供給リング198と、チャンバ50内にマイクロ波を導入するアンテナ装置191とを備える。最表層のSiOBr層で覆われたCF系デポジット層がトレンチの側面に形成されたウエハWにおいて、アンモニアガス及び弗化水素ガスとの化学反応によってSiOBr層から生成された生成物が加熱されると、該生成物は気化してCF系デポジット層が露出する。また、酸素ガスが供給されたチャンバ50内にマイクロ波が導入されると、酸素ガスが励起されて酸素ラジカルが発生する。露出した有機物層は発生した酸素ラジカルに暴露され、該酸素ラジカルはCF系デポジット層を化学反応によってCO、COやF等のガス分子に分解する。したがって、最表層のSiOBr層に続けてCF系デポジット層を連続的に除去することができ、もって、SiOBr層及びCF系デポジット層を効率良く除去することができる。
上述した本実施の形態に係る基板処理装置は、図1に示すような互いに平行に配されたプロセスシップを2つ備えるパラレルタイプの基板処理装置に限られず、図11や図12に示すように、ウエハWに所定の処理を施す真空処理室としての複数のプロセスユニットが放射状に配置された基板処理装置も該当する。
図11は、上述した本実施の形態に係る基板処理装置の第1の変形例の概略構成を示す平面図である。なお、図11においては、図1の基板処理装置10における構成要素と同様の構成要素には同じ符号を付し、その説明を省略する。
図11において、基板処理装置137は、平面視六角形のトランスファユニット138と、該トランスファユニット138の周囲において放射状に配置された4つのプロセスユニット139〜142と、ローダーユニット13と、トランスファユニット138及びローダーユニット13の間に配置され、トランスファユニット138及びローダーユニット13を連結する2つのロード・ロックユニット143,144とを備える。
トランスファユニット138及び各プロセスユニット139〜142は内部の圧力が真空に維持され、トランスファユニット138と各プロセスユニット139〜142とは、それぞれ真空ゲートバルブ145〜148を介して接続される。
基板処理装置137では、ローダーユニット13の内部圧力が大気圧に維持される一方、トランスファユニット138の内部圧力は真空に維持される。そのため、各ロード・ロックユニット143,144は、それぞれトランスファユニット138との連結部に真空ゲートバルブ149,150を備えると共に、ローダーユニット13との連結部に大気ドアバルブ151,152を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。また、各ロード・ロックユニット143,144はローダーユニット13及びトランスファユニット138の間において受渡されるウエハWを一時的に載置するためのウエハ載置台153,154を有する。
トランスファユニット138はその内部に配置された屈伸及び旋回自在になされたフロッグレッグタイプの搬送アーム155を有し、該搬送アーム155は、各プロセスユニット139〜142や各ロード・ロックユニット143,144の間においてウエハWを搬送する。
各プロセスユニット139〜142は、それぞれ処理が施されるウエハWを載置する載置台156〜159を有する。ここで、プロセスユニット139,140は基板処理装置10における第1のプロセスユニット25と同様の構成を有し、プロセスユニット141は第2のプロセスユニット34と同様の構成を有し、プロセスユニット142は第3のプロセスユニット36と同様の構成を有する。したがって、プロセスユニット139,140はウエハWにエッチング処理を施し、プロセスユニット141はウエハWにCOR処理を施し、プロセスユニット142はウエハWにPHT処理及び有機物層除去処理を施すことができる。
基板処理装置137では、トレンチの側面にSiOBr層、CF系デポジット層及びSiOBr層からなるデポジット膜が形成されたウエハWを、プロセスユニット141に搬入してCOR処理を施し、さらにプロセスユニット142に搬入してPHT処理及び有機物層除去処理を施すことにより、上述した本実施の形態に係る基板処理方法を実行する。
なお、基板処理装置137における各構成要素の動作は、基板処理装置10におけるシステムコントローラと同様の構成を有するシステムコントローラによって制御される。
図12は、上述した本実施の形態に係る基板処理装置の第2の変形例の概略構成を示す平面図である。なお、図12においては、図1の基板処理装置10及び図11の基板処理装置137における構成要素と同様の構成要素には同じ符号を付し、その説明を省略する。
図12において、基板処理装置160は、図11の基板処理装置137に対して、2つのプロセスユニット161,162が追加され、これに対応して、トランスファユニット163の形状も基板処理装置137におけるトランスファユニット138の形状と異なる。追加された2つのプロセスユニット161,162は、それぞれ真空ゲートバルブ164,165を介してトランスファユニット163と接続されると共に、ウエハWの載置台166,167を有する。プロセスユニット161は第1のプロセスユニット25と同様の構成を有し、プロセスユニット162は第2のプロセスユニット34と同様の構成を有する。
また、トランスファユニット163は、2つのスカラアームタイプの搬送アームからなる搬送アームユニット168を備える。該搬送アームユニット168は、トランスファユニット163内に配設されたガイドレール169に沿って移動し、各プロセスユニット139〜142,161,162や各ロード・ロックユニット143,144の間においてウエハWを搬送する。
基板処理装置160では、基板処理装置137と同様に、トレンチの側面にSiOBr層、CF系デポジット層及びSiOBr層からなるデポジット膜が形成されたウエハWを、プロセスユニット141又はプロセスユニット162に搬入してCOR処理を施し、さらにプロセスユニット142に搬入してPHT処理及び有機物層除去処理を施すことにより、上述した本実施の形態に係る基板処理方法を実行する。
なお、基板処理装置160における各構成要素の動作も、基板処理装置10におけるシステムコントローラと同様の構成を有するシステムコントローラによって制御される。
本発明の目的は、上述した本実施の形態の機能を実現するソフトウェアのプログラムコードを記録した記憶媒体を、EC89に供給し、EC89のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出して実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラムコード自体が上述した本実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。
また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。
また、コンピュータが読み出したプログラムコードを実行することにより、上記本実施の形態の機能が実現されるだけでなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部又は全部を行い、その処理によって上述した本実施の形態の機能が実現される場合も含まれる。
さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって前述した本実施の形態の機能が実現される場合も含まれる。
上記プログラムコードの形態は、オブジェクトコード、インタプリタにより実行されるプログラムコード、OSに供給されるスクリプトデータ等の形態から成ってもよい。
本発明の実施の形態に係る基板処理装置の概略構成を示す平面図である。 図1における第2のプロセスユニットの断面図であり、(A)は図1における線II−IIに沿う断面図であり、(B)は図2(A)におけるA部の拡大図である。 図1における第3のプロセスユニットの断面図である。 図3における酸素ガス供給リングの概略構成を示す平面図である。 図3におけるスロット電極の概略構成を示す平面図である。 図5のスロット電極の変形例を示す平面図であり、(A)は第1の変形例を示す図であり、(B)は第2の変形例を示す図であり、(C)は第3の変形例を示す図である。 図1における第2のプロセスシップの概略構成を示す斜視図である。 図7における第2のロード・ロックユニットのユニット駆動用ドライエア供給系の概略構成を示す図である。 図1の基板処理装置におけるシステムコントローラの概略構成を示す図である。 本実施の形態に係る基板処理方法としてのデポジット膜除去処理のフローチャートである。 本実施の形態に係る基板処理装置の第1の変形例の概略構成を示す平面図である。 本実施の形態に係る基板処理装置の第2の変形例の概略構成を示す平面図である。 SiOBr層、CF系デポジット層及びSiOBr層からなるデポジット膜を示す断面図である。
符号の説明
W ウエハ
10,137,160 基板処理装置
11 第1のプロセスシップ
12 第2のプロセスシップ
13 ローダーユニット
17 第1のIMS
18 第2のIMS
25 第1のプロセスユニット
34 第2のプロセスユニット
36 第3のプロセスユニット
37 第2の搬送アーム
38,50,70 チャンバ
39 ESC
40 シャワーヘッド
41 TMP
42,69 APCバルブ
45 第1のバッファ室
46 第2のバッファ室
47,48 ガス通気孔
49 第2のロード・ロック室
51 ステージヒータ
57 アンモニアガス供給管
58 弗化水素ガス供給管
59,66,72 圧力ゲージ
61 第2のプロセスユニット排気系
71 窒素ガス供給管
67 第3のプロセスユニット排気系
73 第2のロード・ロックユニット排気系
74 大気連通管
89 EC
90,91,92 MC
93 スイッチングハブ
95 GHOSTネットワーク
97,98,99 I/Oモジュール
100 I/O部
138,163 トランスファユニット
139,140,141,142,161,162 プロセスユニット
170 LAN
171 PC
180 トレンチ
181 デポジット膜
182,184 SiOBr層
183 CF系デポジット層
190 マイクロ波源
191 アンテナ装置
192 酸素ガス供給系
193 放電ガス供給系
198 酸素ガス供給リング
206,214 真空ポンプ
211 放電ガス供給リング
217 温調板
218 収納部材
219,226,227,228 スロット電極
220 誘電板
221 電磁波吸収体
222 温度制御装置
223 遅波材
224,224a,224b スリット
225 スリット組

Claims (4)

  1. 疑似SiO層であるSiOBr層で覆われたCF系有機物層が表面に形成された基板を処理容器内の載置台に載置して前記基板に処理を施す基板処理方法であって、
    前記SiOBr層をガス分子と化学反応させて前記表面上に生成物を生成する化学反応処理ステップと、
    前記生成物が前記表面に生成された前記基板を加熱して前記生成物を気化するステップと、
    前記生成物が気化して前記CF系有機物層が露出した前記基板の上方へ向けて酸素ガスを供給すると共に、前記酸素ガスが供給された基板の上方へマイクロ波を導入して前記CF系有機物層を除去するステップとを有することを特徴とする基板処理方法。
  2. 前記生成物を気化するステップと前記CF系有機物層を除去するステップは、同じ処理容器内で連続して行われることを特徴とする請求項1に記載の基板処理方法。
  3. 前記生成物を気化するステップ及び前記CF系有機物層を除去するステップでは、前記処理容器内に窒素ガスを導入して粘性流を生じさせることを特徴とする請求項1又は2に記載の基板処理方法。
  4. 前記CF系有機物層を除去するステップでは、前記基板の上方へ向けてさらに希ガスが導入されることを特徴とする請求項1〜3のいずれか1項に記載の基板処理方法。
JP2006023098A 2006-01-31 2006-01-31 基板処理方法 Expired - Fee Related JP4854317B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006023098A JP4854317B2 (ja) 2006-01-31 2006-01-31 基板処理方法
CNB2006101424976A CN100552874C (zh) 2006-01-31 2006-10-27 基板处理装置和基板处理方法
KR1020060107765A KR100789007B1 (ko) 2006-01-31 2006-11-02 기판 처리 장치, 기판 처리 방법 및 기억 매체
US11/668,684 US20070175393A1 (en) 2006-01-31 2007-01-30 Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
TW096103560A TW200739714A (en) 2006-01-31 2007-01-31 Substrate processing device, substrate processing method and storage medium
US12/909,277 US20110033636A1 (en) 2006-01-31 2010-10-21 Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006023098A JP4854317B2 (ja) 2006-01-31 2006-01-31 基板処理方法

Publications (2)

Publication Number Publication Date
JP2007207894A JP2007207894A (ja) 2007-08-16
JP4854317B2 true JP4854317B2 (ja) 2012-01-18

Family

ID=38320757

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006023098A Expired - Fee Related JP4854317B2 (ja) 2006-01-31 2006-01-31 基板処理方法

Country Status (5)

Country Link
US (2) US20070175393A1 (ja)
JP (1) JP4854317B2 (ja)
KR (1) KR100789007B1 (ja)
CN (1) CN100552874C (ja)
TW (1) TW200739714A (ja)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7687360B2 (en) * 2006-12-22 2010-03-30 Spansion Llc Method of forming spaced-apart charge trapping stacks
CN102105312B (zh) * 2008-07-31 2014-06-11 东京毅力科创株式会社 用于化学处置和热处置的高产量处理***及操作方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101378304B1 (ko) 2009-08-21 2014-03-27 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 기판 처리 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130032647A (ko) * 2011-09-23 2013-04-02 삼성전자주식회사 웨이퍼 테스트 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103199035A (zh) * 2012-01-06 2013-07-10 沈阳新松机器人自动化股份有限公司 一种晶圆装卸台的控制***
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9281221B2 (en) * 2012-11-16 2016-03-08 Taiwan Semiconductor Manufacturing Company Limited Ultra-high vacuum (UHV) wafer processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9374853B2 (en) 2013-02-08 2016-06-21 Letourneau University Method for joining two dissimilar materials and a microwave system for accomplishing the same
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102291460B1 (ko) 2013-04-30 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분포된 가스 통로들을 갖는 유동 제어 라이너
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9431280B2 (en) * 2013-12-04 2016-08-30 King Lai Hygienic Materials Co., Ltd Self-lockable opening and closing mechanism for vacuum cabin door
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9287153B2 (en) * 2014-08-15 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor baking apparatus and operation method thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170084470A1 (en) * 2015-09-18 2017-03-23 Tokyo Electron Limited Substrate processing apparatus and cleaning method of processing chamber
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10498019B2 (en) * 2016-01-29 2019-12-03 Sharp Kabushiki Kaisha Scanning antenna
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6600588B2 (ja) * 2016-03-17 2019-10-30 東京エレクトロン株式会社 基板搬送機構の洗浄方法及び基板処理システム
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6896565B2 (ja) * 2017-08-25 2021-06-30 東京エレクトロン株式会社 インナーウォール及び基板処理装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
WO2019083735A1 (en) * 2017-10-23 2019-05-02 Lam Research Ag SYSTEMS AND METHODS FOR PREVENTING THE STATIC FRICTION OF HIGH-SHAPE RATIO STRUCTURES AND / OR REPAIRING HIGH-SHAPE RATIO STRUCTURES
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JPWO2019235196A1 (ja) * 2018-06-08 2021-06-17 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230062848A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3226315B2 (ja) * 1991-03-20 2001-11-05 キヤノン株式会社 微細加工方法及び微細加工装置
JPH0697123A (ja) * 1992-09-14 1994-04-08 Sony Corp ドライエッチング方法
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5980638A (en) * 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JP2000091308A (ja) * 1998-09-07 2000-03-31 Sony Corp 半導体装置の製造方法
US6159333A (en) * 1998-10-08 2000-12-12 Applied Materials, Inc. Substrate processing system configurable for deposition or cleaning
US6251794B1 (en) * 1999-02-18 2001-06-26 Taiwan Semiconductor Manufacturing Company Method and apparatus with heat treatment for stripping photoresist to eliminate post-strip photoresist extrusion defects
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
JP4464550B2 (ja) * 1999-12-02 2010-05-19 東京エレクトロン株式会社 プラズマ処理装置
JP3803523B2 (ja) * 1999-12-28 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
JP4056195B2 (ja) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6527909B2 (en) * 2000-04-27 2003-03-04 Tokyo Electron Limited Plasma processing apparatus
US6692648B2 (en) * 2000-12-22 2004-02-17 Applied Materials Inc. Method of plasma heating and etching a substrate
JP4334225B2 (ja) * 2001-01-25 2009-09-30 東京エレクトロン株式会社 電子デバイス材料の製造方法
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP4933789B2 (ja) * 2006-02-13 2012-05-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体

Also Published As

Publication number Publication date
CN101013654A (zh) 2007-08-08
CN100552874C (zh) 2009-10-21
KR20070078966A (ko) 2007-08-03
KR100789007B1 (ko) 2007-12-26
JP2007207894A (ja) 2007-08-16
US20070175393A1 (en) 2007-08-02
US20110033636A1 (en) 2011-02-10
TW200739714A (en) 2007-10-16

Similar Documents

Publication Publication Date Title
JP4854317B2 (ja) 基板処理方法
JP4853857B2 (ja) 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US20050257890A1 (en) Method of cleaning an interior of a remote plasma generating tube and appartus and method for processing a substrate using the same
US7815739B2 (en) Vertical batch processing apparatus
KR101057289B1 (ko) 기판처리방법 및 기억매체
US8034183B2 (en) Cleaning method and plasma processing method
WO2019003663A1 (ja) エッチング方法およびエッチング装置
JP2006253634A (ja) 基板の処理方法、電子デバイスの製造方法及びプログラム
TWI490912B (zh) Pattern forming method and manufacturing method of semiconductor device
TWI518217B (zh) Etching method and etching device
US20120184111A1 (en) Selective plasma nitriding method and plasma nitriding apparatus
JP5941653B2 (ja) シリコン窒化膜の成膜方法及びシリコン窒化膜の成膜装置
JP7174634B2 (ja) 膜をエッチングする方法
JP6108560B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US10923358B2 (en) Substrate processing method
JPWO2018055730A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US7857984B2 (en) Plasma surface treatment method, quartz member, plasma processing apparatus and plasma processing method
JP2007266455A (ja) 基板処理装置、基板処理方法及び記憶媒体
US20130017690A1 (en) Plasma nitriding method and plasma nitriding apparatus
KR102606417B1 (ko) 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
US20120252226A1 (en) Plasma processing method
JP2010225847A (ja) 真空処理装置,減圧処理方法,基板処理方法
US7776736B2 (en) Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
TW202331887A (zh) 基板處理裝置、半導體裝置之製造方法及程式

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110822

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111020

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111025

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141104

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees