JP4401375B2 - 電子デバイス材料の製造方法 - Google Patents

電子デバイス材料の製造方法 Download PDF

Info

Publication number
JP4401375B2
JP4401375B2 JP2006231186A JP2006231186A JP4401375B2 JP 4401375 B2 JP4401375 B2 JP 4401375B2 JP 2006231186 A JP2006231186 A JP 2006231186A JP 2006231186 A JP2006231186 A JP 2006231186A JP 4401375 B2 JP4401375 B2 JP 4401375B2
Authority
JP
Japan
Prior art keywords
gas
film
oxide film
plasma
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006231186A
Other languages
English (en)
Other versions
JP2007013200A (ja
Inventor
卓也 菅原
敏雄 中西
成則 尾▲崎▼
征嗣 松山
惠美 村川
吉秀 多田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006231186A priority Critical patent/JP4401375B2/ja
Publication of JP2007013200A publication Critical patent/JP2007013200A/ja
Application granted granted Critical
Publication of JP4401375B2 publication Critical patent/JP4401375B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、電子デバイス材料の製造に好適に使用可能な方法に関する。本発明の電子デバイス材料の製造方法は、例えば、半導体ないし半導体装置(例えば、MOS型半導体構造を有するもの)材料を形成するために好適に使用することが可能である。
本発明の製造方法は半導体ないし半導体装置、液晶デバイス等の電子デバイス材料の製造に一般的に広く適用可能であるが、ここでは説明の便宜のために、半導体装置(devices)の背景技術を例にとって説明する。近年の半導体装置の微細化に伴い、薄く、しかも良質のシリコン酸化膜(SiO2膜)に対するニーズが著しく高まって来ている。例えば、半導体装置の構成として最もポピュラーなMOS型半導体構造においては、いわゆるスケーリングルールに従って、極めて薄く(例えば2.5nm以下程度)、しかも良質のゲート絶縁膜(SiO2膜)に対するニーズが極めて高くなっている。
従来より、このようなゲート絶縁膜材料としては、850°C〜1000°C程度の高温加熱炉を用いてシリコン基板を直接に酸化して得られるシリコン酸化膜(SiO2膜)が工業的に使用されてきた。しかしながら、このようなSiO2膜を単に2.5nm以下に薄くした場合には、このゲート絶縁膜を流れる漏れ電流(ゲートリーク電流)が大きくなり、消費電力の増大やデバイス特性劣化の加速などの問題が生じていた。
また、従来の薄いゲート絶縁膜を用いた際には、ゲート電極形成時に当該ゲートに含まれるホウ素がSiO2膜を突き抜けて、ゲート絶縁膜の下地であるシリコン基板に達し、半導体デバイス特性を劣化させるという問題も生じていた。このような問題点を解決する一つの方法として、ゲート絶縁膜材料として酸窒化膜(SiON膜)を使用することが検討されている。しかしながら、このようなSiON膜を直接且つ単純に熱酸窒化法によって形成すると、シリコン基板との界面に多数の窒素が含有されてしまい、デバイス特性が劣化してしまう傾向が避けがたい。また、熱酸化膜とCVD(化学気相成長法)によるSiN膜形成を組み合わせたSiO2/SiNスタック構造では、SiO2/SiN界面にキャリアのトラップが生じ、デバイス特性が劣化してしまう傾向がある。そのため、このようなSiON膜形成においては、プラズマを用いてSiO2膜を窒化する方法が有望と考えられる。プラズマ窒化は、一般に、界面準位が小さく且つ酸化膜表面に高い窒素含有率(数パーセント)を有する高品質のゲート酸窒化膜を与え易いからである。
また、プラズマを用いた場合には、低温で窒化を行なうことが容易という利点がある。SiO2膜を加熱によって窒化しようとすると通常は1000°C以上の高温が必要であるため、この熱工程によりシリコン基板に注入されたドーパントが差異拡散することによってデバイス特性が劣化してしまう傾向がある(このような方法は、特開昭55−134937号公報や特開昭59−4059号公報などに開示されている)。このようにプラズマの使用には種々の利点があるが、反面、プラズマを用いて窒化を行う場合、プラズマダメージが発生し、デバイス特性の劣化が生じる可能性がある。
特開昭55−134937号公報 特開昭59−4059号公報
本発明の目的は、上記した従来技術における問題点を解消可能な電子デバイス材料の製造方法を提供することにある。
即ち、本発明の他の目的は、極めて薄く(例えば膜厚2.5nm以下)、且つ良質な酸化膜および/又は酸窒化膜を備える電子デバイス構造を製造可能な方法を提供することにある。
本発明の他の目的は、極めて薄く(例えば膜厚2.5nm以下)、且つ高品質なゲート酸化膜および/又は酸窒化膜を備えるMOS型半導体構造を形成可能な電子デバイス材料の製造方法を提供することにある。
本発明の電子デバイス材料の製造方法は、O2および希ガスを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に酸化膜(SiO2膜)を形成することを特徴とするものである。
本発明によれば、更にO2および希ガスを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に下地酸化膜(SiO2膜)を形成する工程と;N2と希ガスとを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づく窒化プラズマを用いて、前記下地SiO2膜表面を窒化する工程と;を含むことを特徴とする電子デバイス材料の製造方法が提供される。
本発明によれば、更にO2および希ガスを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に下地酸化膜(SiO2膜)を形成する工程と;N2と希ガスとを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づく窒化プラズマを用いて、前記下地SiO2膜表面を窒化する工程と;前記SiO2膜または表面窒化した下地SiO2膜(SiON膜)を有する被処理基体を、層形成ガスの存在下に加熱して、前記SiO2膜またはSiON膜上に電極層を形成する工程と;を含むことを特徴とする電子デバイス材料の製造方法が提供される。
上述したように本発明の電子デバイス製造方法によれば、処理ガスの存在下で、Siを主成分とする被処理基体に、複数のスリットを有する平面アンテナ部材(いわゆるSPAアンテナ)を介してマイクロ波を照射することにより、シリコン含有基板上に直接プラズマを供給して酸化膜(SiO2膜)を形成するため、シリコン含有基板とその表面に形成される酸化膜(SiO2膜)との間で好適な界面の特性制御を行うことができる。
更に、本発明による他の態様の電子デバイス製造方法によれば、いわゆるSPAアンテナを用いた方法で下地酸化膜(SiO2膜)を形成した上に窒化処理を行う方法を用いることで、高品質の酸窒化膜(SiON膜)を形成することができる。
更に、このようにして形成した高品質の酸化膜および/又は酸窒化膜上に電極層(例えば、ポリシリコンまたはアモルファスシリコンまたはSiGeからなるゲート電極)を形成することにより、良好な電気特性を有する半導体構造(例えば、MOS型半導体構造)を形成することができる。
以下、必要に応じて図面を参照しつつ、本発明を詳細に説明する。以下の記載において量比を表す「部」および「%」は、特に断らない限り質量基準とする。
(酸化膜の形成)
本発明の好適な一態様においては、O2および希ガスを少なくとも含む処理ガス(ないしは処理ガス雰囲気;以下同様)の存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に酸化膜(SiO2膜)を形成することができる。
本発明に使用可能な被処理基体は、Siを主成分とする限り特に制限されないが、例えばシリコン(単結晶シリコン等)、ガラス等の公知の電子デバイス用基体を好適に使用することができる。
(処理ガス)
本発明において酸化膜形成の際には、処理ガスは、少なくともO2および希ガスを含む。この際に使用可能な希ガスは特に制限されず、公知の希ガス(ないしはその2種類以上の組合せ)から適宜選択して使用することができる。膜質の点からは、希ガスとしてクリプトン、アルゴン、またはヘリウムが好適に使用可能である。
(酸化膜の形成条件)
本発明を酸化膜の形成に用いる態様においては、形成されるべき酸化膜の特性の点からは、下記の条件が好適に使用できる。
2:5〜500sccm、より好ましくは50〜500sccm、
希ガス(例えば、Kr、Ar、またはHe):500〜3000sccm、より好ましくは500〜2000sccm、特に好ましくは1000〜2000sccm
温度:室温(25℃)〜700℃、より好ましくは200〜700℃、特に好ましくは200〜500℃
圧力:20〜5000mTorr、より好ましくは500〜3000mTorr、特に好ましくは1000〜2000mTorr
マイクロ波:0.5〜5W/cm2、より好ましくは0.5〜4W/cm2
(好適な条件の例)
本発明の製造方法において、形成されるべき酸化膜の特性の点からは、下記の各条件を好適な例として挙げることができる。
処理ガスの好適な一例:流量50〜500sccmのO2、および、流量500〜2000sccmのクリプトン、アルゴン、またはヘリウムを含むガス。
SiO2膜の形成時の温度の好適な一例:300〜700℃の温度が挙げられる。
SiO2膜形成の圧力の好適な一例として、2.7〜270Pa(20〜2000mTorr)が挙げられる。
SiO2膜の形成時のプラズマ好適な一例として、1〜4W/cm2の出力で形成されるプラズマ。
(SiO2酸化膜の窒化)
本発明においては、必要に応じて、平面アンテナ部材を介するマイクロ波照射に基づく窒化プラズマを用いることにより、SiO2酸化膜を好適に窒化することができる。この際に窒化すべきSiO2酸化膜は特に制限されないが、膜質、生産性の点からは、O2および希ガスを含む処理ガスの存在下で平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に形成した下地酸化膜(SiO2膜)であることが好ましい。
すなわち、本発明の好適な他の態様においては、O2および希ガスを含む処理ガスの存在下で平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に下地酸化膜(SiO2膜)を形成し、次いで、N2と希ガスとを少なくとも含む処理ガスの存在下で平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて前記下地SiO2膜表面を窒化することができる。
(処理ガス)
本発明の上記したSiO2酸化膜の窒化の態様において、処理ガスは、少なくともN2と希ガスとを含む。この際に使用可能な希ガスは特に制限されず、公知の希ガス(ないしはその2種類以上の組合せ)から適宜選択して使用することができる。膜質の点からは、希ガスとしてクリプトン、アルゴン、またはヘリウムが好適に使用可能である。
(酸化膜の窒化条件)
本発明を酸化膜の形成に用いる態様においては、形成されるべき表面窒化の酸化膜の特性の点からは、下記の窒化条件が好適に使用できる。
2:2〜500sccm、より好ましくは4〜200sccm
希ガス(例えば、Kr、Ar、またはHe):200〜2000sccm、より好ましくは500〜2000sccm、特に好ましくは1000〜2000sccm、
2:1〜100sccm、より好ましくは2〜50sccm、特に好ましくは5〜30sccm
温度:室温(25℃)〜700℃、より好ましくは200〜500℃
圧力:10〜3000mTorr、より好ましくは20〜1000mTorr、特に好ましくは50〜1000mTorr
マイクロ波:0.5〜4W/cm2、より好ましくは0.5〜3W/cm2
(好適な条件の例)
本発明の製造方法において、形成されるべき表面窒化の酸化膜の特性の点からは、下記の条件を好適な例として挙げることができる。
SiO2膜の窒化時の処理ガスの好適な一例:流量4〜200sccmのN2、および、流量500〜2000sccmのクリプトン、アルゴン若しくはヘリウムを含むガス;または、流量4〜200sccmのN2、流量500〜2000sccmのクリプトン、アルゴン若しくはヘリウム、および、流量2〜30sccmのH2を含むガス。
SiO2膜の窒化時の温度の好適な一例:室温〜700℃の温度が挙げられる。
SiO2膜窒化時の圧力の好適な一例として、2.7〜135Pa(20〜1000mTorr)が挙げられる。
SiO2膜の窒化時のプラズマの好適な一例として、0.5〜3W/cm2の出力で形成されるプラズマ。
(電極層形成の態様)
本発明においては、必要に応じて、SiO2膜またはSiON膜上に電極層を形成することができる。この電極層としては、デバイス特性の点からは、ポリシリコンまたはアモルファスシリコンまたはSiGeからなる電極層を好適に用いることができる。この際に用いる下地のSiO2膜またはSiON膜は特に制限されないがデバイス特性、生産性の点からは、O2および希ガスを少なくとも含む処理ガスの存在下で平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に形成した下地酸化膜(SiO2膜);またはN2と希ガスとを少なくとも含む処理ガスの存在下で平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて形成したSiON膜であることが好ましい。
すなわち、本発明の好適な一態様においては、O2および希ガスを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づくプラズマを用いて、Siを主成分とする被処理基体の表面に下地酸化膜(SiO2膜)を形成し;N2と希ガスとを少なくとも含む処理ガスの存在下で、複数のスリットを有する平面アンテナ部材を介するマイクロ波照射に基づく窒化プラズマを用いて、前記下地SiO2膜表面を窒化し;前記SiO2膜または表面窒化した下地SiO2膜(SiON膜)を備えた被処理基体を、層形成ガスの存在下に加熱して、前記SiO2膜またはSiON膜上に電極層(例えばポリシリコンまたはアモルファスシリコンまたはSiGeからなる電極層)を形成することができる。
(電極形成ガス)
本発明において使用可能な電極形成ガスは特に制限されず、形成すべき電極層の材質に応じて、公知の電極形成ガスのいずれか、またはそれらの2種以上の組合せから適宜選択して使用することができる。形成すべき電極がポリシリコンからなる場合には、デバイス特性、生産性の点からは、前記電極形成ガスがSiH4であることが好ましい。この場合に、好適な電極形成条件は、以下の通りである:
圧力:20.0〜40Pa(150〜300mTorr)、より好ましくは、26〜33.3Pa(200〜250mTorr)
温度:570〜650℃、より好ましくは600〜630℃形成すべき電極がアモルファスシリコンからなる場合には、デバイス特性、生産性の点からは、前記電極形成ガスがSiH4であることが好ましい。
この場合に、好適な電極形成条件は、以下の通りである:
圧力:20.0〜66.7Pa(150〜500mTorr)、
温度:520〜570℃、
形成すべき電極がSiGeからなる場合には、デバイス特性の点からは、前記電極形成ガスがGeH4/SiH4であることが好ましい。この場合に、好適な電極形成条件は、以下の通りである:
ガス組成:GeH4/SiH4=10/90〜60/40%の混合ガス、
圧力:20〜60Pa、温度:460〜560℃、
(平面アンテナ部材)
本発明においては、複数のスリットを有する平面アンテナ部材を介してマイクロ波を照射することにより電子温度が低く且つ高密度なプラズマを形成し、このプラズマを用いて前記被処理基体表面に酸化(必要に応じて、窒化処理)を行うことが特徴である。このため、プラズマダメージが小さく、且つ低温で反応性の高いプロセスが可能である。
このような多数のスリットを有する平面アンテナを備え、且つ電子温度が低く、プラズマダメージが小さく、また、密度の高いプラズマを発生させる能力を有するマイクロ波プラズマ装置の詳細に関しては、例えば文献(Ultra Clean technology Vol.10 Supplement 1,p.32,1998,Published by Ultra Clean Society)を参照することができる。
このような新しいプラズマ装置を用いると、電子温度は1.5eV程度以下、プラズマシース電圧も数V以下のプラズマが容易に得られるため、従来のプラズマ(プラズマシース電圧が50V程度)に対して、プラズマダメージを大幅に低減できる。この平面アンテナを備える新しいプラズマ装置は、室温〜700℃程度の温度でも高密度のラジカルを供給できる能力を有しているため、加熱によるデバイス特性の劣化を抑制でき、且つ低温でも高い反応性を有するプロセスが可能となると考えられる。
これに対して、従来においては、プラズマを用いた際であっても、極めて薄い膜厚を有し、しかも良質な酸化膜や酸窒化膜(例えば、次世代用のMOS型半導体構造として要求される水準の諸特性を備えた酸化膜や酸窒化膜)は未だ得られていなかった。例えば、次世代用のMOS型半導体構造として求められるのは、膜厚が2.5nm以下の酸化膜や酸窒化膜を備えるMOS型半導体構造である。この際には、デバイス特性の点からは、ゲート電極としてポリシリコンやアモルファスシリコン、SiGe等を用いたMOS型半導体構造が好ましいことが考えられる。しかしながら、極めて薄く、且つ良質な酸化膜や酸窒化膜を備える半導体構造を製造する方法は、従来においては見出されていなかった。
(好適なプラズマ)
本発明において好適に使用可能なプラズマの特性は、以下の通りである。
電子温度:<2eV
密度:1011〜1013
プラズマ密度の均一性:±3%以内
上記したように本発明の方法によれば、膜厚が薄く、しかも良質な酸化膜および/又は酸窒化膜を形成することができる。したがって、この酸化膜および/又は酸窒化膜上に他の層(例えば、電極層)を形成することにより、特性に優れた半導体装置の構造を形成することが容易となる。本発明のプロセスによれば、特に、極めて薄い膜厚(例えば膜厚2.5nm以下)の酸化膜および/又は酸窒化膜を形成することが可能であるために、例えば、この酸化膜および/又は酸窒化膜上にゲート電極としてポリシリコンまたはアモルファスシリコンまたはSiGeを用いることにより、高性能なMOS型半導体構造を形成することが出来る。
(酸化膜の好適な特性)
本発明によれば、下記のように好適な特性を有する酸化膜を容易に製造することができる。
物理膜厚:0.8mm〜任意
リーク特性:Dry Oxと比較して、同等〜1桁低減
膜均一性:±6%以内
(酸窒化膜の好適な特性)
本発明によれば、下記のように好適な特性を有する酸窒化膜を容易に製造することが出来る。表面窒素濃度 〜20% (図10を参照)図10にSPA窒化を施した酸化膜のSIMS分析結果を示す。15Aの下地酸化膜状に窒化処理を8秒、25秒施した。図に示されるように表面に高濃度の窒素が含有されており、界面の窒素混入によるデバイス特性の劣化を避けて窒化を行うことが可能となっている。
(MOS半導体構造の好適な特性)
本発明の方法が適用可能な範囲は特に制限されないが、本発明により形成可能な極めて薄く、しかも良質な酸化膜および/又は酸窒化膜は、半導体装置の絶縁膜(特にMOS半導体構造のゲート絶縁膜)として特に好適に利用することができる。
本発明によれば、下記のように好適な特性を有するMOS半導体構造を容易に製造することができる。なお、本発明により形成した酸化膜および/又は酸窒化膜の特性を評価する際には、例えば、文献(応用物理第69巻第9号(2000年)PP1049〜1059)に記載されたような標準的なMOS半導体構造を形成して、そのMOSの特性を評価することにより、上記酸化膜および/又は酸窒化膜の自体の特性評価に代えることができる。このような標準的なMOS構造においては、該構造を構成する酸化膜および/又は酸窒化膜の特性が、MOS特性に強い影響を与えるからである。
電気的膜厚(換算膜厚)1.0〜2.5nm
リーク特性 Dry Oxと比較して半桁〜1桁低減
膜厚均一性 ±2%以内
(製造装置の一態様)
以下、本発明の製造方法の好適な一態様について説明する。まず本発明の電子デバイス材料の製造方法によって製造可能な半導体装置の構造の一例について、絶縁膜としてゲート絶縁膜を備えたMOS構造を有する半導体装置を図1を参照しつつ説明する。
図1(a)を参照して、この図1(a)において参照番号1はシリコン基板、11はフィールド酸化膜、2はゲート絶縁膜であり、13はゲート電極である。上述したように、本発明の製造方法によれば極めて薄く且つ良質なゲート絶縁膜2を形成することができる。このゲート絶縁膜2は、図1(b)に示すように、シリコン基板1との界面に形成された、品質の高い絶縁膜からなる。例えば2.5nm程度の厚さの酸化膜2により構成されている。
この例では、この品質の高い酸化膜2は、O2および希ガスを含む処理ガスの存在下で、Siを主成分とする被処理基体に、複数のスリットを有する平面アンテナ部材を介してマイクロ波を照射することによりプラズマを形成し、このプラズマを用いて前記被処理基体表面に形成されたシリコン酸化膜(以下「SiO2膜」という)からなることが好ましい。このようなSiO2膜を用いた際には、後述するように、相間の界面特性(例えば、界面準位)が良好で、且つMOS構造とした際に良好なゲートリーク特性を得ることが容易という特徴がある。
このシリコン酸化膜2の表面には、必要に応じて、窒化処理を施してもよい。このシリコン酸化膜2の窒化処理された表面の上には、更にシリコン(ポリシリコンまたはアモルファスシリコン)を主成分とするゲート電極13が形成されている。
(製造方法の一態様)
次に、このようなシリコン酸化膜2、窒化処理表面2a、更にその上にゲート電極13が配設された電子デバイス材料の製造方法について説明する。
図2は本発明の電子デバイス材料の製造方法を実施するための半導体製造装置30の全体構成の一例を示す概略図(模式平面図)である。
図2に示すように、この半導体製造装置30のほぼ中央には、ウエハW(図3)を搬送するための搬送室31が配設されており、この搬送室31の周囲を取り囲むように、ウエハに種々の処理を行うためのプラズマ処理ユニット32、33、各処理室間の連通/遮断の操作を行うための二機のロードロックユニット34および35、種々の加熱操作を行うための加熱ユニット36、およびウエハに種々の加熱処理を行うための加熱反応炉47が配設されている。なお、加熱反応炉47は、上記半導体製造装置30とは別個に独立して設けてもよい。
ロードロックユニット34、35の横には、種々の予備冷却ないし冷却操作を行うための予備冷却ユニット45、冷却ユニット46がそれぞれ配設されている。
搬送室31の内部には、搬送アーム37および38が配設されており、前記各ユニット32〜36との間でウエハW(図3)を搬送することができる。
ロードロックユニット34および35の図中手前側には、ローダーアーム41および42が配設されている。これらのローダーアーム41および42は、更にその手前側に配設されたカセットステージ43上にセットされた4台のカセット44との間でウエハWを出し入れすることができる。
なお、図2中のプラズマ処理ユニット32、33としては、同型のプラズマ処理ユニットが二基並列してセットされている。更に、これらプラズマ処理ユニット32およびユニット33は、ともにシングルチャンバ型CVD処理ユニットと交換することが可能であり、プラズマ処理ユニット32や33の位置に一基または二基のシングルチャンバ型CVD処理ユニットをセットすることも可能である。
プラズマ処理が二基の場合、例えば、処理ユニット32でSiO2膜を形成した後、処理ユニット33でSiO2膜を表面窒化する方法を行っても良く、また処理ユニット32および33で並列にSiO2膜形成とSiO2膜の表面窒化を行っても良い。或いは別の装置でSiO2膜形成を行った後、処理ユニット32および33で並列に表面窒化を行うこともできる。
(ゲート絶緑膜成膜の一態様)
図3はゲート絶緑膜2の成膜に使用可能なプラズマ処理ユニット32(33)の垂直方向の模式断面図である。
図3を参照して、参照番号50は、例えばアルミニウムにより形成された真空容器である。この真空容器50の上面には、基板(例えばウエハW)よりも大きい開口部51が形成されており、この開口部51を塞ぐように、例えば石英や窒化アルミ等の誘電体により構成された偏平な円筒形状の天板54が設けられている。この天板54の下面である真空容器50の上部側の側壁には、例えばその周方向に沿って均等に配置した16箇所の位置にガス供給管72が設けられており、このガス供給管72からO2や希ガス、N2およびH2等から選ばれた1種以上を含む処理ガスが、真空容器50のプラズマ領域P近傍にムラなく均等に供給されるようになっている。
天板54の外側には、複数のスリットを有する平面アンテナ部材、例えば銅板により形成されたスリットプレインアンテナ(Slit Plane Antenna;SPA)60を介して、高周波電源部をなし、例えば2.45GHzのマイクロ波を発生するマイクロ波電源部61に接続された導波路63が設けられている。この導波路63は、SPA60に下縁が接続された偏平な円形導波管63Aと、この円形導波管63Aの上面に一端側が接続された円筒形導波管63Bと、この円筒形導波管63Bの上面に接統された同軸導波変換器63Cと、この同軸導波変換器63Cの側面に直角に一端側が接続され、他端側がマイクロ波電源部61に接続された矩形導波管63Dとを組み合わせて構成されている。
ここで、本発明においては、UHFとマイクロ波とを含めて高周波領域と呼ぶものとする。すなわち、高周波電源部より供給される高周波電力は300MHz以上のUHFや1GHz以上のマイクロ波を含む、300MHz以上2500MHz以下のものとし、これらの高周波電力により発生されるプラズマを高周波プラズマと呼ぶものとする。
前記円筒形導波管63Bの内部には、導電性材料からなる軸部62の、一端側がSPA60の上面のほぼ中央に接続し、他端側が円筒形導波管63Bの上面に接続するように同軸状に設けられており、これにより当該導波管63Bは同軸導波管として構成されている。
また真空容器50内には、天板54と対向するようにウエハWの載置台52が設けられている。この載置台52には図示しない温調部が内蔵されており、これにより当該載置台52は熱板として機能するようになっている。更に真空容器50の底部には排気管53の一端側が接続されており、この排気管53の他端側は真空ポンプ55に接続されている。
(SPAの一態様)
図4は本発明の電子デバイス材料の製造装置に使用可能なSPA60の一例を示す模式平面図である。
この図4に示したように、このSPA60では、表面に複数のスロット60a、60a、…が同心円状に形成されている。各スロット60aは略方形の貫通した溝であり、隣接するスロットどうしは互いに直交して略アルファベットの「T」の文字を形成するように配設されている。スロット60aの長さや配列間隔は、マイクロ波電源部61より発生したマイクロ波の波長に応じて決定されている。
(加熱反応炉の一態様)
図5は本発明の電子デバイス材料の製造装置に使用可能な加熱反応炉47の一例を示す垂直方向の模式断面図である。
図5に示すように、加熱反応炉47の処理室82は、例えばアルミニウム等により気密可能な構造に形成されている。この図5では省略さえているが、処理室82内には加熱機構や冷却機構を備えている。
図5に示したように、処理室82には上部中央にガスを導入するガス導入管83が接続され、処理室82内とガス導入管83内とが連通されている。また、ガス導入管83はガス供給源84に接続されている。そして、ガス供給源84からガス導入管83にガスが供給され、ガス導入管83を介して処理室82内にガスが導入されている。このガスとしては、ゲート電極形成の原料となる、例えばシラン等の各種のガス(電極形成ガス)を用いることができ、必要に応じて、不活性ガスをキャリアガスとして用いることもできる。
処理室82の下部には、処理室82内のガスを排気するガス排気管85が接続され、ガス排気管85は真空ポンプ等からなる排気手段(図示せず)に接続されている。この排気手段により、処理室82内のガスがガス排気管85から排気され、処理室82内が所望の圧力に設定されている。
また、処理室82の下部には、ウエハWを載置する載置台87が配置されている。
この図5に示した態様においては、ウエハWと略同径大の図示しない静電チャックによりウエハWが載置台87上に載置されている。この載置台87には、図示しない熱源手段が内設されており、載置台87上に載置されたウエハWの処理面を所望の温度に調整できる構造に形成されている。
この載置台87は、必要に応じて、載置したウエハWを回転できるような機構になっている。図5中、載置台87の右側の処理室82壁面にはウエハWを出し入れするための開口部82aが設けられており、この開口部82aの開閉はゲートバルブ98を図中上下方向に移動することにより行われる。図5中、ゲートバルブ98の更に右側にはウエハWを搬送する搬送アーム(図示せず)が隣設されており、搬送アームが開口部82aを介して処理室82内に出入りして載置台87上にウエハWを載置したり、処理後のウエハWを処理室82から搬出するようになっている。
載置台87の上方には、シャワー部材としてのシャワーヘッド88が配設されている。このシャワーヘッド88は載置台87とガス導入管83との間の空間を区画するように形成されており、例えばアルミニウム等から形成されている。
シャワーヘッド88は、その上部中央にガス導入管83のガス出口83aが位置するように形成され、シャワーヘッド88下部に設置されたガス供給孔89を通し、処理室82内にガスが導入されている。
(絶縁膜形成の態様)
次に、上述した装置を用いて、ウエハW上にゲート絶縁膜2からなる絶縁膜を形成する方法の好適な一例について説明する。
図6は本発明の方法における各工程の流れの一例を示すフローチャートである。
図6を参照して、まず、前段の工程でウエハW表面にフィールド酸化膜11(図図1(a))を形成する。次いでプラズマ処理ユニット32(図2)内の真空容器50の側壁に設けたゲートバルブ(図示せず)を開いて、搬送アーム37、38により、前記シリコン基板1表面にフィールド酸化膜11が形成されたウエハWを載置台52(図3)上に載置する。
続いてゲートバルブを閉じて内部を密閉した後、真空ポンプ55により排気管53を介して内部雰囲気を排気して所定の真空度まで真空引きし、所定の圧力に維持する。一方マイクロ波電源部61より例えば1.80GHz(2200W)のマイクロ波を発生させ、このマイクロ波を導波路により案内してSPA60および天板54を介して真空容器50内に導入し、これにより真空容器50内の上部側のプラズマ領域Pにて高周波プラズマを発生させる。
ここでマイクロ波は矩形導波管63D内を矩形モードで伝送し、同軸導波変換器63Cにて矩形モードから円形モードに変換され、円形モードで円筒形同軸導波管63Bを伝送し、更に円形導波管63Aにて拡げられた状態で伝送していき、SPA60のスロット60aより放射され、天板54を透過して真空容器50に導入される。この際マイクロ波を用いているため高密度のプラズマが発生し、またマイクロ波をSPA60の多数のスロット60aから放射しているため、このプラズマが高密度なものとなる。
次いで、載置台52の温度を調節してウエハWを例えば400℃に加熱しながら、ガス供給管72より酸化膜形成用の処理ガスであるクリプトンやアルゴン等の希ガスと、O2ガスとを、それぞれ1000sccm、20sccmの流量で導入して第1の工程(酸化膜の形成)を実施する。
この工程では、導入された処理ガスはプラズマ処理ユニット32内にて発生したプラズマ流により活性化(プラズマ化)され、このプラズマにより図7(a)の模式断面図に示すように、シリコン基板1の表面が酸化されて酸化膜(SiO2膜)2が形成される。こうしてこの酸化処理を例えば40秒間行い、2.5nmの厚さのゲート酸化膜またはゲート酸窒化膜用下地酸化膜(下地SiO2膜)2を形成することができる。
次に、ゲートバルブ(図示せず)を開き、真空容器50内に搬送アーム37、38(図2)を進入させ、載置台52上のウエハWを受け取る。この搬送アーム37、38はウエハWをプラズマ処理ユニット32から取り出した後、隣接するプラズマ処理ユニット33内の載置台にセットする(ステップ2)。また、用途により、ゲート酸化膜を窒化せずに熱反応炉47に移動する場合もある。
(窒化含有層形成の態様)
次いで、このプラズマ処理ユニット33内でウエハW上に表面窒化処理が施され、先に形成された下地酸化膜(下地SiO2)2の表面上に窒化含有層2a(図7(b))が形成される。
この表面窒化処理の際には、例えば、真空容器50内にて、ウエハ温度が例えば400℃、プロセス圧力が例えば66.7Pa(500mTorr)の状態で、容器50内にガス導入管よりアルゴンガスと、N2ガスとを、それぞれ1000sccm、20sccmの流量で導入する。
その一方で、マイクロ波電源部61より例えば2W/cm2のマイクロ波を発生させ、このマイクロ波を導波路により案内してSPA60bおよび天板54を介して真空容器50内に導入し、これにより真空容器50内の上部側のプラズマ領域Pにて高周波プラズマを発生させる。
この工程(表面窒化)では、導入されたガスはプラズマ化し、窒素ラジカルが形成される。この窒素ラジカルがウエハW上面上のSiO2膜上で反応し、比較的短時間でSiO2膜表面を窒化する。このようにして図7(b)に示すように、ウエハW上の下地酸化膜(下地SiO2膜)2の表面に窒素含有層2aが形成される。
この窒化処理を例えば20秒行うことで、換算膜厚2nm程度の厚さのゲート酸窒化膜(SiON膜)を形成することができる。
(ゲート電極形成の態様)
次に、ウエハW上のSiO2膜上または下地SiO2膜を窒化処理したSiON膜上にゲート電極13(図1(a))を形成する。このゲート電極13を形成するためには、ゲート酸化膜またはゲート酸窒化膜が形成されたウエハWをそれぞれプラズマ処理ユニット32または33内から取り出し、搬送室31(図2)側に一旦取り出し、しかる後に加熱反応炉47内に収容する(ステップ4)。加熱反応炉47内では所定の処理条件下でウエハWを加熱し、ゲート酸化膜またはゲート酸窒化膜上に所定のゲート電極13を形成する。
このとき、形成するゲート電極13の種類に応じて処理条件を選択することができる。
即ち、ポリシリコンからなるゲート電極13を形成する場合には、処理ガス(電極形成ガス)として、SiH4を使用し、20.0〜33.3Pa(150〜250mTorr)の圧力、570〜630℃の温度条件下で処理する。
また、アモルファスシリコンからなるゲート電極13を形成する場合には、処理ガス(電極形成ガス)として、SiH4を使用し、20.0〜66.7Pa(150〜500mTorr)の圧力、520〜570℃の温度条件下で処理する。
更に、SiGeからなるゲート電極13を形成する場合には、GeH4/SiH4=10/90〜60/40%の混合ガスを使用し、20〜60Paの圧力、460〜560℃の温度条件下で処理する。
(酸化膜の品質)
上述した第1の工程では、ゲート酸化膜またはゲート酸窒化膜用下地酸化膜を形成するに際し、処理ガスの存在下で、Siを主成分とするウエハWに、複数のスリットを有する平面アンテナ部材(SPA)を介してマイクロ波を照射することにより酸素(O2)および希ガスとを含むプラズマを形成し、このプラズマを用いて前記被処理基体表面に酸化膜を形成しているため、品質が高く、且つ膜質制御を首尾よく行うことができる。
第1の工程における酸化膜の品質は図8のグラフに示すように高いものである。
この図8は、上述した態様に関わる電子デバイス材料の製造方法によりシリコンウェハW上に形成されたMOS型半導体構造のリーク特性をあらわしたものである。このグラフの縦軸にはリーク電流の値を取り、横軸には電気的膜厚(換算膜厚)をとった。
図8中、実線で示したグラフ(1)は参照の為に従来の熱酸化法(Dry熱酸化法)により形成された熱酸化膜(DryOx)のリーク特性を示し、グラフ(2)はO2と希ガスとしてアルゴンの存在下にSPAを用いてプラズマ処理して得られた酸化膜(SPAOx)のリーク特性を表す。
図8のグラフから明らかなように従来の熱酸化法により形成される熱酸化膜のリーク特性(1)に比較して、本発明の電子デバイス材料の製造方法により形成される酸化膜(2)のリーク値は低い。したがって、本発明により形成される酸化膜を用いることにより、低消費電力が実現され、良好なデバイス特性を得ることが出来る。
(高品質酸化膜の推定メカニズム)
上述したように、本発明の電子デバイス材料の製造方法により、熱酸化膜より高品質の、低い界面準位を備えた酸化膜(例えばゲート酸化膜)を得ることができた。このように、上述の方法により形成された酸化膜の品質が高くなる理由は、本発明者の知見によれば、以下のように推定される。
即ち、SPAを用いて処理ガスにマイクロ波を照射することにより形成されるプラズマは、電子温度が比較的低いプラズマとして形成される。そのため、プラズマと被処理基体表面とのバイアスは比較的低い値に抑制され、プラズマダメージが小さい。そのため、図8に示したように、良好な界面特性を有するSiO2膜が形成されるものと考えられる。
(高品質酸窒化膜の推定メカニズム)
また、上記第2の工程で表面窒化処理して得られる酸窒化膜は優れた品質を備えている。その理由は、本発明者の知見によれば、以下のように推定される。
上記SPAによって酸化膜表面に生成される窒素ラジカルは高密度であるため、酸化膜表面にパーセント単位で窒素を混入することが可能である。また、熱による窒素ラジカル生成に比べ、低温(室温程度)でも高密度な窒素ラジカルを生成でき、ドーパントの拡散等に代表される熱によるデバイス特性の劣化を抑制することが可能である。更に、膜中の窒素は酸化膜表面に含有されるため、界面特性を劣化させること無く、誘電率を向上させ、また、ホウ素の突き抜け防止効果等の性能を発揮することが可能である。
(好適なMOS特性の推定メカニズム)
更に、上記第3の工程において特定条件下で加熱処理して得られるゲート電極を形成することにより、MOS型半導体構造は優れた特性を備えている。その理由は、本発明者の知見によれば、以下のように推定される。
本発明においては、上述したように極めて薄く、且つ良質なゲート絶縁膜を形成することができる。このような良質なゲート絶縁膜(ゲート酸化膜および/又はゲート酸窒化膜)と、その上に形成したゲート電極(例えば、CVDによるポリシリコン、アモルファスシリコン、SiGe)との組合せに基づき、良好なトランジスタ特性(例えば、良好なリーク特性)を実現することが可能となる。
更には、図2に示すようなクラスター化を行うことで、ゲート酸化膜およびゲート酸窒化膜形成と、ゲート電極形成との間における大気への暴露を避けることが可能となり、歩留りやデバイス特性の更なる向上が可能となる。
以下、実施例により本発明を更に具体的に説明する。
本発明の電子デバイス材料の製造方法により、素子分離形成を行ったn型シリコン基板上に図2に示したような装置を用いてSPAプラズマを用いて図2中32の処理ユニットで1.8nmの下地SiO2膜を形成した。合計の膜厚は1.8nm(酸化膜換算膜厚)である。下地SiO2膜の形成条件については、O2/Ar2=200sccm/2000sccmで圧力は2000mTorr、マイクロ波パワーは3W/cm2で、温度は400°Cであった。下地SiO2の窒化条件についてはN2/Ar流量=40sccm/1000sccmで圧力は7Pa(50mTorr)、マイクロ波は2W/cm2で温度は400℃であった。窒化時間を10秒、20秒、40秒と変化させた。スループットは1チャンバーあたり25枚/時間を達成し、工業的に充分適用できるレベルであることを確認できた。
ゲート絶縁膜形成に引き続いて、P型ポリシリコンゲート電極を形成して換算膜厚をCV特性から求めた。換算膜厚は1.4nm程度まで減少し、膜厚の均一性も3シグマで4%と良好な結果が得られた。更に、ゲートリーク電流特性を測定した。図9の縦軸にリーク電流特性、横軸に電気的膜厚(換算膜厚)をとった。直線で示されたグラフ(1)は標準の熱酸化膜のリーク特性を示し、ポイントで示されたグラフ(2)はSPA酸化後、窒化を施した膜のリーク特性を示す。グラフ(2)に示すように、窒化時間の増加に伴い換算膜厚の低減が観測された。また、40秒窒化の条件では、標準の熱酸化膜と比較してリーク電流は最大で1桁程度減少した。
以上示したように、本発明の電子デバイス材料の製造方法により良好な電気特性を備えた高性能MOS型半導体構造を工業的に充分適用できるスループットで形成することができた。
図1は、本発明の電子デバイス材料の製造方法により製造可能な半導体装置の一例を示す模式的な垂直断面図である。 図2は、本発明の電子デバイス材料の製造方法を実施するための半導体製造装置の一例を示す模式平面図である。 図3は、本発明の電子デバイス材料の製造方法に使用可能なスリットプレインアンテナ(Slit Plane Antenna;以下、「SPA」と略記する)プラズマ処理ユニットの一例を示す模式的な垂直断面図である。 図4は、本発明の電子デバイス材料の製造装置に使用可能なSPAの一例を示す模式的な平面図である。 図5は、本発明の電子デバイス材料の製造方法に使用可能な加熱反応炉ユニットの一例を示す模式的な垂直断面図である。 図6は、本発明の製造方法における各工程の一例を示すフローチャートである。 図7は、本発明の方法による膜形成の一例を示す模式断面図である。 図8は、本発明の方法により得られたMOS半導体構造のリーク特性を示すグラフである。 図9は、本発明の方法により得られたゲートリーク電流特性を示すグラフである。 図10は、本発明の方法により得られた酸窒化膜のSIMS分析結果を示すグラフである。
符号の説明
W ウエハ(被処理基体)
60 SPA(平面アンテナ部材)
2 酸化膜
2a 窒素含有層
32 プラズマ処理ユニット(プロセスチャンバ)
33 プラズマ処理ユニット(プロセスチャンバ)
47 加熱反応炉

Claims (12)

  1. 処理容器内の基板表面にプラズマを晒して、該基板表面に絶縁膜を形成する方法であって;
    前記処理容器内に前記基板を搬入する工程と、
    前記処理容器内に、少なくともO2ガスと希ガスを含む第1の処理ガスを導入する工程と、
    前記処理容器内にアンテナを介して、少なくともO2ガスと希ガスを含む前記第1の処理ガスのプラズマを生成する工程と、
    少なくともO2ガスと希ガスを含む前記第1の処理ガスの前記プラズマを、前記基板表面に晒すことにより、該基板表面に2.5nm以下の厚さの酸化膜を形成する工程を含むことを特徴とする方法。
  2. 処理容器内の基板表面にプラズマを晒し、該基板表面に絶縁膜を形成する方法であって;
    前記処理容器内に、少なくともO2ガスと希ガスを含む第1の処理ガスを導入し、前記処理容器内にアンテナを介して、少なくともO2ガスと希ガスを含む前記第1の処理ガスのプラズマを生成し、前記基板表面に少なくともO2ガスと希ガスを含む前記第1の処理ガスの前記プラズマを晒すことにより、該基板表面に2.5nm以下の厚さの酸化膜を形成する工程と、
    前記処理容器内に、少なくともN2ガスと希ガスを含む第2の処理ガスを導入し、前記処理容器内にアンテナを介して、少なくともN2ガスと希ガスを含む前記第2の処理ガスのプラズマを生成し、前記酸化膜表面に少なくともN2ガスと希ガスを含む前記第2の処理ガスの前記プラズマを晒すことにより、前記酸化膜表面を窒化して酸窒化膜を形成する工程を含むことを特徴とする方法。
  3. 前記プラズマの電子温度は、2eV未満である請求項1または2に記載の方法。
  4. 前記酸化膜が、ゲート酸化膜(SiO2膜)またはゲート酸窒化膜用下地酸化膜(下地SiO2膜)である請求項1〜のいずれかに記載の方法。
  5. 前記希ガスが、クリプトン、アルゴンまたはヘリウムから選ばれた1種以上のガスである請求項1〜のいずれかに記載の方法。
  6. 前記第1の処理ガスが、流量5〜500sccmのO2、および流量500〜3000sccmのクリプトン、アルゴンまたはヘリウムを含むガスである請求項1または2に記載の方法。
  7. 前記酸化膜の形成が、室温〜700℃の温度下で行なわれる請求項1〜のいずれかに記載の方法。
  8. 前記酸化膜の形成が、20〜5000mTorrの圧力下で行なわれる請求項1〜のいずれかに記載の方法。
  9. 2および希ガスを少なくとも含む第1の処理ガスの存在下で、アンテナを介して該第1の処理ガスの第1のプラズマを生成する工程と、
    前記第1のプラズマを被処理体の表面に晒し、該被処理体表面に、2.5nm以下の厚さの酸化膜(SiO2膜)を形成する工程と、
    2と希ガスとを少なくとも含む第2の処理ガスの存在下で、アンテナを介して該第2の処理ガスの第2のプラズマを生成する工程と、
    前記第2のプラズマを前記酸化膜の表面に晒し、該酸化膜表面を窒化して、酸窒化膜(SiON膜)を形成する工程と、
    前記酸窒化膜上に、電極を形成する工程とを含むことを特徴とする半導体デバイスの製造方法。
  10. 前記電極層が、ポリシリコンまたはアモルファスシリコンまたはSiGeからなる電極層である請求項に記載の方法。
  11. 前記電極層がゲート電極である請求項9または10に記載の方法。
  12. 処理容器内の基板表面にプラズマを晒して、該基板表面に絶縁膜を形成する方法であって;
    前記処理容器内に前記基板を搬入する工程と、
    前記処理容器内に、少なくともO2ガスと希ガスを含む第1の処理ガスを導入する工程と、
    前記処理容器内にアンテナを介して、少なくともO2ガスと希ガスを含む前記第1の処理ガスのプラズマを生成する工程と、
    少なくともO2ガスと希ガスを含む前記第1の処理ガスの前記プラズマを、前記基板表面に晒すことにより、該基板表面に酸化膜を形成する工程を含み、
    前記第1の処理ガスが、流量5〜500sccmのO2、および流量500〜3000sccmのクリプトン、アルゴンまたはヘリウムを含むガスであり、
    前記酸化膜の形成が、室温〜700℃の温度下で行なわれ、更に、
    前記酸化膜の形成が、20〜5000mTorrの圧力下で行なわれ
    前記基板表面に酸化膜を形成する工程は、該基板上に2.5nm以下の厚さの酸化膜を形成することを特徴とする方法。
JP2006231186A 2001-01-22 2006-08-28 電子デバイス材料の製造方法 Expired - Lifetime JP4401375B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006231186A JP4401375B2 (ja) 2001-01-22 2006-08-28 電子デバイス材料の製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001012917 2001-01-22
JP2006231186A JP4401375B2 (ja) 2001-01-22 2006-08-28 電子デバイス材料の製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002558321A Division JP3916565B2 (ja) 2001-01-22 2002-01-22 電子デバイス材料の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009205014A Division JP4926219B2 (ja) 2001-01-22 2009-09-04 電子デバイス材料の製造方法

Publications (2)

Publication Number Publication Date
JP2007013200A JP2007013200A (ja) 2007-01-18
JP4401375B2 true JP4401375B2 (ja) 2010-01-20

Family

ID=18879853

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2002558321A Expired - Fee Related JP3916565B2 (ja) 2001-01-22 2002-01-22 電子デバイス材料の製造方法
JP2006231186A Expired - Lifetime JP4401375B2 (ja) 2001-01-22 2006-08-28 電子デバイス材料の製造方法
JP2009205014A Expired - Lifetime JP4926219B2 (ja) 2001-01-22 2009-09-04 電子デバイス材料の製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002558321A Expired - Fee Related JP3916565B2 (ja) 2001-01-22 2002-01-22 電子デバイス材料の製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009205014A Expired - Lifetime JP4926219B2 (ja) 2001-01-22 2009-09-04 電子デバイス材料の製造方法

Country Status (6)

Country Link
US (3) US20040142577A1 (ja)
EP (1) EP1361605A4 (ja)
JP (3) JP3916565B2 (ja)
KR (4) KR20070116696A (ja)
CN (2) CN101399198A (ja)
WO (1) WO2002058130A1 (ja)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101399198A (zh) * 2001-01-22 2009-04-01 东京毅力科创株式会社 电子器件材料的制造方法
KR100639147B1 (ko) 2001-01-25 2006-10-31 동경 엘렉트론 주식회사 플라즈마 처리 방법
TWI225668B (en) * 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
JP4256340B2 (ja) * 2002-05-16 2009-04-22 東京エレクトロン株式会社 基板処理方法
WO2003107399A2 (en) * 2002-06-12 2003-12-24 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
KR100871465B1 (ko) * 2003-02-13 2008-12-03 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조방법, 실리콘 산화막 형성방법 및 반도체 제조장치
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
US7291568B2 (en) * 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
EP1670049A4 (en) * 2003-09-17 2008-06-04 Tokyo Electron Ltd PREPARATION OF A LOW DIELECTRICITY CONSTANT INSULATION FILM
JP4555143B2 (ja) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 基板の処理方法
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100887270B1 (ko) 2004-10-28 2009-03-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP4718189B2 (ja) 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US7906440B2 (en) 2005-02-01 2011-03-15 Tokyo Electron Limited Semiconductor device manufacturing method and plasma oxidation method
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
JP2006310736A (ja) * 2005-03-30 2006-11-09 Tokyo Electron Ltd ゲート絶縁膜の製造方法および半導体装置の製造方法
US7501352B2 (en) 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
JP4979575B2 (ja) * 2005-03-31 2012-07-18 東京エレクトロン株式会社 基板の窒化処理方法および絶縁膜の形成方法
WO2006112388A1 (ja) * 2005-04-15 2006-10-26 Tokyo Electron Limited 半導体装置の製造方法、半導体装置、プラズマ窒化処理方法、制御プログラム及びコンピュータ記憶媒体
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
EP1898456A4 (en) * 2005-06-08 2009-11-18 Univ Tohoku PLASMA NITRURATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PLASMA PROCESSING APPARATUS
JP2007073395A (ja) * 2005-09-08 2007-03-22 Tokyo Electron Ltd マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置及び記憶媒体
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7517812B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
KR100649874B1 (ko) * 2005-12-29 2006-11-27 동부일렉트로닉스 주식회사 에스오아이 웨이퍼를 이용한 트랜지스터 제조 방법
KR100745370B1 (ko) * 2006-01-20 2007-08-02 삼성전자주식회사 반도체 디바이스의 절연막 제조방법
WO2007099922A1 (ja) * 2006-02-28 2007-09-07 Tokyo Electron Limited プラズマ酸化処理方法および半導体装置の製造方法
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP5231232B2 (ja) * 2006-09-29 2013-07-10 東京エレクトロン株式会社 プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
KR101140694B1 (ko) * 2006-09-29 2012-05-03 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
WO2008041601A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil d'oxydation par plasma et support de stockage
JP4864661B2 (ja) * 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
KR100850138B1 (ko) * 2006-12-26 2008-08-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 절연막 및 그 형성방법
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
KR101249611B1 (ko) * 2008-01-24 2013-04-01 도쿄엘렉트론가부시키가이샤 실리콘 산화막의 형성 방법, 기억 매체, 및 플라즈마 처리 장치
JP4593652B2 (ja) * 2008-06-06 2010-12-08 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
KR101111962B1 (ko) * 2008-10-24 2012-06-12 한국기초과학지원연구원 질소원자빔을 이용한 질화막 형성장치 및 방법
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5860392B2 (ja) * 2010-03-31 2016-02-16 東京エレクトロン株式会社 プラズマ窒化処理方法及びプラズマ窒化処理装置
US8753456B2 (en) * 2010-06-25 2014-06-17 Apple Inc. Selective nitriding on a 3D surface
JP2011204687A (ja) * 2011-05-20 2011-10-13 Tokyo Electron Ltd マグネトロンの寿命判定方法、マグネトロンの寿命判定装置及び処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011107072B8 (de) * 2011-07-12 2013-01-17 Centrotherm Thermal Solutions Gmbh & Co. Kg Verfahren zum ausbilden einer oxidschicht auf einem substrat bei tiefen temperaturen
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102293862B1 (ko) 2014-09-15 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217443B2 (en) 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114684797B (zh) * 2022-03-08 2023-10-13 中国科学院过程工程研究所 一种制备纯相多壳层Si2N2O空心球形粉体的***和方法
WO2024043908A1 (en) * 2022-08-25 2024-02-29 L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedesgeorges Claude A method for converting an existing industrial unit to produce hydrogen from ammonia

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US123456A (en) 1872-02-06 Improvement in blackboards
US5254503A (en) * 1992-06-02 1993-10-19 International Business Machines Corporation Process of making and using micro mask
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
DE69807006T2 (de) * 1997-05-22 2003-01-02 Canon Kk Plasmabehandlungsvorrichtung mit einem mit ringförmigem Wellenleiter versehenen Mikrowellenauftragsgerät und Behandlungsverfahren
US6255731B1 (en) * 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
JP2000130527A (ja) * 1998-10-30 2000-05-12 Nissan Motor Co Ltd Vベルト式無段変速機用プーリー及び無段変速機
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6214681B1 (en) * 2000-01-26 2001-04-10 Advanced Micro Devices, Inc. Process for forming polysilicon/germanium thin films without germanium outgassing
CN101399198A (zh) * 2001-01-22 2009-04-01 东京毅力科创株式会社 电子器件材料的制造方法

Also Published As

Publication number Publication date
KR100746120B1 (ko) 2007-08-13
US20050233599A1 (en) 2005-10-20
JP2010050462A (ja) 2010-03-04
CN100477113C (zh) 2009-04-08
KR100994387B1 (ko) 2010-11-16
JPWO2002058130A1 (ja) 2004-05-27
US20070224837A1 (en) 2007-09-27
EP1361605A4 (en) 2006-02-15
JP2007013200A (ja) 2007-01-18
JP4926219B2 (ja) 2012-05-09
KR20090053965A (ko) 2009-05-28
KR20060061404A (ko) 2006-06-07
US20040142577A1 (en) 2004-07-22
CN1860596A (zh) 2006-11-08
CN101399198A (zh) 2009-04-01
EP1361605A1 (en) 2003-11-12
KR100837707B1 (ko) 2008-06-13
KR20030070126A (ko) 2003-08-27
KR20070116696A (ko) 2007-12-10
WO2002058130A1 (fr) 2002-07-25
JP3916565B2 (ja) 2007-05-16

Similar Documents

Publication Publication Date Title
JP4401375B2 (ja) 電子デバイス材料の製造方法
JP4001498B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成システム
KR100856531B1 (ko) 반도체 제조방법 및 반도체 제조장치
JP4255563B2 (ja) 半導体製造方法及び半導体製造装置
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4429300B2 (ja) 電子デバイス材料の製造方法
KR101122347B1 (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
US20090239364A1 (en) Method for forming insulating film and method for manufacturing semiconductor device
JP2003068731A (ja) 絶縁膜の形成方法および形成システム
TW200836262A (en) Method for forming insulating film and method for manufacturing semiconductor device
JP2008147678A (ja) 電子デバイス用材料およびその製造方法
KR20090094033A (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
JP4083000B2 (ja) 絶縁膜の形成方法
JP4361078B2 (ja) 絶縁膜の形成方法
JP4850861B2 (ja) 絶縁膜形成方法、絶縁膜形成装置及びプラズマ処理ユニット

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090904

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090929

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091027

R150 Certificate of patent or registration of utility model

Ref document number: 4401375

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151106

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term