JP4226699B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4226699B2
JP4226699B2 JP25833198A JP25833198A JP4226699B2 JP 4226699 B2 JP4226699 B2 JP 4226699B2 JP 25833198 A JP25833198 A JP 25833198A JP 25833198 A JP25833198 A JP 25833198A JP 4226699 B2 JP4226699 B2 JP 4226699B2
Authority
JP
Japan
Prior art keywords
insulating film
film
hole
wiring
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP25833198A
Other languages
English (en)
Other versions
JP2000091428A (ja
Inventor
嘉宏 楠見
里志 飯田
和範 吉川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP25833198A priority Critical patent/JP4226699B2/ja
Priority to US09/266,853 priority patent/US6228755B1/en
Publication of JP2000091428A publication Critical patent/JP2000091428A/ja
Application granted granted Critical
Publication of JP4226699B2 publication Critical patent/JP4226699B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、多層配線構造を有する半導体装置およびその製造方法に関し、特に埋め込み配線による多層配線構造を有する半導体装置およびその製造に好適な製造方法に関する。
【0002】
【従来の技術】
図16は、特開平9−153545号公報に開示される従来の半導体装置(埋め込み配線による多層配線構造を有する半導体装置)の製造方法を説明するための図を示す。以下、この製造方法を「第1の従来方法」と称す。図16において、10層間絶縁膜30は下部配線、14は接続孔ストッパ膜、16は下部層間絶縁膜、18は上部溝ストッパ膜、20は接続孔開口レジストマスク、21は上部層間絶縁膜、22は上部溝形成レジストマスク、24は接続孔、26は上部配線溝、また、28は導電性材料を示す。
【0003】
第1の従来方法においては、層間絶縁膜10上に下部配線12が形成された後、下部配線12を覆うように接続孔ストッパ膜14が形成される。次に、接続孔ストッパ膜14の上部に下部絶縁膜16と上部溝ストッパ膜18が順次形成される(図16(a))。
次に、上部溝ストッパ膜18の上部に写真製版により接続孔開口レジストマスク20が形成される。その後、ドライエッチング等の処理により、上部溝ストッパ膜18に接続孔が開口される(図16(b))。
【0004】
次いで、接続孔開口レジストマスク20が除去され、上部ストッパ膜18の上部に上部絶縁膜21が形成される(図16(c))。
次に、上部絶縁膜21の上部に、写真製版によりに上部溝形成レジストマスク22が形成される。その後、ドライエッチング等の処理により上部絶縁膜21に上部配線溝26が形成される。この際、同時に、上部溝ストッパ膜18に設けられている閉口部の下部において下部絶縁膜16が除去されることにより、自己整合的に、接続孔ストッパ膜14まで達する接続孔24が形成される(図16(d))。
【0005】
上部溝形成レジストマスク22が除去され、更に、接続孔24の底部に露出した接続孔ストッパ膜14が除去された後に、接続孔24の内部、上部配線溝26の内部、および、上部絶縁膜21の上部に導電性材料28が堆積される(図16(e))。
最後に、上部絶縁膜21の上部に堆積された導電性材料28のみが、CMP等の処理により除去され、所望の構造が形成される(図16(f))。このように、第1の従来方法によれば、半導体基板中に、所定部位で導通する複数の配線層を有する多層配線構造を形成することができる。
【0006】
図17および図18は、特開平8−335634号公報に開示される従来の半導体装置(埋め込み配線による多層配線構造を有する半導体装置)の製造方法を説明するための図を示す。以下、この方法を「第2の従来方法」と称す。図17および図18において、12は下部配線、20は接続孔開ロレジストマスク、22は上部溝形成レジストマスク、24は接続孔、26は上部配線溝、28は導電性材料を示す。また、30は層間絶縁膜、32は有機化合物を示す。
【0007】
第2の従来方法においては、下部配線12の上部に、層間絶縁膜30が形成された後、写真製版により層間絶縁膜30の上部に接続孔開口レジストマス20が形成される(図17(a))。
次に、ドライエッチング等の処理により、層間絶縁膜30を貫通して下部配線12まで到達する接続孔24が開口される。接続孔閉口レジストマスク20は、接続孔24の開口の後除去される(図17(b))。
【0008】
次に、接続孔24の内部および層間絶縁膜30の上部に、層間絶縁膜30に対して、エッチングに関する選択比が1/2以下となる有機化合物32が堆積される(図17(c))。
次いで、有機化合物32が接続孔24の内部にのみ残存するように、層間絶縁膜30の上部から有機化合物32が除去される(図17(d))。
【0009】
次に、写真製版により、層間絶縁膜30の上部に上部溝形成レジストマスク22が形成される。上部溝形成レジストマスク22は、接続孔24を含む領域、すなわち、残存した有機化合物32を含む領域に開口を有するようにパターニングされる(図18(a))。
上部溝形成レジストマスク22が形成された後、ドライエッチング等の処理により層間絶縁膜30が所定の深さだけエッチングされる。その結果、上部配線溝26が形成される(図18(b))。
【0010】
次に、接続孔24内に残存する有機化合物32と上部溝形成レジストマスク22とが同時に除去される(図18(c))。
最後に、導電性材料28が接続孔24と上部配線溝26の内部に堆積されることにより、所望の構造が形成される(図18(h))。このように、第2の従来方法によっても、所定部位で導通する複数の配線層を有する多層配線構造を実現することができる。
【0011】
【発明が解決しようとする課題】
しかし、上述した第1または第2の従来方法によっては、接続孔のアスペクト比が大きい場合、具体的には、そのアスペクト比が2.5を越える場合や、接続孔の直径が小さい場合、具体的には、その直径が0.28μm以下である場合に、接続孔に導電性材料を適正に埋め込むことが困難となる。このため、従来の製造方法は、多層配線構造が十分に微細化された場合に、上部配線と下部配線との間にコンタクト不良を発生させ易いという問題を有していた。
【0012】
ところで、半導体装置の微細化を進めるためには、半導体装置に内蔵される多層配線構造を精度良く形成することが重要となる。半導体装置に内蔵される多層配線構造を精度良く形成するうえでは、上述した第1の従来方法のように、下部ストッパ膜14および上部ストッパ膜18をストッパ膜として用いつつ、下部または上部層間絶縁膜16,21のエッチングを行うことが有効である。
【0013】
しかしながら、シリコン窒化膜は、シリコン酸化膜に比して大きな誘電率を有している。このため、多層配線構造の内部にシリコン窒化膜の層が存在すると、半導体基板の層間容量が大きくなる。半導体装置の動作速度を高めるうえでは、半導体装置の層間容量が小さいほど有利である。このため、従来の半導体装置の製造方法によっては、多層配線構造を精度良く形成し、かつ、半導体装置に優れた高速動作性を与えることが必ずしも容易ではなかった。
【0014】
本発明は、上記の問題を解決するためになされたものであり、半導体装置が十分に微細化された場合に、複数の配線層の間で良好な導通状態を確保するうえで有利な構造を有する半導体装置を提供することを第1の目的とする。
また、本発明は、半導体装置が十分に微細化された場合に、半導体装置が優れた高速動作性を確保するうえで有利な構造を有する半導体装置を提供することを第2の目的とする。
【0015】
また、本発明は、半導体装置を十分に微細化し、かつ、複数の配線層の間に良好な導通状態を確保するうえで好適な半導体装置の製造方法を提供することを第3の目的とする。
更に、本発明は、半導体装置を十分に微細化し、かつ、半導体装置に優れた高速動作性を付与するうえで好適な半導体装置の製造方法を提供することを第4の目的とする。
【0016】
【課題を解決するための手段】
請求項1記載の発明は、半導体装置の製造方法であって、
第1層間絶縁膜内に形成された第1配線と、
上記第1層間絶縁膜上及び上記第1配線上に形成された第1エッチングストッパと、
上記第1エッチングストッパ上に形成された絶縁膜と、
上記絶縁膜を貫き、上記第1エッチングストッパを底部に露出する第1穴と、
上記絶縁膜内に形成され、底部が、上記第1穴の上部と接するように形成された第1溝とを準備する工程と、
エッチング処理により上記第1穴の底部に露出した上記第1エッチングストッパを除去することにより、上記第1穴の底部に上記第1配線を露出させつつ上記第1穴の上部の穴径を広げる工程と、
上記第1穴内及び上記第1溝内に金属材料を埋め込むことにより、上記第1穴内に第1ビアを形成し、上記第1構内に第2配線を形成する工程とを有することを特徴とするものである。
【0017】
請求項2記載の発明は、請求項1に記載の半導体装置の製造方法であって、
上記絶縁膜は、
上記第1エッチングストッパ上に形成された第2層間絶縁膜と、
上記第2層間絶縁膜上に形成された第2エッチングストッパと、
上記第2エッチングストッパ上に形成された第3層間絶縁膜とで形成され、
上記第1溝の底部に上記第2エッチングストッパが露出しており、
上記第1配線を露出させつつ上記第1穴の上部の穴径を広げる工程によって、上記第1溝の底部の上記第2エッチングストッパが除去されて上記第2層間絶縁膜が露出することを特徴とするものである。
【0018】
請求項3記載の発明は、請求項2に記載の半導体装置の製造方法であって、
上記第1エッチングストッパ及び上記第2エッチングストッパは、シリコンと窒素の化合物で形成された絶縁物であり、
上記第1層間絶縁膜及び上記第2層間絶縁膜は、プラズマ TEOS 膜であることを特徴とするものである。
【0019】
請求項4記載の発明は、請求項2又は3に記載の半導体装置の製造方法であって、
上記第1ビア及び上記第2配線を形成する工程は、
バリアメタルを形成する工程と、
上記バリアメタルを形成した後、上記金属材料を埋め込む工程とを含むことを特徴とするものである。
【0020】
請求項5記載の発明は、請求項3に記載の半導体装置の製造方法であって、上記第1穴の上部の穴径を広げる工程のエッチング条件は、80%以上不活性ガスが含有されたガス雰囲気中にてスパッタエッチングを行うものであることを特徴とするものである。
【0021】
請求項6記載の発明は、請求項2に記載の半導体装置の製造方法であって、上記第1穴の上部の穴径を広げる工程で、上記第1溝の上部の断面積も広げられることを特徴とするものである。
【0022】
【発明の実施の形態】
以下、図面を参照してこの発明の実施の形態について説明する。尚、各図において共通する要素には、同一の符号を付して重複する説明を省略する。
【0023】
実施の形態1.
図1は本発明の実施の形態1の半導体装置の製造方法を説明するための図を示す。図1において、10は層間絶縁膜、12は下部配線、14は接続孔ストッパ膜、16は下部層間絶縁膜、18は上部溝ストッパ膜、21は上部層間絶縁膜、20は接続孔開口レジストマスク、22は上部溝形成レジストマスク、24は接続孔、26は上部配線溝、28は導電性材料である。また、図1において、34は接続準備孔、36は接続部、38は上部配線である。
【0024】
本実施形態の製造方法では、層間絶縁膜10上に形成された下部配線12を覆うように接続孔ストッパ膜14が形成される。接続孔ストッパ膜14上部には、下部絶縁膜16、上部溝ストッパ膜18、上部絶縁膜21が順次形成される(図1(a))。本実施形態において、層間絶縁膜10、下部絶縁膜16、および、上部絶縁膜21にはプラズマTEOSを用いているが、これらはプラズマTEOSに限定されるものではなく、CVD-TEOSやSOGなど他の形成方法による酸化膜としてもよい。また、本実施形態において、下部配線12にはCuが用いられているが、下部配線12はこれに限定されるものではなく、Al合金等を用いても良い。更に、本実施形態において、接続孔ストッパ膜14、および、上部溝ストッパ膜18には、プラズマSiNが用いられているが、それらはプラズマSiNに限定されるものではなく、ドライエッチングにおいて下部絶縁膜16および上部絶縁膜21との選択比が1/5以下となる絶縁膜であれば他の絶縁膜であっても良い。
【0025】
本実施形態の製造方法では、次に、写真製版により上部絶縁膜21の上部に接続孔開口レジストマスク20が形成される。次いで、ドライエッチングにより、接続孔ストッパ膜14まで達する接続準備孔34が設けられる(図1(b))。ドライエッチングは、ECR型RIE装置を用いて実行される。より具体的には、上部絶縁膜21と下部絶縁膜16は、C+Oの混合ガスによるプラズマでエッチングされる。また、上部溝ストッパ膜18は、CF+Oの混合ガスによるプラズマでエッチングされる。
【0026】
上部絶縁膜21或いは下部絶縁膜16のエッチングにおいて、上部溝ストッパ膜18に対するそれらの選択比は約10である。接続孔ストッパ膜14は、上部溝ストッパ膜18に比してアスペクト比の高いところに存在する。このため、上部絶縁膜21或いは下部絶縁膜16の、接続孔ストッパ膜14に対する選択比は約20程度となる。このようなエッチングを行うことで上部絶縁膜21、上部溝ストッパ膜18および下部絶縁膜16を貫通し、かつ、接続孔ストッパ膜14を貫通しない接続準備孔34が形成される。
【0027】
次に、接続孔開口レジストマスク20がアッシングにより除去される。次いで、写真製版により、上部絶縁膜21の上部に上部溝形成レジストマスク22が形成される。上部溝形成レジストマスク22は、接続準備孔34を含む領域に開口部を有するようにパターニングされる。上部溝形成レジストマスク22が形成された後、ドライエッチングにより、上部絶縁膜21に上部配線溝26が形成される(図1(c))。
【0028】
上記のドライエッチングは、ECR型RIE装置で、C+Oの混合ガスによるプラズマを用いて、上部絶縁膜21の上部溝ストッパ膜18に対する選択比が5〜7程度となる条件で実行される。上記の如く、上部溝ストッパ膜18に対する選択比が比較的低い条件で上部絶縁膜21のエッチングが実行されると、上部配線溝26が形成される過程において、接続準備孔24の内部で、上部溝ストッパ膜18の開口面積が僅かずつ拡大する。その結果、接続準備孔34の、上部溝ストッパ膜26から接続孔ストッパ膜14までの部分はテーパ状に加工される。
【0029】
本実施形態の製造方法において、接続準備孔34のテーパの角度は、上部配線溝26の形成に必要なエッチング量を越えて実行されるエッチング量、すなわち、オーバーエッチング量を制御することで調整することができる。図1(c)に示す如く、本実施形態において、そのテーパ角度は、接続準備孔34の最大径、すなわち、上部溝ストッパ膜18の位置における接続準備孔34の径と、上部配線溝26の幅とが等しくなるように設定されている。しかしながら、接続準備孔34の設定はこれに限定されるものではなく、上部配線溝26の幅は、接続準備孔34の最大径に比して大きく設定してもよい。
【0030】
上述した処理が終了すると、次に、上部溝形成レジストマスク22がアッシングにより除去され、次いで、接続準備孔34の底部に露出している接続孔ストッパ膜14が除去される。上記の如く接続孔ストッパ膜14が除去されることにより、上部配線溝26側に、下部配線12側に比して大きな断面積を有するテーパ状の接続孔24が形成される。
【0031】
テーパ状24の接続孔24が形成されると、次に、接続孔24の内部、上部配線溝26の内部、および、上部絶縁膜21の上部に導電性材料28が堆積される(図1(d))。
最後に、CMP等により上部絶縁膜21の上部の堆積された導電性材料28のみが除去される。上記の処理が実行されると、半導体装置の内部に、テーパ状の接続部36で導通状態とされた下部配線12および上部配線38を有する多層配線構造が形成される(図1(e))。
【0032】
ところで、上記の実施形態においては、ドライエッチング装置としてECR型RIE装置が用いられているが、ドライエッチング装置はこれに限定されるものではい。例えば、平行平板型RIE装置や、マグネトロンRIE装置あるいはICP型RIE装置などの他の方式の装置をドライエッチング装置として用いても良い。
【0033】
上述の如く、本実施形態の製造方法によれば、下部配線12の上部にテーパ状の接続孔24を形成し、その内部に導電性材料28を堆積させることにより接続部36を形成することができる。このため、本実施形態の製造方法によれば、導電性材料28の接続孔24への埋め易さを高めることができ、特に接続孔のアスペクト比が2.5を越える場合や、接続孔の直径が0.28μm以下になった場合に半導体装置の信頼性を向上させることが可能となる。
【0034】
実施の形態2.
次に、図2を参照して、本発明の実施の形態2について説明する。
図2は、本実施形態の半導体装置の製造方法を説明するための図を示す。図2において、40は有機化合物で構成された保護膜である。尚、図2において、図1に示す符号の付された要素は、図1と共通する要素を示す。
【0035】
図2(a)および図2(b)は、実施の形態1の説明において参照した図1(a)および図1(b)と同様である。すなわち、本実施形態の製造方法では、先ず、実施の形態1の場合と同様の処理が実行されることにより、図2(b)に示す状態が形成される。
【0036】
図2(b)に示す状態が形成された後、先ず、接続孔開口レジストマスク20がアッシングにより除去される。次に、本実施形態の製造方法においては、接続準備孔34の底部に、レジスト等の保護膜40が堆積される。保護膜40は、例えば、接続準備孔34の内部および上部絶縁膜21の上部にレジスト等を堆積させた後、接続準備孔34の底部近傍だけが感光しない条件で露光処理を行い、次いで、現像液により感光部分のレジストを除去することにより形成することができる。また、保護膜40は、接続準備孔34の内部および上部絶縁膜21の上部にレジスト等を堆積させた後、接続準備孔34の底部近傍に堆積したものだけが残存するような条件でレジストのドライエッチングを行う方法によっても形成することができる。
【0037】
保護膜40の堆積処理が終了すると、次に、接続準備孔34を含む領域に開口部を有する上部溝形成レジストマスク22が形成される(図2(c))。
次に、ドライエッチングよって上部絶縁膜21に上部配線溝26が形成される(図2(d)。ドライエッチングは、実施の形態1の場合と同様の条件で、すなわち、図1(c)におけるドライエッチングの条件で実行される。その結果、上部配線溝26が形成されると同時に、接続準備孔34が、上部配線溝ストッパ膜18の下部においてテーパ状に加工される。
【0038】
上記のドライエッチングの過程において、接続孔ストッパ膜14は、保護膜40により保護されている。このため、本実施形態の製造方法によれば、実施の形態1の場合に比して、プロセスマージンを大きく確保すること、具体的には、ドライエッチングの条件を広い範囲で設定して、テーパ角度の設定範囲を広げることができる。更に、本実施形態の製造方法によれば、実施の形態1の場合に比して、接続孔ストッパ膜14の膜厚を薄くすることもできる。接続孔ストッパ膜14がシリコン窒化膜で構成される場合は、その膜厚が薄いほど半導体装置の層間容量が小さくなり、高速動作に適した特性が実現できる。この点、本実施形態の製造方法は、半導体装置に優れた高速動作性を付与し易いという利点を有している。
【0039】
接続準備孔34をテーパ状に加工する処理が終了すると、以後、実施の形態1の場合と同様の処理、すなわち、図1(d)および図1(e)に示す処理が実行される。保護膜40は、上部溝形成レジストマスク22を除去するためのアッシング処理により同時に除去される。上記の処理が実行されることにより、テーパ状の接続部36によって良好に導通状態とされた下部および上部配線12,38を有する多層配線構造が形成される。
【0040】
実施の形態3.
次に、図3を参照して、本発明の実施の形態3について説明する。
図3は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図3において、図1に示す符号の付された要素は、図1と共通する要素を示す。
【0041】
図3(a)は、実施の形態1の説明において参照した図1(a)と同様である。すなわち、本実施形態の製造方法では、先ず、実施の形態1の場合と同様の処理が実行されることにより、図3(a)に示す状態が形成される。
【0042】
図3(a)に示す状態が形成されると、次に、写真製版により上部絶縁膜21の上部に接続孔開口レジストマスク20が形成され、ドライエッチングにより、上部絶縁膜21および上部溝ストッパ膜18を貫通する接続準備孔34が形成される。本実施形態の製造方法において、接続準備孔34は、上部溝ストッパ膜18の直下、或いは、下部絶縁膜16の途中の所定位置に到達するように形成される(図3(b))。ドライエッチングは、ECR型RIE装置を用いて行われる。この際、上部絶縁膜21のドライエッチングには、C+Oの混合ガスによるプラズマが用いられる。このとき上部溝ストッパ膜18に対する上部絶縁膜21の選択比は約10である。また、上部溝ストッパ膜18のドライエッチングは、CF+Oの混合ガスによるプラズマが用いられる。尚、接続準備孔34を下部絶縁膜16の途中まで開口させる場合は、上部溝ストッパ膜18のエッチングが終了した後に、上部絶縁膜21を開口する際に用いられた条件で、下部絶縁膜16のエッチングが行われる。
【0043】
図3(b)に示す状態が形成された後、接続孔開口レジストマスク20はアッシングにより除去される。次いで、写真製版により上部絶縁膜21の上部に、上部溝形成レジストマスク22が形成される。(図3(c))。上部溝形成レジストマスク22は、接続準備孔34を含む領域に開口部を有するようにパターニングされる。
【0044】
次に、ドライエッチングにより、上部絶縁膜21に上部配線溝26が形成される(図3(d))。ドライエッチングは、実施の形態1の場合と同様の条件で、すなわち、図1(c)におけるドライエッチングの条件で実行される。上記のドライエッチングの過程で、上部配線溝26の形成が進行するのに伴って、接続準備孔34は、接続孔ストッパ膜14に向かって拡張されると共に、その上部配線溝ストッパ溝18から下部の部分がテーパ状に加工される。その結果、図3(d)に示す如く、上部配線溝26と、上部配線溝26の下方の部分がテーパ状に加工された接続準備孔34とが同時に形成される。
【0045】
上記の処理によれば、接続孔ストッパ膜14を下部絶縁膜16で保護しつつドライエッチングを行うことができる。このため、本実施形態の製造方法によれば、実施の形態2の場合と同様に、実施の形態1の場合に比して、プロセスマージンを大きく確保すること、および、接続孔ストッパ膜14の膜厚を薄くすることができる。
【0046】
また、本実施形態の製造方法によれば、初期実施の形態1および2の場合に比して、接続準備孔34に要求される初期深さが浅いため、接続準備孔34を形成するために用いられる接続孔開口レジストマスク20(図3(b)参照)の膜厚を、実施の形態1または2の場合に比して薄くすることができる。レジストマスクの精度は、その膜厚が薄いほど容易に高めることができる。従って、本実施形態の製造方法によれば、接続孔開口レジストマスク20を、容易に高い精度で形成することができる。
【0047】
更に、本実施形態の製造方法によれば、初期段階で接続準備孔34に要求される深さ、特に、上部配線溝ストッパ膜18の下部に要求される深さが実施の形態1または2の場合に比して浅いため、上部絶縁膜21の膜厚を、実施の形態1または2の場合に比して厚くすることができる。上部絶縁膜21の膜厚が厚くできると、上部配線溝26の深さを深くすることができる。半導体装置の層間容量は、配線の膜厚が厚いほど、すなわち、配線溝の深さが深いほど小さく抑制することができる。従って、本実施形態の製造方法によれば、半導体装置の層間容量を抑制するうえで、実施の形態1または2の方法に比して更に優れている。
【0048】
接続準備孔34をテーパ状に加工する処理が終了すると、以後、実施の形態1の場合と同様の処理、すなわち、図1(d)および図1(e)に示す処理が実行される。上記の処理が実行されることにより、テーパ状の接続部36によって良好に導通状態とされた下部および上部配線12,38を有する多層配線構造が形成される。
【0049】
実施の形態4.
次に、図4を参照して、本発明の実施の形態4について説明する。
図4は、本実施形態の半導体装置の製造方法を説明するための図を示す。図4において、42は絶縁膜を示す。尚、図4において、図1に示す符号の付された要素は、図1と共通する要素を示す。
【0050】
本実施形態の製造方法では、層間絶縁膜10上に形成された下部配線12を覆うように接続孔ストッパ膜14が形成され、更にその上部に、絶縁膜42が形成される(図4(a))。
次に、写真製版により絶縁膜42の上部に接続孔開口レジストマスク20が形成される。次いで、ドライエッチングにより、接続孔ストッパ膜14から所定距離の位置まで、すなわち、絶縁膜42の途中まで、接続準備孔34が設けられる(図4(b))。ドライエッチングは、ECR型RIE装置を用いて、C+Oの混合ガスによるプラズマを用いて実行される。この工程で形成される接続準備孔34の深さは、接続準備孔34の径や、後に形成される上部配線溝26の深さ等に応じてb適宜設定することが望ましいが、概ね、上部配線溝26の底面位置と接続孔ストッパ膜14の表面位置との中間ぐらいが適当である。
【0051】
図4(b)に示す状態が形成された後、接続孔閉口レジストマスク20がアッシングにより除去される。次いで、写真製版により、絶縁膜42の上部に上部溝形成レジストマスク22が形成される。上部溝形成レジストマスク22は、接続準備孔34を含む領域に開口部を有するようにパターニングされる(図4(c))。上部溝形成レジストマスク22が形成された後、ドライエッチングにより、絶縁膜42に上部配線溝26が形成される(図4(d))。
【0052】
上記のドライエッチングは、ECR型RIE装置で、C+CHF+Oの混合ガスによるプラズマを用いて実行される。上記のドライエッチングによれば、上部配線溝26の形成過程において、接続準備孔34の下端部が接続孔ストッパ膜14に向かって拡張されると共に、接続準備孔34の径が、その上端部近傍から徐々に拡大される。
【0053】
その結果、上記のエッチングによれば、上部配線溝26と、上部配線溝26の下端から接続孔ストッパ膜14まで延在するテーパ状の接続準備孔34が形成される。また、上述したエッチング条件によれば、上部配線溝26の底面部分、すなわち、接続準備孔34が開口していない部分のエッチングを、トレンチングを引き起こすことなく進行させることができる。このため、ストッパ膜を用いていないにも関わらず、上部配線溝26に要求される所望の深さまでドライエッチングを行うことにより、適正な形状を有する上部配線溝26を形成することができる(図4(e))。
【0054】
本実施形態の製造方法によれば、接続孔ストッパ膜14を絶縁膜42で保護しつつドライエッチングを行うことができる。このため、本実施形態の製造方法によれば、実施の形態2および3の場合と同様に、実施の形態1の場合に比して、プロセスマージンを大きく確保すること、および、接続孔ストッパ膜14の膜厚を薄くすることができる。更に、本実施形態の製造方法によれば、上部溝ストッパ膜を省略することができるため、工程数の削減と層間容量の低減とを実現することができる。このため、本実施形態の製造方法は、製造コスト低減、歩留まりの向上、および、半導体装置の性能向上を図るうえで、実施の形態1乃至3の方法に比して優れている。
【0055】
接続準備孔34をテーパ状に加工する処理が終了すると、以後、実施の形態1の場合と同様の処理、すなわち、図1(d)および図1(e)に示す処理が実行される。上記の処理が実行されることにより、テーパ状の接続部36によって良好に導通状態とされた下部および上部配線12,38を有する多層配線構造が形成される。
【0056】
実施の形態5.
次に、図5および図6を参照して、本発明の実施の形態5について説明する。
図5および図6は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図5および図6において、図1に示す符号の付された要素は、図1と共通する要素を示す。
【0057】
本実施形態の製造方法では、先ず、下部配線12を形成するための溝が層間絶縁膜10にパターニングされる。次に、層間絶縁膜10にパターニングされた溝の内部、および、層間絶縁膜10の上部に、CVD法、メッキ法、或いは、スパッタ法等の方法により、AlCu合金、または、Cuなどの金属材料、すなわち、導電材料が堆積される。次に、CMP法を用いて、堆積させた導電材料をポリッシュバックすることにより、下部配線12が形成される。
【0058】
次に、下部配線12の上部に、第1ストッパ膜44が形成される。第1ストッパ膜44は、下部配線12と上層配線とを電気的に接続させる接続孔を形成するためのエッチングの過程で、ストッパ膜として機能する膜である。本実施形態において、層間絶縁膜10はシリコン酸化膜により構成されている。第1ストッパ膜44は、シリコン酸化膜に対して高い選択比が確保し易いシリコン窒化膜により構成される。
【0059】
次に、第1ストッパ膜44の上部に、写真製版によりレジストパターン46が形成される。レジストパターン46は、少なくとも下部配線12の幅よりも大きな幅を有し、かつ、上部配線の配線パターンと同じパターンを有している(図5(a))。また、レジストパターン46は、そのパターンエッジが下部配線12のパターンエッジよりも内側に位置しないように配置される。
【0060】
次に、このレジストパターン46をマスクとして、ドライエッチングにより第1ストッパ膜44がパターニングされる。次いで、レジストパターン46が除去され、その後、第1ストッパ膜44の上部に下部絶縁膜16が形成される。下部絶縁膜16は、具体的には、プラズマCVD法により形成されるシリコン酸化膜(SiOx)、或いは、低誘電体膜であるフッ素(F)を含有するフッ素系シリコン酸化膜(SiOxFy)等、誘電率の低いシリコン酸化膜により構成される。
【0061】
下部絶縁膜16の上部には、第2ストッパ膜48が形成される。第2ストッパ膜48には、第1ストッパ膜48の場合と同様に、シリコン酸化膜に対して高い選択比を確保し易いシリコン窒化膜が用いられる。第2ストッパ膜48の上部には、写真製版により、レジストパターン50が形成される。レジストパターン50は、少なくとも後に形成される上部配線38の幅に比して大きな幅を有し、かつ、その上部配線38の配線パターンと同じパターンを有している(図5(c))。
【0062】
レジストパターン50が形成されると、次に、そのレジストパターン50をマスクとして、ドライエッチングにより第2ストッパ膜48がパターニングされる。次いで、第2ストッパ膜48の上部に、上部絶縁膜21が成膜される(図21(d))。上部絶縁膜21は、下部絶縁膜16と同様に、誘電率の低いシリコン酸化膜により構成される。
【0063】
次に、上部絶縁膜21の上部に、写真製版により接続孔開口レジストマスク20が形成される(図6(a))。次いで、接続孔開口レジストマスク20をマスクとしてドライエッチングが行われる。上記のドライエッチングが実行されることにより、上部絶縁膜21、および、第2ストッパ膜21を貫通し、下部絶縁膜16の途中まで、より具体的には、下部絶縁膜16のほぼ中央(厚さ方向の中央)まで到達する接続準備孔34が形成される。
【0064】
接続準備孔34が形成されると、接続孔開口レジストマスク20が除去され、その代わりに、上部絶縁膜21の上部に、上部溝形成レジストマスク22が形成される(図6(b))。
次いで、その上部溝形成レジストマスク22をマスクとして、シリコン窒化膜に対して高い選択比でシリコン酸化膜を除去し得る条件でドライエッチングが実行される。上記のドライエッチングは、上部配線溝26の内部に第2ストッパ膜48が露出し、かつ、接続準備孔34の内部に第1ストッパ膜44が露出するまで行われる(図6(c)。
【0065】
次に、上部配線溝26の底部、および、接続準備孔34の底部に残存している第2ストッパ膜48および第1ストッパ膜44がドライエッチングにより除去される(図6(d))。
以後、実施の形態1の場合と同様に、接続孔24および上部配線溝26の内部に導電材料を堆積させることにより、所望の多層配線構造が形成される。
【0066】
上述の如く、本実施形態の製造方法によれば、接続孔24を形成する過程でエッチングのストッパ膜として機能するシリコン窒化膜(第1ストッパ膜44)、および、上部配線溝26を形成する過程でエッチングのストッパ膜として機能するシリコン窒化膜(第2ストッパ膜48)が、それぞれ必要最小限の範囲にパターニングされる。このため、本実施形態の製造方法によれば、半導体装置の内部に残存するシリコン窒化膜の量を十分に少量とすることができる。
【0067】
半導体装置の層間容量は、その内部に残存するシリコン窒化膜の量が少量であるほど小さくなる。また、半導体装置は、その層間容量が小さいほど高速で動作し易くなる。このため、本実施形態の製造方法によれば、ストッパ膜を用いることで精度良く多層配線構造を形成することができると共に、半導体基板に優れた高速動作性を付与することができる。
【0068】
ところで、上記の実施形態においては、接続孔24の上下に形成される導電部が共に配線に限定されているが、接続孔24の上下に形成される導電部はこれに限定されるものではなく、例えば、接続孔24の一方に、導電部として、ストレージノード等を形成することとしても良い。
【0069】
実施の形態6.
次に、図7および図8を参照して、本発明の実施の形態6について説明する。
図7および図8は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図7および図8において、図1、図5または図6に示す符号の付された要素は、それらの図と共通する要素を示す。
【0070】
本実施形態の製造方法では、先ず、下部配線12を形成するための溝が層間絶縁膜10にパターニングされる。次に、層間絶縁膜10にパターニングされた溝の内部、および、層間絶縁膜10の上部に、CVD法、メッキ法、或いは、スパッタ法等の方法により、AlCu合金、または、Cuなどの金属材料、すなわち、導電材料が堆積される。次に、CMP法を用いて、堆積させた導電材料をポリッシュバックすることにより、下部配線12が形成される。
【0071】
次に、下部配線12の上部に、第1ストッパ膜44が形成される。第1ストッパ膜44は、下部配線12と上層配線とを電気的に接続させる接続孔を形成するためのエッチングの過程で、ストッパ膜として機能する膜である。
続いて、第1ストッパ膜44の上部に下部絶縁膜16が形成される。下部絶縁膜16は、具体的には、プラズマCVD法により形成されるシリコン酸化膜(SiOx)、或いは、低誘電体膜であるフッ素(F)を含有するフッ素系シリコン酸化膜(SiOxFy)等、誘電率の低いシリコン酸化膜により構成される。
次いで、下部絶縁膜16の上部に、写真製版により接続孔開口レジストマスク20が形成される(図7(a))。
【0072】
次に、接続孔開口レジストマスク20をマスクとして、接続準備孔34を設けるためのドライエッチングが行われる。上記のドライエッチングは、接続準備孔34が、下部絶縁膜16の途中まで、より具体的には、下部絶縁膜16の膜厚の約80%の位置まで到達するように行われる。接続準備孔34が形成されると、接続孔開口レジストマスク20が除去される(図7(b))。
【0073】
次に、上記の処理により下部絶縁膜34の膜厚の約80%の深さに設けられた接続準備孔34の内部、および、下部絶縁膜16の上部に、プラズマCVD法により形成されるp-SiN等のシリコン窒化膜52が、所定の膜厚で形成される。
次いで、シリコン窒化膜52の上部に、写真製版により、後に形成される上部配線の配線パターンと同じパターンを有するレジストパターン54が形成される(図7(c))。
【0074】
続いて、上記のレジストパターン54をマスクとして、異方性エッチングによりシリコン窒化膜52がパターニングされる。その結果、下部絶縁膜16の上部に、上部配線の配線パターンと同じパターンを有するシリコン窒化膜パターン55が形成される(図7(d))。
【0075】
次に、下部絶縁膜16およびシリコン窒化膜パターン55の上部に、十分な膜厚を有する上部絶縁膜21が形成される(図8(a))。
上部絶縁膜21は、CMP法により、シリコン窒化膜パターン55の表面が露出し、更に、シリコン窒化膜パターン55の膜厚が、上部配線に要求される膜厚と等しくなるまで研磨・平坦化される(図8(b))。
【0076】
上記の処理が終了すると、次に、接続準備孔34の内部および上部絶縁膜21の内部に埋め込まれているシリコン窒化膜を、熱燐酸を用いて除去する処理が実行される(図8(c))。
次に、接続準備孔34が、その底部に第1ストッパ膜44が露出するまで拡張される。その後、シリコン基板の全面をエッチバックすることにより、接続準備孔34の底部に露出していた第1ストッパ膜44がエッチバックされる。その結果、下部配線12に通じる接続部24と、接続部24と通じる上部配線溝26が形成される(図8(d))。
以後、実施の形態1の場合と同様に、接続孔24および上部配線溝26の内部に導電材料を堆積させることにより、所望の多層配線構造が形成される。
【0077】
上述の如く、本実施形態の製造方法によれば、ストッパ膜を使用することなく、精度良く上部配線溝を形成することができる。このため、本実施形態の製造方法によれば、層間絶縁膜の内部にほぼ全面にわたってストッパ膜を有する半導体装置に比して、大幅に層間容量の小さい半導体装置を製造することができる。更に、本実施形態の製造方法においては、上部配線溝26の深さ、すなわち、その内部に形成される上部配線の膜厚が、シリコン窒化膜パターン55の膜厚(CMP等により研磨された後の膜厚)に対応している。このため、本実施形態の製造方法によれば、シリコン窒化膜52の膜厚を適当に制御することにより、ストッパ膜が用いられていないにも関わらず、上部配線の膜厚を高精度に調整することができる。
【0078】
ところで、上記の実施形態においては、第1ストッパ膜44をパターニングしないこととしているが、第1ストッパ膜44は、実施の形態5の場合と同様に、所定形状にパターニングすることとしても良い。第1ストッパ膜44をパターニングすることによれば、半導体装置の層間容量を更に小さくすることができる。
【0079】
実施の形態7.
次に、図9および図10を参照して、本発明の実施の形態7について説明する。
図9および図10は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図9および図10において、図1乃至図8の何れかに示す符号の付された要素は、それらの図と共通する要素を示す。
【0080】
本実施形態の製造方法では、先ず、下部配線12を形成するための溝が層間絶縁膜10にパターニングされる。次に、層間絶縁膜10にパターニングされた溝の内部、および、層間絶縁膜10の上部に、CVD法、メッキ法、或いは、スパッタ法等の方法により、AlCu合金、または、Cuなどの金属材料、すなわち、導電材料が堆積される。次に、CMP法を用いて、堆積させた導電材料をポリッシュバックすることにより、下部配線12が形成される。
【0081】
次に、下部配線12の上部に、第1ストッパ膜44が形成される。第1ストッパ膜44は、下部配線12と上層配線とを電気的に接続させる接続孔を形成するためのエッチングの過程で、ストッパ膜として機能する膜である。
続いて、第1ストッパ膜44の上部に下部絶縁膜16が形成される。下部絶縁膜16は、具体的には、プラズマCVD法により形成されるシリコン酸化膜(SiOx)、或いは、低誘電体膜であるフッ素(F)を含有するフッ素系シリコン酸化膜(SiOxFy)等、誘電率の低いシリコン酸化膜により構成される(図9(a))。
【0082】
次に、下部絶縁膜16の上部に、プラズマCVD法により形成されるp-SiN等のシリコン窒化膜52が、所定の膜厚で形成される。
次いで、シリコン窒化膜52の上部に、写真製版により、後に形成される上部配線の配線パターンと同じパターンを有するレジストパターン54が形成される(図9(b))。
【0083】
続いて、上記のレジストパターン54をマスクとして、異方性エッチングによりシリコン窒化膜52がパターニングされる。その結果、下部絶縁膜16の上部に、上部配線の配線パターンと同じパターンを有するシリコン窒化膜パターン55が形成される。
次に、下部絶縁膜16およびシリコン窒化膜パターン55の上部に、十分な膜厚を有する上部絶縁膜21が形成される(図9(c))。
上部絶縁膜21は、CMP法により、シリコン窒化膜パターン55の表面が露出し、更に、シリコン窒化膜パターン55の膜厚が、上部配線に要求される膜厚と等しくなるまで研磨・平坦化される(図9(d))。
【0084】
次に、シリコン窒化膜パターン55の上部に、写真製版により、接続孔開口レジストマスク20が形成される(図10(a))。
次いで、その接続孔開口レジストマスク20をマスクとしてドライエッチングを行うことにより、シリコン窒化膜パターン55を貫通し、かつ、下部絶縁膜16の途中、具体的には、その膜厚の約80%の位置まで到達する接続準備孔34が形成される(図10(b))。
【0085】
接続準備孔34の形成が終了すると、マスクに使用した接続孔開口レジストマスク20が除去され、更に、熱燐酸を用いた処理によりシリコン室化膜パターン55が除去される(図10(c))。
次に、接続準備孔34が、その底部に第1ストッパ膜44が露出するまで拡張される。その後、シリコン基板の全面をエッチバックすることにより、接続準備孔34の底部に露出していた第1ストッパ膜44がエッチバックされる。その結果、下部配線12に通じる接続部24と、接続部24と通じる上部配線溝26が形成される(図10(d))。
【0086】
以後、実施の形態1の場合と同様に、接続孔24および上部配線溝26の内部に導電材料を堆積させることにより、所望の多層配線構造が形成される。
上述した製造方法によれば、実施の形態6の場合と同様に、半導体装置の層間容量を小さくすることができると共に、ストッパ膜が用いられていないにも関わらず、上部配線の膜厚を高精度に調整することができる。
【0087】
ところで、上述した実施の形態6の製造方法において、接続孔と上部配線のアライメントが大幅にずれた場合には、図7(c)に示すレジストパターン54のエッジが、接続準備孔34の領域と重なる事態が生ずることがある。このような状況下では、シリコン窒化膜52のエッチング過程で、接続準備孔34の内部のシリコン窒化膜52までが除去される。この場合、上部絶縁膜21を堆積させる工程で、接続準備孔34の一部に絶縁膜(酸化膜)が入り込み、最終的に接続孔24を所望形状とすることができなくなる。
【0088】
これに対して、実施の形態7の製造方法によれば、接続孔と上部配線のアライメントが大幅にずれて、図10(a)に示す接続孔開口レジストマスク20の開口部が、シリコン窒化膜パターン55のエッジに重なる場合であっても、その後の工程で、接続準備孔34の内部に絶縁膜(酸化膜)が入り込むことはない。従って、実施の形態7の製造方法によれば、このような場合でも所望の形状の接続孔24を形成して、良好な導通特性を有する多層配線構造を形成することができる。実施の形態7の製造方法は、上記の点において実施の形態6の方法に比して優れている。
【0089】
ところで、上記の実施形態においては、第1ストッパ膜44をパターニングしないこととしているが、第1ストッパ膜44は、実施の形態5の場合と同様に、所定形状にパターニングすることとしても良い。第1ストッパ膜44をパターニングすることによれば、半導体装置の層間容量を更に小さくすることができる。
【0090】
実施の形態8.
次に、図11を参照して、本発明の実施の形態8について説明する。
図11は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図11において、図1に示す符号の付された要素は、図1と共通する要素を示す。
【0091】
本実施形態の製造方法においては、先ず、従来のデュアルダマシン法により、半導体基板に上部配線溝26および接続準備孔34が形成される(図11(a))。具体的には、図16(a)乃至図16(d)に示す如く、以下に示す処理により上部配線溝26および接続準備孔34が形成される。
(1)層間絶縁膜10に下部配線12を形成した後、
(2)下部配線12を覆うように接続孔ストッパ膜14を形成し、
(3)接続孔ストッパ膜14の上部に下部絶縁膜16と上部溝ストッパ膜18とを順次形成し(以上、図16(a))、
(4)上部溝ストッパ膜18の上部に写真製版により接続孔開口レジストマスク20を形成し、
(5)ドライエッチング等の処理により、上部溝ストッパ膜18に開口部を形成し(以上、図16(b))、
(6)接続孔開口レジストマスク20を除去した後、上部ストッパ膜18の上部に上部絶縁膜21を形成し(以上、図16(c))、
(7)上部絶縁膜21の上部に、写真製版によりに上部溝形成レジストマスク22を形成し、
(8)ドライエッチング等の処理により上部絶縁膜21に上部配線溝26を形成し、同時に、上部溝ストッパ膜18の開口部の下部に、自己整合的に接続準備孔34(図16(d)では接続孔24)を形成する(以上、図16(d))。
【0092】
次に、接続準備孔34の内部に露出した接続孔ストッパ膜34、および、上部配線溝24の内部に露出した上部溝ストッパ膜18が、ドライエッチングにより除去される。本実施形態の製造方法では、上記のドライエッチングが、不活性ガスを主体としたガス、より具体的には、不活性ガスの含有率が80%以上のガスを用いて行われる。上記のガスによれば、化学的作用に起因するエッチングの効果に対して、物理的作用に起因するエッチングの効果を強めることができる。尚、ドライエッチングのスパッタ性を強化するためには、エッチングの際にカソードに印加する電圧を高めることも有効である。
【0093】
上記のドライエッチング(スパッタエッチング)によれば、平面部に比して、凸型の角部においてエッチング速度が高速となる。このため、図11(a)に示す半導体基板に対して上記のドライエッチングが施されると、上部配線溝26の上端部における角部56、および、接続準備孔34の上端部における角部が他の部位に比して大きくエッチングされる。その結果、それらの角部が曲面状となり、下部配線12側に比して上部配線溝26側に大きな断面積を有する接続孔24が得られる(図11(b))。
以後、実施の形態1の場合と同様に、接続孔24および上部配線溝26の内部に導電材料を堆積させることにより、所望の多層配線構造が形成される。
【0094】
上述の如く、本実施形態の製造方法によれば、接続孔ストッパ膜14および上部溝ストッパ膜18をドライエッチングで除去する際に、接続孔24の上端部、および、上部配線溝26の上端部を拡大することができる。このため、本実施形態の製造方法によれば、それらの内部に、容易にバリアメタルや金属配線材料を埋め込むことができる。
【0095】
ところで、本実施形態の製造方法において、接続孔24と下部配線12のアライメントが大幅にずれると、接続孔24が、下部配線12と層間絶縁膜10との境界部を含む位置に開口することがある。このような状況下で、接続孔ストッパ膜14の除去が、化学反応を伴うドライエッチングで行われると、接続孔ストッパ膜14が除去された後のオーバーエッチングの過程で、層間絶縁膜10が大きくリセスされる。これに対して、本実施形態の製造方法によれば、上記のドライエッチングにおける化学反応(主にイオンアシスト反応)が極力抑えられているため、層間絶縁膜10が過剰にリセスされるのを防止することができる。このため、本実施形態の製造方法によれば、品質の安定した多層配線構造を高い歩留まりで製造することができる。
【0096】
実施の形態9.
次に、図12および図13を参照して、本発明の実施の形態9について説明する。
図12および図13は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図12および図13において、図1乃至図11の何れかに示す符号の付された要素は、それらの図と共通する要素を示す。
【0097】
本実施形態の製造方法では、先ず、下部配線12を形成するための溝が層間絶縁膜10にパターニングされる。次に、層間絶縁膜10にパターニングされた溝の内部、および、層間絶縁膜10の上部に、CVD法、メッキ法、或いは、スパッタ法等の方法により、AlCu合金、または、Cuなどの金属材料、すなわち、導電材料が堆積される。次に、CMP法を用いて、堆積させた導電材料をポリッシュバックすることにより、下部配線12が形成される。
【0098】
次に、下部配線12の上部に、第1ストッパ膜44が形成される。第1ストッパ膜44は、下部配線12と上層配線とを電気的に接続させる接続孔を形成するためのエッチングの過程で、ストッパ膜として機能する膜である。
続いて、第1ストッパ膜44の上部に下部絶縁膜16が形成される。下部絶縁膜16は、具体的には、プラズマCVD法により形成されるシリコン酸化膜(SiOx)、或いは、低誘電体膜であるフッ素(F)を含有するフッ素系シリコン酸化膜(SiOxFy)等、誘電率の低いシリコン酸化膜により構成される(図12(a))。
【0099】
次に、写真製版により、下部絶縁膜16の上部にレジストパターン60が形成される。レジストパターン60は、接続孔24を設ける領域に開口部を有するようにパターニングされる。
次に、レジストパターン60と共に半導体基板がフッ酸に浸される。上記の処理が実行されることにより、下部絶縁膜16の、レジストパターン60の開口部の近傍に、等方性エッチングが施される。その結果、下部絶縁膜16の、接続孔24を設けるべき部分に、窪み部62が形成される(図12(b))。
【0100】
レジストパターン60が除去された後、下部絶縁膜16の上部に上部溝ストッパ膜18が形成される(図12(c))。
上部溝ストッパ膜18の上部には、更に、上部絶縁膜21が成膜される(図12(d))。
【0101】
次に、上部絶縁膜21の上部に、写真製版により、接続孔開口レジストマスク20が形成される(図13(a))。
次いで、その接続孔開口レジストマスク20をマスクとしてドライエッチングが実行される。上記のドライエッチングは、シリコン窒化膜、すなわち、上部溝ストッパ膜18に対するシリコン酸化膜、すなわち、上部および下部絶縁膜21,16の選択比が比較的低い条件で行われる。上記のドライエッチングが実行されることにより、上部絶縁膜21および上部溝ストッパ膜18を貫通し、かつ、下部絶縁膜16の途中、具体的には、その膜厚の約1/2の位置まで到達する接続準備孔34が形成される(図13(b))。
【0102】
接続孔開口レジストマスク20が除去された後、上部絶縁膜21の上部に、写真製版により、上部溝形成レジストマスク22が形成される(図13(b))。
次に、その上部溝形成レジストマスク22をマスクとしてドライエッチングが実行される。上記のドライエッチングは、シリコン窒化膜に対して、シリコン酸化膜が比較的高い選択比で除去される条件で行われる。上記のドライエッチングは、上部配線溝26が上部溝ストッパ膜18に到達し、かつ、半開口状態であった接続準備孔34が接続孔ストッパ膜14に到達するように行われる(図13(c))。
【0103】
次に、ドライエッチングにより、上部配線溝26の内部に露出している上部溝ストッパ膜18、および、接続準備孔34の内部に露出している接続孔ストッパ膜14が除去される(図13(d))。
以後、実施の形態1の場合と同様に、接続孔24および上部配線溝26の内部に導電材料を堆積させることにより、所望の多層配線構造が形成される。
【0104】
上述した製造方法によれば、接続孔24の上端部に窪み部62を形成すること、すなわち、接続孔24の上端部に大きな断面積を確保することができる。このため、本実施形態の製造方法によれば、接続孔24の内部に、容易にバリアメタルや金属配線材料を埋め込むことができる。
【0105】
実施の形態10.
次に、図14および図15を参照して、本発明の実施の形態10について説明する。
図14および図15は、本実施形態の半導体装置の製造方法を説明するための図を示す。尚、図14および図15において、図1乃至図13の何れかに示す符号の付された要素は、それらの図と共通する要素を示す。
【0106】
図14(a)および図14(b)は、実施の形態9の説明において参照した図12(a)および図12(b)と同様である。すなわち、本実施形態の製造方法では、先ず、実施の形態9の場合と同様の処理が実行されることにより、図14(b)に示す状態が形成される。
【0107】
図14(b)に示す状態が形成されると、次に、レジストパターン60が除去された後、下部絶縁膜16の上部に上部溝ストッパ膜18が形成される(図14(c))。本実施形態の製造方法において、上部溝ストッパ膜18は、 (1)下部絶縁膜16の上部に窪み部62の深さに対して十分に厚くシリコン窒化膜を成膜し、次いで、(2)シリコン窒化膜の膜厚が、窪み部62を除く領域において所望の膜厚となるように、CMPによる研磨・平坦化処理を行うことにより形成される。上記の処理によれば、窪み部62に、他の部位に比して膜厚の厚い凸部64を備える上部溝ストッパ膜18が形成される。
【0108】
続いて、写真製版により、上部溝ストッパ膜18の上部に、接続孔開口レジストマスク20が形成される(図14(d))。
次いで、その接続孔開口レジストマスク20をマスクとして、上部溝ストッパ膜18の凸部64を貫通する接続準備孔34を形成するためのドライエッチングが実行される。
接続孔開口レジストマスク20が除去された後、接続準備孔34の内部、および、上部溝ストッパ膜18の上部に上部絶縁膜21が成膜される(図15(a))。
上部絶縁膜21の上部には、写真製版により、上部溝形成レジストマスク22が形成される(図15(b))。
【0109】
次に、その上部溝形成レジストマスク22をマスクとして、シリコン窒化膜に対してシリコン酸化膜が比較的高い選択比で除去される条件で、ドライエッチングが実行される。より具体的には、先ず、上部溝形成レジストマスク22をマスクとして、上部溝ストッパ膜18の凸部64が露出するまで上部絶縁膜21を除去するエッチングが実行される。引き続いて、その凸部64をマスクとして、接続準備孔34の内部に接続孔ストッパ膜14が露出するまで下部絶縁膜16を除去するエッチングが実行される(図15(c))。
【0110】
次に、ドライエッチングにより、上部配線溝26の内部に露出している上部溝ストッパ膜18、および、接続準備孔34の内部に露出している接続孔ストッパ膜14が除去される(図15(d))。
以後、実施の形態1の場合と同様に、接続孔24および上部配線溝26の内部に導電材料を堆積させることにより、所望の多層配線構造が形成される。
【0111】
上述した製造方法によれば、接続孔24の上端部に窪み部62を形成すること、すなわち、接続孔24の上端部に大きな断面積を確保することができる。このため、本実施形態の製造方法によれば、実施の形態9の場合と同様に、接続孔24の内部に、容易にバリアメタルや金属配線材料を埋め込むことができる。
【0112】
また、本実施形態の製造方法によれば、上部溝ストッパ膜18に、他の部位に比して膜厚の厚い凸部64を形成し、その凸部64をマスクとして接続準備孔34を接続孔ストッパ膜18まで到達させるためのエッチングを行うことができる。このため、本実施形態の製造方法によれば、通常のSAC開口方式で問題とされる接続孔上部のストッパ膜の突き抜けに関するマージンを大きく確保することができる。
【図面の簡単な説明】
【図1】 本発明の実施の形態1の製造方法を説明するための図である。
【図2】 本発明の実施の形態2の製造方法を説明するための図である。
【図3】 本発明の実施の形態3の製造方法を説明するための図である。
【図4】 本発明の実施の形態4の製造方法を説明するための図である。
【図5】 本発明の実施の形態5の製造方法を説明するための図(その1)である。
【図6】 本発明の実施の形態5の製造方法を説明するための図(その2)である。
【図7】 本発明の実施の形態6の製造方法を説明するための図(その1)である。
【図8】 本発明の実施の形態6の製造方法を説明するための図(その2)である。
【図9】 本発明の実施の形態7の製造方法を説明するための図(その1)である。
【図10】 本発明の実施の形態7の製造方法を説明するための図(その2)である。
【図11】 本発明の実施の形態8の製造方法を説明するための図である。
【図12】 本発明の実施の形態9の製造方法を説明するための図(その1)である。
【図13】 本発明の実施の形態9の製造方法を説明するための図(その2)である。
【図14】 本発明の実施の形態10の製造方法を説明するための図(その1)である。
【図15】 本発明の実施の形態10の製造方法を説明するための図(その2)である。
【図16】 従来の製造方法の1例を説明するための図である。
【図17】 従来の製造方法の他の例を説明するための図(その1)である。
【図18】 従来の製造方法の他の例を説明するための図(その2)である。
【符号の説明】
10 層間絶縁膜、 12 下部配線、 14 接続孔ストッパ膜、 16 下部絶縁膜、 18 上部溝ストッパ膜、 20 接続孔開口レジストマスク、 21 上部絶縁膜、 22 上部溝形成レジストマスク、 24 接続孔、 26 上部配線溝、 28 導電性材料、 34 接続準備孔、 36 接続部、 38 上部配線、 40 保護膜、 42 絶縁膜 44 第1ストッパ膜、 48 第2ストッパ膜、 52 シリコン窒化膜、 55 シリコン窒化膜パターン、 62 窪み部、 64 凸部。

Claims (6)

  1. 第1層間絶縁膜内に形成された第1配線と、
    上記第1層間絶縁膜上及び上記第1配線上に形成された第1エッチングストッパと、
    上記第1エッチングストッパ上に形成された絶縁膜と、
    上記絶縁膜を貫き、上記第1エッチングストッパを底部に露出する第1穴と、
    上記絶縁膜内に形成され、底部が、上記第1穴の上部と接するように形成された第1溝とを準備する工程と、
    エッチング処理により上記第1穴の底部に露出した上記第1エッチングストッパを除去することにより、上記第1穴の底部に上記第1配線を露出させつつ上記第1穴の上部の穴径を広げる工程と、
    上記第1穴内及び上記第1溝内に金属材料を埋め込むことにより、上記第1穴内に第1ビアを形成し、上記第1構内に第2配線を形成する工程とを有することを特徴とする半導体装置の製造方法。
  2. 上記絶縁膜は、
    上記第1エッチングストッパ上に形成された第2層間絶縁膜と、
    上記第2層間絶縁膜上に形成された第2エッチングストッパと、
    上記第2エッチングストッパ上に形成された第3層間絶縁膜とで形成され、
    上記第1溝の底部に上記第2エッチングストッパが露出しており、
    上記第1配線を露出させつつ上記第1穴の上部の穴径を広げる工程によって、上記第1溝の底部の上記第2エッチングストッパが除去されて上記第2層間絶縁膜が露出することを特徴とする請求項1に記載の半導体装置の製造方法
  3. 上記第1エッチングストッパ及び上記第2エッチングストッパは、シリコンと窒素の化合物で形成された絶縁物であり、
    上記第1層間絶縁膜及び上記第2層間絶縁膜は、プラズマ TEOS 膜であることを特徴とする請求項2に記載の半導体装置の製造方法。
  4. 上記第1ビア及び上記第2配線を形成する工程は、
    バリアメタルを形成する工程と、
    上記バリアメタルを形成した後、上記金属材料を埋め込む工程とを含むことを特徴とする請求項2又は3に記載の半導体装置の製造方法。
  5. 上記第1穴の上部の穴径を広げる工程のエッチング条件は、80%以上不活性ガスが含有されたガス雰囲気中にてスパッタエッチングを行うものであることを特徴とする請求項3に記載の半導体装置の製造方法。
  6. 上記第1穴の上部の穴径を広げる工程で、上記第1溝の上部の断面積も広げられることを特徴とする請求項2に記載の半導体装置の製造方法。
JP25833198A 1998-09-11 1998-09-11 半導体装置の製造方法 Expired - Fee Related JP4226699B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP25833198A JP4226699B2 (ja) 1998-09-11 1998-09-11 半導体装置の製造方法
US09/266,853 US6228755B1 (en) 1998-09-11 1999-03-12 Semiconductor device, and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25833198A JP4226699B2 (ja) 1998-09-11 1998-09-11 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000091428A JP2000091428A (ja) 2000-03-31
JP4226699B2 true JP4226699B2 (ja) 2009-02-18

Family

ID=17318769

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25833198A Expired - Fee Related JP4226699B2 (ja) 1998-09-11 1998-09-11 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6228755B1 (ja)
JP (1) JP4226699B2 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100335488B1 (ko) * 1999-09-16 2002-05-04 윤종용 자기 정렬 콘택을 가지는 반도체 소자 및 그 제조방법
JP2001196380A (ja) 2000-01-12 2001-07-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3579374B2 (ja) * 2001-07-10 2004-10-20 三洋電機株式会社 半導体装置の製造方法
JP2003031657A (ja) * 2001-07-18 2003-01-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6800558B1 (en) 2002-01-10 2004-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist scum for copper dual damascene process
US20040115477A1 (en) * 2002-12-12 2004-06-17 Bruce Nesbitt Coating reinforcing underlayment and method of manufacturing same
JP4571785B2 (ja) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4959979B2 (ja) * 2005-12-21 2012-06-27 エルピーダメモリ株式会社 半導体記憶装置の製造方法
KR100859380B1 (ko) * 2006-12-13 2008-09-22 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
JP5316406B2 (ja) * 2007-03-27 2013-10-16 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5544893B2 (ja) * 2010-01-20 2014-07-09 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US11348828B2 (en) * 2017-11-23 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming the same
US11884536B2 (en) * 2020-10-23 2024-01-30 AAC Technologies Pte. Ltd. Electrical interconnection structure, electronic apparatus and manufacturing methods for the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5874201A (en) * 1995-06-05 1999-02-23 International Business Machines Corporation Dual damascene process having tapered vias
JPH08335634A (ja) 1995-06-08 1996-12-17 Toshiba Corp 半導体装置の製造方法
JPH09153545A (ja) 1995-09-29 1997-06-10 Toshiba Corp 半導体装置及びその製造方法
JPH1064995A (ja) 1996-08-23 1998-03-06 Sony Corp 半導体装置の製造方法
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers

Also Published As

Publication number Publication date
US6228755B1 (en) 2001-05-08
JP2000091428A (ja) 2000-03-31

Similar Documents

Publication Publication Date Title
JP3309783B2 (ja) 半導体装置の製造方法
JPH079934B2 (ja) 半導体デバイスの製造方法
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
JP4226699B2 (ja) 半導体装置の製造方法
JP2003197738A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
US8703606B2 (en) Method for manufacturing semiconductor device having a wiring structure
JP3214475B2 (ja) デュアルダマシン配線の形成方法
TW200303599A (en) Manufacturing method of semiconductor device
US7557038B2 (en) Method for fabricating self-aligned contact hole
JP4201421B2 (ja) 半導体装置の製造方法
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
JP2000243836A (ja) 半導体素子の配線形成方法
JP3606272B2 (ja) 配線構造の形成方法
KR100997776B1 (ko) 반도체 소자의 제조방법
JP3353524B2 (ja) 接続孔を形成する工程を有する半導体装置の製造方法
JP3317279B2 (ja) 半導体装置の製造方法
JPH0897383A (ja) 半導体装置の製造方法
JPH07235594A (ja) 半導体装置の製造方法
JP3666560B2 (ja) 半導体装置の製造方法
JP2000208620A (ja) 半導体装置の製造方法
KR100807026B1 (ko) 반도체 장치 제조 방법
KR100681207B1 (ko) 반도체 소자의 콘택 플러그 형성방법
JP4196898B2 (ja) 半導体装置の製造方法
KR100587140B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR101006503B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080902

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081029

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081125

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081127

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111205

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111205

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111205

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111205

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121205

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121205

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131205

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees