JP3735855B2 - 半導体集積回路装置およびその駆動方法 - Google Patents

半導体集積回路装置およびその駆動方法 Download PDF

Info

Publication number
JP3735855B2
JP3735855B2 JP2000038972A JP2000038972A JP3735855B2 JP 3735855 B2 JP3735855 B2 JP 3735855B2 JP 2000038972 A JP2000038972 A JP 2000038972A JP 2000038972 A JP2000038972 A JP 2000038972A JP 3735855 B2 JP3735855 B2 JP 3735855B2
Authority
JP
Japan
Prior art keywords
integrated circuit
circuit device
semiconductor integrated
transfer gate
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000038972A
Other languages
English (en)
Other versions
JP2001230326A (ja
Inventor
直彦 杉林
徹 最上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2000038972A priority Critical patent/JP3735855B2/ja
Priority to US09/785,089 priority patent/US6515511B2/en
Publication of JP2001230326A publication Critical patent/JP2001230326A/ja
Application granted granted Critical
Publication of JP3735855B2 publication Critical patent/JP3735855B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は半導体集積回路装置とその駆動方法に関し、特に、スイッチング素子としてトランスファゲートを多く含む論理半導体集積回路装置とその駆動方法に関するものである。
【0002】
【従来の技術】
トランスファゲートを多用する論理半導体集積回路装置としてはFPGA(Field Programmable Gate Array)がよく知られている。FPGAとは、ユーザサイドにおいて自由に論理の変更を実行することができるように構成されたゲートアレイ型半導体集積回路装置のことである。
図23は、特開平9−148440号公報にて提案されたFPGAの概略の構成を示すブロック図である。この従来例においては、チップSUBの中央部に、論理機能を変更可能な可変論理ブロックPLBと、配線間接続状態を変更可能とするスイッチマトリックスSMXとが、縦方向および横方向に交互に配置されている。そして、可変論理ブロックPLBとスイッチマトリックスSMXとのアレイの2辺に沿って、可変論理ブロックPLBおよびスイッチマトリックスSMX内に設けられているメモリセルを選択してデータを書き込むためのXデコーダ回路X−DECとYデコーダ&書き込み回路Y−DEC&WDRが設けられ、さらにこれらの回路を囲むようにチップ周辺に沿って入出力バッファセルIOBが配置されている。
可変論理ブロックPLBには、論理を変更するトランスファゲートとメモリセルとトランスファゲートに入力する信号を制御するインバータ等が形成されている。また、スイッチマトリックスSMXには、トランスファゲートとトランスファゲートのオン/オフを制御するメモリセルが形成されている。トランスファゲートはnチャネルMOSトランジスタにより構成され、可変論理ブロックPLBおよびスイッチマトリックスSMXにおいて、全体のトランジスタの約1/6程度をトランスファゲートが占める。
【0003】
図24は、図23に示したFPGAの要部を示す断面図である。図24に示されるように、SMX形成領域においては、シリコン基板101上にp型ウェル102が形成され、またPLB形成領域には、p型ウェル103が形成されている。そして、SMX形成領域においては、ゲート電極G1およびソース・ドレイン領域105を有するMOSFETQn1が形成され、またPLB形成領域には、ゲート電極G2およびソース・ドレイン領域106を有するMOSFETQn2が形成されている。基板上には、層間絶縁膜111〜115が形成され、層間絶縁膜間には、各MOSFET間を接続する第1層メタル配線M1、第2層メタル配線M2、第3層メタル配線M3、第4層メタル配線M4が形成されている。
PLB形成領域の出力信号はMOSFETQn2のドレイン領域より得られ、メタル配線M1、M2、M3を介してSMX形成領域に伝達される。そして、スイッチトランジスタであるMOSFETQn1を介して、第4層メタル配線M4に伝達され、他の可変論理ブロックPLB上を通過して他のスイッチマトリックスSMX(いずれも図示なし)に伝達される。MOSFETQn1のオン/オフはSRAMなどのメモリ素子によって制御される。
【0004】
【発明が解決しようとする課題】
この種のプログラマブル論理集積回路では、配線に多数のトランスファゲートが接続されるが、上述した特開平9−148440号公報に記載された従来例においては、トランスファゲートがシリコン基板上に形成されたMOSトランジスタによって構成されていたため、トランジスタの接合容量が配線に寄生することにより配線に大きな寄生容量が付くことになる。さらに、配線中にトランスファゲートが挿入される都度配線が3層目、4層目から基板表面にまで引き下げられた後再び上層にまで引き上げなければならないため、配線長が長くなって寄生容量が一層増大するとともに寄生抵抗の増大を招いていた。
また、上述した従来例では、トランスファゲートを含む全てのトランジスタが同一平面上に形成されていたため、スイッチマトリックスSMXや可変論理ブロックPLBの面積が大きくなってしまう。そのために、FPGAの1チップあたりの搭載可能ゲート数を少なくし、延いては応用できるシステムが限定されることになっていた。このような問題はFPGAに特有の問題ではなく、FPGAとは呼ばれていなくても、スイッチが多用されそれをトランスファゲートにより構成しているプログラマブルデバイスに共通する問題である。また、プログラマブルデバイスではないが、デジタルニューロLSIのような、学習機能を持ったチップも多くのトランスファゲートが用いられ、同様の問題を抱えている。
本発明の課題は上述した従来技術の問題点を解決することであって、その目的は、第1に、FPGAなどの集積回路装置の配線に寄生する容量および抵抗を減少してトランスファゲートを多用する集積回路装置の動作高速化を可能ならしめることであり、第2に、この種の集積回路装置の高密度化、高集積化を実現し、トランスファゲートを多用するデバイスに搭載可能なゲート数を増加させることである。
【0005】
【課題を解決するための手段】
上記の目的を達成するため、本発明によれば、少なくとも一部の素子が半導体基板の表面部分に形成された複数の機能ブロックと、前記複数の機能ブロック間の接続経路を変更することのできる1ないし複数のトランスファゲートと、を備える半導体集積回路装置において、前記トランスファゲートの少なくとも一部は前記半導体基板上の絶縁膜上に形成された非単結晶薄膜トランジスタ ( TFT ) により構成されていることを特徴とする半導体集積回路装置、が提供される。
【0006】
また、上記の目的を達成するため、本発明によれば、少なくとも一部の素子が半導体基板の表面部分に形成された複数の機能ブロックと、前記半導体基板上に絶縁膜を介して形成された、前記複数の機能ブロック間の接続を変更することのできる1ないし複数のトランスファゲートと、を備える半導体集積回路装置の駆動方法であって、前記トランスファゲートの制御ゲートに、半導体基板の表面部分に形成された素子を駆動するために供給される電源電圧の電位と接地電位との電位差より大きい電位差を有する2つの電圧の内の何れかを印加して該トランスファゲートの導通・非導通を制御することを特徴とする半導体集積回路装置の駆動方法、が提供される。
【0007】
[作用]
本発明においては、配線間の接続経路を変更することのできるトランスファゲートが半導体基板上に絶縁膜を介して形成される。このように絶縁膜上に形成されるTFTなどの接合容量はバルク型トランジスタのそれに比較して極めて小さい。また、本発明によれば、トランスファゲートを配線層間に配置することが可能になるため、配線の途中にトランスファゲートを挿入したことによる配線長の長大化が抑制されることとなり、配線の寄生容量が一層低減される外寄生抵抗も低減することができる。従って、配線に多数の配線切り換え用のトランスファゲートが接続されるFPGAなどの論理型集積回路においては、トランスファゲートをTFTによって構成することにより、回路動作の高速化を実現することができる。
また、本発明によれば、配線間の接続経路を変更することのできるトランスファゲートが半導体基板上に絶縁膜を介して形成されるため、半導体基板上にバルク素子として搭載される素子数が減少することにより、チップ面積を縮小することが可能になる。あるいは、チップ面積が同一であれば素子数を増やして搭載するゲート数を増加させることが可能になる。
【0008】
集積回路装置を3次元化すれば高集積化が可能なことはよく知られたことである。しかし、FPGAなどの論理集積回路においては、一部の素子をTFTによって構成することは従来行われてこなかった。それは、TFTが、▲1▼動作が遅い、▲2▼リークが大きい、▲3▼放熱性に劣る、など様々な欠点があり、この素子を用いる場合には高機能・高性能の論理集積回路を実現することはできないと考えられてきたからである。
一方で、FPGAなどの論理集積回路において配線経路を変更するためのトランスファゲートは以下の特徴を有する。▲1▼トランスファゲートの全体の素子数に対する比率は1/4〜1/10程度である。▲2▼動作が行われるのは(すなわち、オンまたはオフに転じるのは)のは、電源投入時などの初期化が行われるときだけである。▲3▼ごく限られたトランスファゲートのみが導通状態に維持され、大多数のトランスファゲートはオフ状態に保持される。このような特徴を有する配線経路切り換え用トランスファゲートをTFTにより実現する場合には、上述したTFTに備わる特有の短所は以下のように克服される。
【0009】
配線切り換え用のトランスファゲートは上述したように、オンないしオフに転じるのは電源投入時などの初期化の行われる時のみであって、集積回路装置の論理動作には無関係であるので、その動作の遅・速は全く問題にならない。また、TFTのオフ時のリーク電流についてはゲート長を長くすることにより抑制することができる。トランジスタサイズを大きくすることができるのは、TFT化されるのは全素子の内の一部のみであって多くはバルク型素子のままにとどまるので、バルク型素子の上層に形成されるTFTには面積に余裕があり、大型化しても集積度が低下することはないからである。ゲート長を長く設定した場合には動作速度が低下することになるが、上述したように本発明の用途に用いられるTFTについては動作速度を問題とする必要はない。
また、本発明の用途に用いられるTFTにおいては、オン状態に保持されるのは少数にとどまり、大多数のTFTはオフ状態に維持されるので、放熱性に劣るTFTであっても、発熱問題を引き起こすことはない。
よって、本発明によれば、論理型集積回路の動作速度を向上させることができるとともに、高集積化、高密度化を実現して搭載可能なゲート数を増大させることが可能になる。
【0010】
【発明の実施の形態】
次に、本発明の実施の形態について実施例に即して図面を参照して詳細に説明する。
[第1の実施例]
図1は、本発明の第1の実施例としてのFPGAのレイアウト図である。図1に示されるように、チップ1の周辺部には入出力パッド2が配置され、またその中央部には基本セル3がマトリックス状に配置される。図2は、本実施例のFPGAの基本セルのブロック図である。同図に示されるように、基本セル3は、論理ゲート部4と配線選択部7により構成される。論理ゲート部4は、プログラマブル論理ゲート6と、プログラマブル論理ゲートをプログラムするSRAM5を有する。また、配線選択部7には、基本セル間信号バス10の配線間の接続を切り換える配線間スイッチ8とバス10の配線とプログラマブル論理ゲート6の入出力端子間の接続を切り換える入出力スイッチ9とが配置される。配線選択部7の各スイッチのオン/オフはSRAM5に記憶されているデータによって制御される。なお、SRAM5への記憶データの書き込みは、別に設けられたROM、EPROM、EEPROMなどを用いて電源投入時に行うことができる。
【0011】
図3は、配線選択部7の部分を回路図にて示した、本実施例の基本セル3のブロック図であり、図4は、本実施例の論理ゲート部4を、一部を回路図にて示すブロック図である。
図3に示されるように、Y方向信号バス101 は、配線A0、…、Anにより構成され、X方向信号バス102 は、配線B0、…、Bnにより構成されている。Y方向信号バス101 とX方向信号バス102 間の配線接続の変更は、配線間スイッチ8に属するトランスファゲートであるnチャネルエンハンスメント型のTFTQeより実現され、X方向信号バス102 とプログラマブル論理ゲート6の入力端子X、Y、出力端子OUTとの間の配線接続変更は、入出力スイッチ9に属するトランスファゲートであるnチャネルエンハンスメント型のTFTQeより実現される。
TFTのゲートに接続されるSRAMセル5aは、通常の論理回路の電源電圧VCCより高い電圧VBOOTにより駆動されている。このVBOOTはチップ内部に設置された昇圧回路により形成されている。SRAMからの出力振幅、従ってTFTのゲートに印加される電圧の振幅が、VBOOT−GND(接地電位)となされており、これによりバスの信号振幅がVCC−GNDになされている。このようにすることで、バスに付く寄生容量を格段に低く抑えつつトランスファゲートをCMOSで構成した場合と同じように信号振幅をVCC−GNDとすることができる。而して、SRAMの出力振幅をVBOOT−GNDとして大きくしても、非動作時のSRAMの消費電流は低くそしてSRAMが動作するのはプログラム時に1回のみであるので、発熱の問題は起こらない。
【0012】
図4に示されるように、本実施例のプログラマブル論理ゲート6は、入力端子X、Y、出力端子OUTを有する2入力1出力の論理ゲートであって、スイッチ部11と、スイッチ部11のトランスファゲートのゲートの入力電圧を形成するレベル変換器12およびインバータ13と、スイッチ部11の出力が入力される出力バッファ14とにより構成される。スイッチ部11のトランスファゲートも本実施例においてはnチャネルエンハンスメント型のTFTQeにより構成されている。そのため、レベル変換器12とインバータ13は昇圧電圧VBOOTによって駆動され、それらの出力信号振幅であるVBOOT/GNDがTFTQeのゲートに印加される。
スイッチ部11のTFTは、入力端子X、Yに入力される信号に従って何れか2つが導通し、これにより4つのSRAMセル5bのうちの何れかの記憶データが出力バッファ14に伝達されされるように構成されている。
本実施例においては、論理ゲート部のトランスファゲートをもTFTにより構成したことにより、論理ゲート部自体も3次元化されその分基本セルの面積を縮小することができるが、プログラマブル論理ゲート6のトランスファゲートは、配線選択部のトランスファゲートと異なり、論理集積回路の動作時にオン/オフ動作が繰り返される素子であり、かつ、TFTを駆動するレベル変換器12やインバータ13はSRAMセルと異なって消費電流の大きい回路であるため、論理ゲート部のスイッチ部をTFTにより構成することができるのは、TFTの発熱が特に問題とはならず、かつ、昇圧電圧VBOOTを外部より供給できる場合に限られる。
【0013】
図5は、本実施例の基本セルの、トランスファゲートが第3層配線と第4層配線との間に挿入された部分を示す断面図である。同図に示されるように、p型シリコン基板21の表面領域にはn型ウェル22と素子分離酸化膜23が形成されており、p型シリコン基板21の表面部分にはゲート電極24とn型拡散層26を有するnチャネルMOSトランジスタが形成され、またn型ウェル22の表面部分にはゲート電極25とp型拡散層27を有するpチャネルMOSトランジスタが形成されている。基板上は層間絶縁膜28によって覆われており、層間絶縁膜28内には、第1層、第2層および第3層配線30、32、38が形成され、層間絶縁膜28上には第4層配線40が形成されている。そして、第2層配線32と第3層配線38との間には、ゲート電極35と、チャネル領域となるp型ポリシリコン膜34と、ソース・ドレイン領域となるn型ポリシリコン膜36を有するnチャネルエンハンスメント型のTFTが形成されている。さらに、拡散層と配線層間および配線層と配線層との間にはこれらを接続する第1、第2、第4、第5導電性プラグ29、31、37、39が形成さている。
【0014】
次に、本発明の半導体集積回路装置の製造方法について説明する。図6、図7は、本発明の第1の実施例の、トランスファゲートが第2層配線と第3層配線との間に接続された部分での工程順の断面図である。
まず、図6(a)に示されるように、p型シリコン基板21上にnチャネルおよびpチャネルMOSトランジスタを形成し、第1導電性プラグ29を介して第1層配線30を形成し、さらに層間絶縁膜を介して第2層配線32を形成する。第1、第2層配線は、その後の高温熱処理に耐えられるように、タングステン(W)などの高融点金属を用いて形成される。
次に、図6(b)に示されるように、第2層配線32上に層間絶縁膜を形成し、この層間絶縁膜にビアホールを形成した後、Wとその表面を被覆するTiNからなる第3導電性プラグ33を形成する。表面をTiNで被覆するのはWがシリサイド化するのを防止するためである。そして、層間絶縁膜上全面にp型ポリシリコン膜34を堆積する。このp型ポリシリコン膜は、膜堆積時にボロンがドープされるようにしてもよく、またノンドープポリシリコンを堆積した後ボロンをイオン注入法などによりドープするようにしてもよい。そして、700℃程度の熱処理を実施してポリシリコンの結晶性を向上させる。
次に、図6(c)に示されるように、p型ポリシリコン膜34をこれがTFT形成領域上に島状に残るようにパターニングする。
【0015】
次に、図7(d)に示されるように、p型ポリシリコン膜34の表面を酸化してゲート絶縁膜となるシリコン酸化膜を形成した後その上にポリシリコンを堆積しこれをパターニングしてゲート電極35を形成する。次に、これをマスクとしてリン(P)をイオン注入し、600℃の熱処理を行って注入イオンの活性化を実施してn型ポリシリコン膜36を形成する。ゲート絶縁膜は、CVD法にて形成されたシリコン酸化膜またはシリコン窒化膜であってもよい。その後、全面に層間絶縁膜28を堆積する。
【0016】
次に、図7(e)に示されるように、層間絶縁膜にn型拡散層34に到達するビアホールを開口して第4導電性プラグ37を形成した後、その上にAlからなる第3層配線38を形成する。この状態でのレイアウト図を図8に示す。
その後、図7(f)に示されるように、さらに層間絶縁膜を堆積し、その上にAlなどからなる第4層配線を形成して、本実施例の製造工程が完了する。
【0017】
図9、図10は、本発明の第1の実施例の他の製造方法を示す工程順の断面図である。
まず、図9(a)に示されるように、p型シリコン基板21上に通常のプロセスにてCMOSデバイスと2層配線までを形成する。
次に、図9(b)に示されるように、CVD法により層間絶縁膜とp型ポリシリコン膜34を形成し、700℃程度の熱処理を行ってポリシリコン膜の結晶性を向上させる。続いて、図9(c)に示されるように、p型ポリシリコン膜をTFT形成領域に島状に残るようにパターニングする。
【0018】
次に、図10(d)に示されるように、p型ポリシリコン膜34上にゲート電極35を形成し、これをマスクとしてリン(P)をイオン注入し、600℃の熱処理を行って注入イオンの活性化を実施してn型ポリシリコン膜36を形成する。その後、全面に層間絶縁膜28を堆積する。
【0019】
次に、図10(e)に示されるように、層間絶縁膜に第2層配線32およびn型ポリシリコン膜36に到達するビアホールを開口して第4導電性プラグ37を形成した後、層間絶縁膜28上にAlなどからなる第3層配線38を形成する。この状態でのレイアウト図を図11に示す。
その後、図10(f)に示されるように、さらに層間絶縁膜を堆積し、その上にAlなどからなる第4層配線40を形成して、本実施例の製造工程が完了する。
【0020】
[第2の実施例]
次に、図12を参照して本発明の第2の実施例について説明する。本実施例における基本的な回路構成は、図1〜図4に示した第1の実施例と同様である。
本実施例においては、トランスファゲートを構成するMOSトランジスタとして、チャネルが垂直方向に形成される縦型薄膜トランジスタが用いられる。図12は、本実施例の基本セルの、トランスファゲートが第2層配線と第4層配線との間に挿入された部分を示す断面図とトランスファゲート付近のレイアウト図である。同図において、図5に示した第1の実施例の部分と対応する部分には同一の参照番号が付せられているので、重複する説明は省略する。
本実施例においては、ソース・ドレイン領域を構成するn型ポリシリコン膜36とこれに挟まれたチャネル領域を構成するp型ポリシリコン膜34との積層体が、第2層配線32と第4層配線40との間に第5導電性プラグ39を介して挿入されている。そして、p型ポリシリコン膜34の外周部にはゲート絶縁膜を介してn型ポリシリコン膜からなるゲート電極35が形成されている。ゲート電極35にはゲート配線となる第3層配線38が接続されている。
【0021】
次に、本実施例に係る半導体集積回路装置の製造方法について説明する。図13、図14は、本発明の第2の実施例の一製造方法を示す工程順の断面図である。
まず、図13(a)に示されるように、p型シリコン基板21上にnチャネルおよびpチャネルMOSトランジスタを形成し、第1導電性プラグ29を介して第1層配線30を形成し、さらに第2導電性プラグ31を介して第2層配線32を形成する。第1、第2層配線は、その後の高温熱処理に耐えられるように、タングステン(W)などの高融点金属を用いて形成される。
次に、図13(b)に示されるように、第2層配線32上に、TiN膜をバリアメタル層41として形成し、その上に減圧CVD法にてn型ポリシリコン膜36、p型ポリシリコン膜34、n型ポリシリコン膜36を順次堆積する。ポリシリコン膜への不純物ドーピングは、in situ にて行うことが望ましいが、イオン注入法を用いて行ってもよい。
次に、図13(c)に示すように、フォトリソグラフィ法によりポリシリコン膜をパターニングした後、CVD法にてゲート絶縁膜となるシリコン酸化膜42を堆積する。シリコン酸化膜42は熱酸化法により形成してもよい。次いで、図13(d)に示すように、n型ポリシリコンの堆積とそのエッチバックにより環状のゲート電極35を形成する。
【0022】
次に、図14(e)に示すように、CVD法により層間絶縁膜28を堆積し、CMP(chemical mechanical polishing )により、表面を平坦化する。次に、ゲート電極35の側面を露出させる配線溝を形成し、金属膜の堆積とCMPにより、図14(f)に示すように、配線溝内にゲート配線となる第3層配線38を形成する。その後、さらに層間絶縁膜を堆積し、ドレイン領域となるn型ポリシリコン膜36の表面を露出させるコンタクトホールを開孔し第5導電性プラグ39を埋め込む。その後、図14(g)に示すように、金属膜の堆積とそのパターニングにより、第4層配線40を形成する。
【0023】
図15、図16は、本発明の第2の実施例の他の製造方法を示す工程順の断面図である。
まず、図15(a)に示されるように、p型シリコン基板21上にnチャネルおよびpチャネルMOSトランジスタを形成し、第1導電性プラグ29を介して第1層配線30を形成し、さらに第2導電性プラグ31を介して第2層配線32を形成する。
次に、図15(b)に示されるように、第2層配線32上に、ポリシリコン膜との反応を防止するTiN膜をバリアメタル層41として形成し、その上に減圧CVD法にてポリシリコンを堆積しイオン注入法にてn型不純物を高濃度にドーピングしてn型ポリシリコン膜36を形成する。次に、図15(c)に示すように、n型ポリシリコン膜36をパターニングした後、層間絶縁膜を堆積しCMPにより表面の平坦化を行うとともにn型ポリシリコン膜36の表面を露出させる。次に、図15(d)に示すように、p型ポリシリコン膜とノンドープポリシリコン膜の堆積とを連続して行い、n型不純物を高濃度にイオン注入してp型ポリシリコン膜34とn型ポリシリコン膜36の積層膜を形成し、フォトリソグラフィ法によりこの積層膜をパターニングする。
【0024】
次に、図16(e)に示すように、熱酸化によりポリシリコン膜34、36の表面にゲート絶縁膜となるシリコン酸化膜42を形成した後、n型ポリシリコンの堆積とそのエッチバックにより、チャネル領域となるp型ポリシリコン膜34の側面に環状のゲート電極35を形成する。次に、CVD法により層間絶縁膜28を堆積し、CMPにより表面を平坦化した後、ゲート電極35の側面を露出させる配線溝を形成し、金属膜の堆積とCMPを行って、図16(f)に示すように、配線溝内にゲート配線となる第3層配線38を形成する。その後、さらに層間絶縁膜を堆積し、ドレイン領域となるn型ポリシリコン膜36の表面を露出させるコンタクトホールを開孔し第5導電性プラグ39を埋め込む。その後、図16(g)に示すように、金属膜の堆積とそのパターニングにより、第4層配線40を形成する。
なお、第2の実施例では、第2層配線−第4層配線間に薄膜トランジスタを挿入する例を示したが、第2層配線−第3層配線間など他の配線層間に形成するようにしてもよい。この場合に、ゲート電極を引き出すゲート配線は第1〜第4層配線の何れを用いて形成してもよい。あるいは第1〜第4層配線を使用することなく、独自の配線層によりゲート配線を形成してもよい。
【0025】
[第3の実施例]
図17は、本発明の第3の実施例における基本セルの、配線選択部7を回路図にて示すブロック図である。本実施例においては、配線選択部7と論理ゲート部のスイッチ部(図示なし)のトランスファゲートがnチャネルデプレション型TFTQdにより構成されている。この場合、TFTの閾値電圧は、負の値となるため、トランジスタをオフさせるために、SRAMセル5cからの信号の論理振幅をVCC−VNEGとする。このVNEGは、トランジスタを十分オフさせる電位であり、VBOOTと同様に内部で作っても良いし、外部から入力してもよい。
【0026】
図18は、本実施例において用いられるTFTの断面図である。このトランジスタでは、n型ポリシリコンゲート35a下のチャネル領域はノンドープポリシリコン膜34aにより構成されている。図3に示される第1の実施例で用いられていたnチャネルエンハンスメント型TFTの場合には、チャネル領域をp型にするか、ゲート電極をp型とする必要があり、プロセス工程が複雑になったりキャリア移動度が犠牲になったりする。本実施例のトランジスタではチャネル領域がノンドープポリシリコンとなるため、キャリア移動度が大きくなりトランジスタの駆動能力が上がるとともに、トランジスタをソース・ドレイン領域をn型ポリシリコン膜36とし、ゲート電極をn型ポリシリコン膜とすることで作成できるので、プロセス工程を単純化できる。
なお、図12に示した縦型薄膜トランジスタをデプレション型に形成することもできる。
【0027】
[第4の実施例]
図19(a)は、本発明の第4の実施例における基本セルの構成を示すブロック図である。本実施例においては、基本セル3内の論理ゲートが固定論理ゲート6aになされ、かつ論理ゲートの出力は入出力スイッチを介することなく基本セル間信号バス10の配線を直接駆動するようになされている。TFTは、第3の実施例の場合のようにチャネル領域をノンドープポリシリコンにより形成しても、ポリシリコン膜厚みによる閾値ばらつきの問題があり、負荷が大きい場合には閾値のばらつきが信号遅延のばらつきとして現れる。そこで、本実施例においては、配線選択部7に入出力スイッチに代えて入力スイッチ9aを設け、論理ゲートへのプログラミングは入力信号についてのみ行い、出力信号は、基板上に形成された固定論理ゲート6aの出力バッファにより直接信号バスに出力するようにする。
図19(b)は、第4の実施例の変更例を示すブロック図であって、固定論理ゲート6aに代えてプログラマブル論理ゲート6が用いられている。この場合にプログラマブル論理ゲート6のトランスファゲートはバルク型MOSトランジスタによって構成してもまたTFTによって構成してもよい。
【0028】
[第5の実施例]
図20は、本発明の第5の実施例を示す要部のブロック図である。本実施例においては、上述した第4の実施例の場合よりも論理ゲートの出力がさらに重い負荷を駆動しなければならない状態が想定されている。このような場合には、第4の実施例と同様に論理ゲートの出力バッファを信号バスと直結するとともに信号バスの途中にリピータを設けるのがよい。本実施例においては、リピータには複数バス内から1つのバスを選択する機能が付加されている。すなわち、図20に示されるように、図19(a)の構成を有する基本セル31 の固定論理ゲートの出力バッファは、論理ゲートグループ共通信号バス10aを直接駆動する。この共通信号バス10aには、近距離の基本セル32 、33 、…の入力回路が接続されている。そして、この論理ゲートグループ共通信号バス10aは、他の同種の共通信号バスとともにバス選択回路&リピータ15に入力され、SRAMセル5aによって選択された共通信号バスの信号が遠距離に存在する基本セル…、3Nに入力される。この場合、共通信号バスの選択は図示されたようにリピータの入力側で選択するようにするのがよい。
本実施例においては、論理ゲートを固定とし入力スイッチのみで所望の論理を得るようにしている。このような入力スイッチだけでプログラムする構成は、スイッチが多くなり面積的に不利なことや、1本の信号バスに付くスイッチが増えるので、従来行われてこななかった。しかし、本発明の構成では、3次元化されていることにより面積は大きくならないことと、スイッチがバスに付くことによる信号線の寄生容量の増加がTFTの採用で抑えられていることのため、面積増大や配線負荷増大の問題は生じない。
【0029】
図21に第5の実施例のレイアウト図を示す。本実施例においては、基本セルの一種であるバス選択回路&リピータ15が、他の基本セル3のグループ間に配置される。このセルでは、リピータ本体とSRAMセルとが半導体基板表面に形成されその上層にトランスファゲートであるTFTが形成される。本実施例においては、基本セル3間にバス選択回路&リピータ15が挿入されたことによりその分は面積が犠牲となるが、信号の遅延ばらつきがなくなることにより、高速なプログラマブルデバイスを作ることが可能になる。また、この実施例では、基本セル3においてはSRAMからの信号を配線選択部のみに入力するようにしているので、SRAMからの配線の引き回しが容易となり、またSRAM配置の自由度も増している。このため、SRAMを基本セル間で共有することも可能になっている。
【0030】
[第6の実施例]
図22は、本発明の第6の実施例の基本セルたるニューロンの構成を示すブロック図である。上述した各実施例では、本発明をプログラマブル素子に適応した例について説明したが、本発明はディジタルニューロデバイスについても適応することができる。本実施例のニューロン19は、図22に示すように、重みメモリ16、積和演算器17、判定器18、SRAM5および入力スイッチ9aにより構成される。ここで、重みメモリ16、積和演算器17、判定器18が論理ゲート部を構成し、シナプス結合部に当たる部分が入力スイッチ部となる。
ニューロン間共通信号バス10bより入力スイッチ9aを介して積和演算器17に入力された信号は、重みメモリ16の記憶内容に基づいて重み付けがなされた後、積算される。判定器18は、積和演算器17の演算結果と入力スイッチ9aを介して取り込まれた閾値とを比較して判定信号を出力する。その判定信号は共通信号バス10bに出力される外、重みメモリ16にフィードバックされて重みメモリの記憶データの変更に利用される。
【0031】
デジタルニューロデバイスは、全結合型にした方が、すなわち、ニューロン間を全て配線にて接続する方が、自由度が増し、プログラミングが楽になるが、配線を全結合するのは配線が輻輳しチップ面積が大きくなる問題がある。しかし、本発明においてはスイッチが配線層間に配置されていることにより配線の輻輳を緩和してシナプスのための配線層を形成することができた。この際に、全結合のシナプスの重みをすべて重みメモリで記憶するのは事実上不可能なので、スイッチ部は、重みを記憶するシナプスの選択に使用される。
このように本発明はプログラマブル素子だけでなく、スイッチを多用するLSIであれば、どのようなものにも適用できる。さらには、現在はトランスファゲートを多用しないLSIを多用するように論理を組み替えて適用することも可能である。
【0032】
以上好ましい実施例について説明したが、本発明はこれら実施例に限定されるものではなく、本発明の要旨を変更しない範囲内において適宜の変更が可能なものである。例えば、トランスファゲートをTFTによって構成するのに代えシリコン基板上にSOI構造を形成しここにトランスファゲートを形成するようにしてもよい。また、TFTを2つ乃至それ以上の層に分けて形成するようにしてもよい。また、実施例ではSRAMセルを分散配置していたがこの方式に代え集中配置するようにしてもよい。さらに、SRAMをDRAM、ROM、EPROM、EEPROM(フラッシュメモリを含む)に置き換えることもできる。また、TFTの活性層となるポリシリコン膜の結晶性を向上させるための熱処理は、レーザ光を照射することにより行うこともできる。そのようにする場合にはTFTの下層の配線層はAl系材料を用いて形成してもよい。
【0033】
【発明の効果】
以上説明したように、本発明によれば、信号間のスイッチとなっているトランスファゲートを選択的に3次元化することにより、配線に付く寄生容量を格段に小さくすることが可能になり、プログラマブルデバイスやニューロデバイスの動作高速化を実現することができる。さらに、トランスファゲートを選択的に3次元化したことにより、リーク電流を増大させたり発熱問題を引き起こしたりすることなくチップ面積を縮小することが可能になり、チップ当たりに搭載可能な基本セルやニューロンを増やすことができ、プログラマブル素子やニューロデバイスの適用範囲を広げることができる。
【図面の簡単な説明】
【図1】 本発明の第1の実施例の概略レイアウト図。
【図2】 本発明の第1の実施例のブロック図。
【図3】 本発明の第1の実施例の部分回路図。
【図4】 本発明の第1の実施例の部分回路図。
【図5】 本発明の第1の実施例の断面図。
【図6】 本発明の第1の実施例の一製造方法を示す工程順の断面図(その1)。
【図7】 本発明の第1の実施例の一製造方法を示す工程順の断面図(その2)。
【図8】 本発明の第1の実施例の一製造方法の一製造工程段階における部分平面図。
【図9】 本発明の第1の実施例の他の製造方法を示す工程順の断面図(その1)。
【図10】 本発明の第1の実施例の他の製造方法を示す工程順の断面図(その1)。
【図11】 本発明の第1の実施例の一製造工程段階における部分平面図。
【図12】 本発明の第2の実施例の断面図とレイアウト図。
【図13】 本発明の第2の実施例の一製造方法を示す工程順の断面図(その1)。
【図14】 本発明の第2の実施例の一製造方法を示す工程順の断面図(その2)。
【図15】 本発明の第2の実施例の他の製造方法を示す工程順の断面図(その1)。
【図16】 本発明の第2の実施例の他の製造方法を示す工程順の断面図(その2)。
【図17】 本発明の第3の実施例の部分回路図。
【図18】 本発明の第3の実施例において用いられるTFTの断面図。
【図19】 本発明の第4の実施例における基本セルのブロック図。
【図20】 本発明の第5の実施例の要部回路図。
【図21】 本発明の第5の実施例のレイアウト図。
【図22】 本発明の第6の実施例の基本セルのブロック図。
【図23】 従来例のレイアウト図。
【図24】 従来例の断面図。
【符号の説明】
1 チップ
2 入出力パッド
3、31 、32 、33 、…、3N 基本セル
4 論理ゲート部
5 SRAM
5a、5b、5c SRAMセル
6 プログラマブル論理ゲート
6a 固定論理ゲート
7 配線選択部
8 配線間スイッチ
9 入出力スイッチ
9a 入力スイッチ
10 基本セル間信号バス
101 Y方向信号バス
102 X方向信号バス
10a 論理ゲートグループ共通信号バス
10b ニューロン間共通信号バス
11 スイッチ部
12 レベル変換器
13 インバータ
14 出力バッファ
15 バス選択回路&リピータ
16 重みメモリ
17 積和演算器
18 判定器
19 ニューロン
21 p型シリコン基板
22 n型ウェル
23 素子分離酸化膜
24、25 ゲート電極
26 n型拡散層
27 p型拡散層
28 層間絶縁膜
29 第1導電性プラグ
30 第1層配線
31 第2導電性プラグ
32 第2層配線
33 第3導電性プラグ
34 p型ポリシリコン膜
34a ノンドープポリシリコン膜
35 ゲート電極
35a n型ポリシリコンゲート
36 n型ポリシリコン膜
37 第4導電性プラグ
38 第3層配線
39 第5導電性プラグ
40 第4層配線
41 バリアメタル層
42 シリコン酸化膜
101 シリコン基板
102、103 p型ウェル
111〜115 層間絶縁膜
Qe nチャネルエンハンスメント型TFT
Qd nチャネルデプレション型TFT

Claims (22)

  1. 少なくとも一部の素子が半導体基板の表面部分に形成された複数の機能ブロックと、前記複数の機能ブロック間の接続経路を変更することのできる1ないし複数のトランスファゲートと、を備える半導体集積回路装置において、前記トランスファゲートの少なくとも一部は前記半導体基板上の絶縁膜上に形成された非単結晶薄膜トランジスタ ( TFT ) により構成されていることを特徴とする半導体集積回路装置。
  2. 前記複数の機能ブロックの中の少なくとも一つは、その機能ブロック内にその論理を変更することのできる1ないし複数のトランスファゲートを備え、該トランスファゲートの少なくとも一部は前記半導体基板上の絶縁膜上に形成された非単結晶薄膜トランジスタ ( TFT ) により構成されていることを特徴とする請求項1記載の半導体集積回路装置。
  3. 基本セルが、機能ブロックと、複数の機能ブロック間の接続経路を変更することのできるトランスファゲートを有するトランスファゲートブロックと、を有して構成されており、前記基本セルが複数個マトリックス状に配置されていることを特徴とする請求項1または2記載の半導体集積回路装置。
  4. 前記トランスファゲートブロック内の前記トランスファゲートが、配線間の配線接続スイッチ、および/または、基本セルへの入出力の接続スイッチを構成していることを特徴とする請求項3記載の半導体集積回路装置。
  5. 前記基本セルが、ニューロンを構成しており、当該基本セルに含まれるトランスファゲートがシナプス結合部を構成していることを特徴とする請求項3記載の半導体集積回路装置。
  6. 機能ブロック間を接続する配線内にリピータが挿入されていることを特徴とする請求項1〜5の何れかに記載の半導体集積回路装置。
  7. 前記リピータには、配線を選択するトランスファゲートが付設されていることを特徴とする請求項6記載の半導体集積回路装置。
  8. 前記リピータは、リピータの本体を構成するバッファアンプを機能ブロックとして半導体基板上に有し、その上層に前記トランスファゲートを有して基本セルとして構成されていることを特徴とする請求項7記載の半導体集積回路装置。
  9. 前記半導体基板と前記トランスファゲートとの間に下層配線層が形成され、前記トランスファゲート上に上層配線層が形成されていることを特徴とする請求項1〜8の何れかに記載の半導体集積回路装置。
  10. 前記下層配線層が第1層および第2層配線により構成され、前記下層配線層が第3層および第4層配線により構成されていることを特徴とする請求項9記載の半導体集積回路装置。
  11. 前記トランスファゲートの導通・非導通を制御する素子が、半導体集積回路装置内に内蔵された、SRAM、DRAM、ROM、EPROMまたはEEPROMの内の何れかのメモリセルであることを特徴とする請求項1〜10の何れかに記載の半導体集積回路装置。
  12. 前記トランスファゲートの導通・非導通を制御する素子がSRAMまたはDRAMの内の何れかであって、当該半導体集積回路装置内には前記SRAMまたはDRAMの電源投入時の初期状態を規制するROM、EPROMまたはEEPROMの内の何れかが更に内蔵されていることを特徴とする請求項1〜10の何れかに記載の半導体集積回路装置。
  13. 前記トランスファゲートの導通・非導通を制御するSRAM、DRAM、ROM、EPROMまたはEEPROMは、制御の対象であるトランスファゲート毎にその下層に分散配置されていることを特徴とする請求項11または12記載の半導体集積回路装置。
  14. 論理演算を行い少なくともその一部が半導体基板の表面部分に形成された複数の論理回路と、前記論理回路間にあって配線を接・断することができかつ前記論理回路が論理演算実行中であるときには導通・非導通が切り替わることのないトランスファゲートと、を含む半導体集積回路装置において、前記トランスファゲートの少なくとも一部は前記半導体基板上の絶縁膜上に形成された非単結晶薄膜トランジスタ ( TFT ) により構成されていることを特徴とする半導体集積回路装置。
  15. 前記薄膜トランジスタの全部または一部は、チャネル電流が垂直方向に流れる縦型薄膜トランジスタによって構成されていることを特徴とする請求項1〜14の何れかに記載の半導体集積回路装置。
  16. 前記薄膜トランジスタは、nチャネルエンハンスメント型トランジスタ、nチャネルデプレション型トランジスタ、pチャネルエンハンスメント型トランジスタまたはpチャネルデプレション型トランジスタの中の何れか1種で構成されていることを特徴とする請求項1〜15の何れかに記載の半導体集積回路装置。
  17. 前記薄膜トランジスタのチャネル領域には不純物が故意には添加されていないことを特徴とする請求項1〜16の何れかに記載の半導体集積回路装置。
  18. 少なくとも一部の素子が半導体基板の表面部分に形成された複数の機能ブロックと、前記半導体基板上に絶縁膜を介して形成された、前記複数の機能ブロック間の接続を変更することのできる1ないし複数のトランスファゲートと、を備える半導体集積回路装置の駆動方法であって、前記トランスファゲートの制御ゲートに、半導体基板の表面部分に形成された素子を駆動するために供給される電源電圧の電位と接地電位との電位差より大きい電位差を有する2つの電圧の内の何れかを印加して該トランスファゲートの導通・非導通を制御することを特徴とする半導体集積回路装置の駆動方法。
  19. 前記電源電圧の電位と接地電位との電位差より大きい電位差を有する2つの電圧の一方が電源電圧より大電圧の昇圧電圧であって他方が接地電圧であることを特徴とする請求項18記載の半導体集積回路装置の駆動方法。
  20. 前記電源電圧の電位と接地電位との電位差より大きい電位差を有する2つの電圧の一方が電源電圧であり他方が電源電圧とは極性の異なる符号反転電圧であることを特徴とする請求項18記載の半導体集積回路装置の駆動方法。
  21. 前記昇圧電圧または前記符号反転電圧が当該半導体集積回路装置内において形成される電圧であることを特徴とする請求項19または20記載の半導体集積回路装置の駆動方法。
  22. 前記トランスファゲートが、薄膜トランジスタであることを特徴とする請求項18〜21の何れかに記載の半導体集積回路装置の駆動方法。
JP2000038972A 2000-02-17 2000-02-17 半導体集積回路装置およびその駆動方法 Expired - Fee Related JP3735855B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000038972A JP3735855B2 (ja) 2000-02-17 2000-02-17 半導体集積回路装置およびその駆動方法
US09/785,089 US6515511B2 (en) 2000-02-17 2001-02-16 Semiconductor integrated circuit and semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000038972A JP3735855B2 (ja) 2000-02-17 2000-02-17 半導体集積回路装置およびその駆動方法

Publications (2)

Publication Number Publication Date
JP2001230326A JP2001230326A (ja) 2001-08-24
JP3735855B2 true JP3735855B2 (ja) 2006-01-18

Family

ID=18562618

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000038972A Expired - Fee Related JP3735855B2 (ja) 2000-02-17 2000-02-17 半導体集積回路装置およびその駆動方法

Country Status (2)

Country Link
US (1) US6515511B2 (ja)
JP (1) JP3735855B2 (ja)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
KR100904771B1 (ko) * 2003-06-24 2009-06-26 이상윤 3차원 집적회로 구조 및 제작 방법
US20020147691A1 (en) * 2001-04-05 2002-10-10 Davis Dustin M. Method and system for consummating a transaction in a biometric verification system based on prior transactional histories
US6781409B2 (en) * 2001-10-10 2004-08-24 Altera Corporation Apparatus and methods for silicon-on-insulator transistors in programmable logic devices
JP3643067B2 (ja) * 2001-10-11 2005-04-27 株式会社半導体エネルギー研究所 半導体表示装置の設計方法
JP2003273336A (ja) * 2002-03-13 2003-09-26 Nec Electronics Corp 汎用ロジックセルアレイ及びこれを用いたasic
JP2003332429A (ja) * 2002-05-09 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US7064018B2 (en) * 2002-07-08 2006-06-20 Viciciv Technology Methods for fabricating three dimensional integrated circuits
US7312109B2 (en) * 2002-07-08 2007-12-25 Viciciv, Inc. Methods for fabricating fuse programmable three dimensional integrated circuits
US7673273B2 (en) * 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US20040004251A1 (en) * 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
US7064579B2 (en) * 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US20040018711A1 (en) * 2002-07-08 2004-01-29 Madurawe Raminda U. Methods for fabricating three dimensional integrated circuits
US7129744B2 (en) * 2003-10-23 2006-10-31 Viciciv Technology Programmable interconnect structures
US6992503B2 (en) * 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
JP4729609B2 (ja) * 2002-07-31 2011-07-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4343571B2 (ja) 2002-07-31 2009-10-14 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4223258B2 (ja) * 2002-09-30 2009-02-12 株式会社半導体エネルギー研究所 半導体表示装置の受注方法
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US7812458B2 (en) * 2007-11-19 2010-10-12 Tier Logic, Inc. Pad invariant FPGA and ASIC devices
US7071734B2 (en) * 2002-10-15 2006-07-04 Altera Corporation Programmable logic devices with silicon-germanium circuitry and associated methods
US7084666B2 (en) * 2002-10-21 2006-08-01 Viciciv Technology Programmable interconnect structures
JP4410499B2 (ja) * 2003-06-26 2010-02-03 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US7019557B2 (en) * 2003-12-24 2006-03-28 Viciciv Technology Look-up table based logic macro-cells
US7176716B2 (en) * 2003-12-24 2007-02-13 Viciciv Technology Look-up table structure with embedded carry logic
US7317264B2 (en) * 2003-11-25 2008-01-08 Eaton Corporation Method and apparatus to independently control contactors in a multiple contactor configuration
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
US7336097B2 (en) * 2003-12-24 2008-02-26 Viciciv, Inc. Look-up table structure with embedded carry logic
US7176713B2 (en) * 2004-01-05 2007-02-13 Viciciv Technology Integrated circuits with RAM and ROM fabrication options
KR100564611B1 (ko) * 2004-02-14 2006-03-29 삼성전자주식회사 하드 디스크 드라이브의 완충 구조체
US7489164B2 (en) * 2004-05-17 2009-02-10 Raminda Udaya Madurawe Multi-port memory devices
JP4731849B2 (ja) * 2004-07-16 2011-07-27 株式会社アドバンテスト 半導体集積回路の製造方法
KR100580640B1 (ko) * 2004-12-17 2006-05-16 삼성전자주식회사 다결정 실리콘 필름의 제조방법 및 이를 이용한 적층형트랜지스터의 제조방법
DE102005036066B3 (de) * 2005-08-01 2006-09-21 Siemens Ag Bauelement mit einer in ihrer Funktionalität konfigurierbaren Schaltungsanordnung
DE602006016537D1 (de) * 2005-11-25 2010-10-14 Semiconductor Energy Lab Betriebsverfahren und Anordnung eines Halbleiterspeichers
US7486111B2 (en) * 2006-03-08 2009-02-03 Tier Logic, Inc. Programmable logic devices comprising time multiplexed programmable interconnect
US20080024165A1 (en) * 2006-07-28 2008-01-31 Raminda Udaya Madurawe Configurable embedded multi-port memory
US7577010B2 (en) * 2007-03-14 2009-08-18 Qimonda Ag Integrated circuits, methods for manufacturing integrated circuits, integrated memory arrays
US7847586B2 (en) * 2007-08-20 2010-12-07 Northern Lights Semiconductor Corp. Integrate circuit chip with magnetic devices
US20090128189A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
US7635988B2 (en) * 2007-11-19 2009-12-22 Tier Logic, Inc. Multi-port thin-film memory devices
US7795913B2 (en) * 2007-12-26 2010-09-14 Tier Logic Programmable latch based multiplier
US7573294B2 (en) * 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US7602213B2 (en) * 2007-12-26 2009-10-13 Tier Logic, Inc. Using programmable latch to implement logic
US7573293B2 (en) * 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
CN105070715B (zh) * 2009-10-21 2018-10-19 株式会社半导体能源研究所 半导体装置
EP2494594B1 (en) * 2009-10-29 2020-02-19 Semiconductor Energy Laboratory Co. Ltd. Semiconductor device
KR20240042253A (ko) 2009-10-29 2024-04-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
SG178895A1 (en) * 2009-10-30 2012-04-27 Semiconductor Energy Lab Semiconductor device
WO2011062068A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR101811999B1 (ko) 2009-11-20 2017-12-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR101922849B1 (ko) 2009-11-20 2018-11-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
EP3550604A1 (en) 2009-12-25 2019-10-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN102804360B (zh) 2009-12-25 2014-12-17 株式会社半导体能源研究所 半导体装置
US8780629B2 (en) 2010-01-15 2014-07-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and driving method thereof
US8415731B2 (en) 2010-01-20 2013-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor storage device with integrated capacitor and having transistor overlapping sections
WO2011099335A1 (en) * 2010-02-12 2011-08-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
FR2961016B1 (fr) * 2010-06-07 2013-06-07 Commissariat Energie Atomique Circuit integre a dispositif de type fet sans jonction et a depletion
WO2012002186A1 (en) 2010-07-02 2012-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
DE112011102644B4 (de) 2010-08-06 2019-12-05 Semiconductor Energy Laboratory Co., Ltd. Integrierte Halbleiterschaltung
JP2012256821A (ja) 2010-09-13 2012-12-27 Semiconductor Energy Lab Co Ltd 記憶装置
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8159265B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Memory for metal configurable integrated circuits
US8159266B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Metal configurable integrated circuits
US8159268B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Interconnect structures for metal configurable integrated circuits
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
TWI614747B (zh) * 2011-01-26 2018-02-11 半導體能源研究所股份有限公司 記憶體裝置及半導體裝置
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8987728B2 (en) 2011-03-25 2015-03-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing semiconductor device
CN102760697B (zh) 2011-04-27 2016-08-03 株式会社半导体能源研究所 半导体装置的制造方法
US8476927B2 (en) * 2011-04-29 2013-07-02 Semiconductor Energy Laboratory Co., Ltd. Programmable logic device
JP5947099B2 (ja) 2011-05-20 2016-07-06 株式会社半導体エネルギー研究所 半導体装置
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8981367B2 (en) 2011-12-01 2015-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP6081171B2 (ja) 2011-12-09 2017-02-15 株式会社半導体エネルギー研究所 記憶装置
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9208849B2 (en) 2012-04-12 2015-12-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for driving semiconductor device, and electronic device
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
JP6570817B2 (ja) 2013-09-23 2019-09-04 株式会社半導体エネルギー研究所 半導体装置
JP2015084418A (ja) 2013-09-23 2015-04-30 株式会社半導体エネルギー研究所 半導体装置
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
WO2016128853A1 (en) * 2015-02-09 2016-08-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, electronic component, and electronic device
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
EP3093995B1 (en) * 2015-05-13 2020-06-17 IMEC vzw Integrated circuit with low power consumption for point-to-two-point signal communication
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US9773787B2 (en) 2015-11-03 2017-09-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, memory device, electronic device, or method for driving the semiconductor device
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
WO2017111775A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Back-end repeater element integration techniques
WO2018002774A1 (en) 2016-06-29 2018-01-04 Semiconductor Energy Laboratory Co., Ltd. Electronic device, operation method of the electronic device, and moving vehicle
US10504204B2 (en) * 2016-07-13 2019-12-10 Semiconductor Energy Laboratory Co., Ltd. Electronic device
KR102458660B1 (ko) 2016-08-03 2022-10-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치 및 전자 기기
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US10283411B1 (en) * 2018-01-02 2019-05-07 International Business Machines Corporation Stacked vertical transistor device for three-dimensional monolithic integration
JP7344904B2 (ja) 2018-12-21 2023-09-14 株式会社半導体エネルギー研究所 半導体装置
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US20210034953A1 (en) * 2019-08-02 2021-02-04 Applied Materials, Inc. Reconfigurable finfet-based artificial neuron and synapse devices

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212652A (en) * 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
JPH0562474A (ja) 1991-08-29 1993-03-12 Nec Corp 半導体メモリ装置
JPH06104405A (ja) 1992-09-22 1994-04-15 Toshiba Corp スタティック型メモリ
JPH0732200A (ja) 1993-07-14 1995-02-03 Sumitomo Metal Mining Co Ltd 耐食性に優れたSn含有NdFeB系焼結磁石の製造方法
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6331790B1 (en) * 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array

Also Published As

Publication number Publication date
JP2001230326A (ja) 2001-08-24
US6515511B2 (en) 2003-02-04
US20010015450A1 (en) 2001-08-23

Similar Documents

Publication Publication Date Title
JP3735855B2 (ja) 半導体集積回路装置およびその駆動方法
JP4024857B2 (ja) 半導体集積回路装置
JP5130596B2 (ja) 半導体装置
US7570509B2 (en) Semiconductor device, logic circuit and electronic equipment
EP0535681B1 (en) Semiconductor body, its manufacturing method, and semiconductor device using the body
US5198683A (en) Integrated circuit memory device and structural layout thereof
JPH04162668A (ja) 半導体装置およびその製造方法
JP2001352077A (ja) Soi電界効果トランジスタ
KR19990062569A (ko) 박막 및 벌크 실리콘 트랜지스터를 조합한 병합된 로직 및 메모리
JPH077143A (ja) 二重バッファベースゲートアレイセル
US7777263B2 (en) Semiconductor integrated circuit device comprising SRAM and capacitors
US8367505B2 (en) Memory in logic cell
JPH09232447A (ja) 半導体メモリ装置
TWI820629B (zh) 單片式晶粒和用於重新配置晶片系統的記憶體架構的平台
US6781869B2 (en) Semiconductor memory
US7154133B1 (en) Semiconductor device and method of manufacture
JP2000223575A (ja) 半導体装置の設計方法、半導体装置および半導体装置の製造方法
JPH07130880A (ja) 半導体記憶装置
US20220115080A1 (en) Semiconductor storage device
KR20050024099A (ko) 에스램 소자의 제조방법 및 그에 의해 제조된 에스램 소자
JP2004006868A (ja) 半導体集積回路装置
CN116266994A (zh) 高性能运算和高储存容量的同构/异构集成电路***
JP4032211B2 (ja) 半導体装置、メモリシステムおよび電子機器
JP3070060B2 (ja) 半導体メモリ
JP2004079720A (ja) 半導体装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051003

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051016

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081104

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091104

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091104

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101104

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111104

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111104

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121104

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121104

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131104

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees