JP2687842B2 - 信号変換システムおよびデシメーションフィルタ - Google Patents

信号変換システムおよびデシメーションフィルタ

Info

Publication number
JP2687842B2
JP2687842B2 JP5133504A JP13350493A JP2687842B2 JP 2687842 B2 JP2687842 B2 JP 2687842B2 JP 5133504 A JP5133504 A JP 5133504A JP 13350493 A JP13350493 A JP 13350493A JP 2687842 B2 JP2687842 B2 JP 2687842B2
Authority
JP
Japan
Prior art keywords
pcm
clock
decimation
coefficient
sequence
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP5133504A
Other languages
English (en)
Other versions
JPH06132829A (ja
Inventor
アビアットゥ ジャン−クロード
ブラン アラン
ジャニィヨ パトリック
リシュテール ジェラール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH06132829A publication Critical patent/JPH06132829A/ja
Application granted granted Critical
Publication of JP2687842B2 publication Critical patent/JP2687842B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H17/00Networks using digital techniques
    • H03H17/02Frequency selective networks
    • H03H17/06Non-recursive filters
    • H03H17/0621Non-recursive filters with input-sampling frequency and output-delivery frequency which differ, e.g. extrapolation; Anti-aliasing
    • H03H17/0635Non-recursive filters with input-sampling frequency and output-delivery frequency which differ, e.g. extrapolation; Anti-aliasing characterized by the ratio between the input-sampling and output-delivery frequencies
    • H03H17/065Non-recursive filters with input-sampling frequency and output-delivery frequency which differ, e.g. extrapolation; Anti-aliasing characterized by the ratio between the input-sampling and output-delivery frequencies the ratio being integer
    • H03H17/0664Non-recursive filters with input-sampling frequency and output-delivery frequency which differ, e.g. extrapolation; Anti-aliasing characterized by the ratio between the input-sampling and output-delivery frequencies the ratio being integer where the output-delivery frequency is lower than the input sampling frequency, i.e. decimation

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Mathematical Physics (AREA)
  • Compression, Expansion, Code Conversion, And Decoders (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、シグマデルタ(ΣΔ)
パルス列を対応するPCM(pulse coded modulation) サン
プル列に変換する信号変換システムおよびデシメーショ
ンフィルタに関する。
【0002】なお、本明細書の記述は本件出願の優先権
の基礎たるフランス国特許出願第92480099.8
号の明細書の記載に基づくものであって、当該フランス
国特許出願の番号を参照することによって当該フランス
国特許出願の明細書の記載内容が本明細書の一部分を構
成するものとする。
【0003】
【従来の技術】ΣΔ技法は、線形で、正確で、単一のア
ナログディジタル変換器を実現するのに非常に興味があ
るものである。ΣΔコーダおよびデコーダは、非常に多
くの電子コンポーネントを必要とするデシメーション回
路を利用する必要がある。そのため、デシメーション回
路はVLSI(very large scale i
ntegrated technology)コンポー
ネントにより具現化される。
【0004】図7を説明する。図7はアナログディジタ
ル変換器の慣用的な基本構造であり、このアナログディ
ジタル変換器はΣΔ変換器130を用いて、信号線11
0上のアナログ入力信号をΣΔパルスに変換し、信号線
120上に出力する。そして、高レベルの帯域外量子化
ノイズを備えているΣΔパルス列は、デシメーションフ
ィルタ170に入力される。デシメーションフィルタ1
70はΣΔパルスをPCM サンプル系列に変換し、信号線
140上に出力する。そのため、デシメーション回路1
70は低域ディジタルフィルタ150を含む。この低域
ディジタルフィルタ150は帯域外量子化ノイズを抑制
し、しかも、そのデシメーションプロセスの間での帯域
折り返しを回避する。また、デシメーション回路17
0は特定のデシメーション要素160を含む。このデシ
メーション要素160は低域フィルタの出力信号をサン
プリングダウンする。このサンプリングは、個のサン
プルに対して1個のPCM サンプルを取り出して行われ
る。Nはそのプロセスのデシメーション係数と呼ばれ
る。
【0005】図8を説明する。図8は慣用の単一ループ
ΣΔ変換器を示す。この単一ループΣΔ変換器は演算増
幅器214とDラッチ215を基本要素とする。アナロ
グ信号上のDC成分をコンデンサ210により適正に抑制
した後、コード化される信号が積分器に入力される。こ
の積分器は演算増幅器214、抵抗211、およびコン
デンサ213を基本要素とする。演算増幅器214の出
力はDラッチ215に伝送される。Dラッチ215の非
反転出力は演算増幅器214に戻される。従って、Dラ
ッチ215は、クロック入力信号線上のΣΔクロックの
レートで、ΣΔパルス列を生成する。そのΣΔパルス列
の平均電圧は変換されるアナログ信号に対応する。演算
増幅器214の非反転入力は一般的に基準電圧Vrefに結
合される。その基準電圧Vrefは(+V + 0V)/2 に等しい値
に固定される。ここで、+Vと0VはDラッチの電源電圧で
ある。しかし、基準電圧Vrefを理想値(+V + -V)/2 に厳
密に等しくすることは実際は困難であり、少なくとも数
mVの差が生じる。このように差があると、Dラッチ21
5の出力コードにDC成分が含まれることになり、その信
号に対して行われる信号処理の妨げになる。実際、DC成
分がΣΔコード化プロセスに与える影響は、非線形歪み
である。この非線形歪みにより、遠隔通信装置に用いら
れている線形ディジタル信号処理機構が動作不能にな
る。遠隔通信装置としては、データ回線終端装置(DCE;d
ata circuit terminating equipment)や、等化システム
またはクロックリカバリ処理システムがある。
【0006】上述したDC成分を抑制する公知の解決法
は、基本的に、演算増幅器の非反転入力端子にさらにア
ナログ回路を接続し、ΣΔパルスに含まれるDC成分を補
償するためΣΔパルスをフィードバックさせる。しか
し、達成できる阻止率は約40dBであるため、この解決
法には限界がある。
【0007】ΣΔパルスコード化の間に現れるDC成分を
補償する別の解決法は、DSP プロセッサにより行われる
ディジタル信号処理オペレーションの間に、このDC成分
を処理する特定の非線形アルゴリズムを用いる。この解
決法は非常に正確であるが、不運なことに実質的に不利
な点がある。というのは、そのアルゴリズムの場合、無
視することができないディジタル信号処理資源をDSP プ
ロセッサから必要とする。実際には、オーバサンプリン
グ周波数が増加すると、このようなアルゴリズムを必要
とするディジタル資源は高くなる傾向にある。例えば、
72kbpsのビット周波数でオペレートするベースバンド、
すなわち、ディジタルモデムの場合であって、オーバサ
ンプリング周波数が144 kHzであり、15 MHzレート
でオペレートするDSP プロセッサを有するモデムの場
合、多分、そのディジタルプロセッサの約100個の基
本サイクルのみが、1つの所定サンプルの処理に利用可
能である。そのため、DC成分を抑制する別のアルゴリズ
ムの場合、このアルゴリズムは、DSP プロセッサから数
個の基本サイクルを必要とするだけであり、全ディジタ
ル処理資源の数パーセントも使用しないことになる。
【0008】本発明の目的により解決される問題点は、
ディジタル信号プロセッサがさらに処理資源を必要する
ことなく、このディジタル信号プロセッサによりさらに
処理する前に、ΣΔパルス列に存在するDC成分を正確に
抑制することにある。
【0009】
【課題を解決するための手段】このような問題点は、本
発明に係るDC成分抑制機構により解決される。DC成分抑
制機構はデシメーション回路内に位置し、このデシメー
ション回路はレジスタを含む。このレジスタには、初期
設定の間、DC成分の平均値に相当するディジタル値がロ
ードされる。DC成分はDSP プロセッサと、引算回路とに
より計算される。この引算回路はPCM ワードがディジタ
ルプロセッサに入力される前に、このPCM ワードから、
レジスタに蓄積された値を直接引算する。そのため、Σ
Δコード化の間にDC成分が現れたとしても、このDC成分
は、計算されたPCM サンプルには存在しない。このDC補
償は正確であって、初期設定期間の後のオペレーション
段階でDSP プロセッサからさらにディジタル信号処理資
源を必要としない。デシメーションフィルタは、PCM サ
ンプルの計算に際して生じる飽和を検知し、前記飽和検
知に応答して、(デシメーションフィルタにより計算す
ることができるPCMンプルの最小値または最大値に対応
する)予め定めたPCM サンプルを前記DSP プロセッサに
伝送する手段を備えるのが好ましい。
【0010】次のようにすることができる。
【0011】1) 本発明に係るデシメーションフィル
タであって、 ΣΔクロック(fs)に同期して、ΣΔパルスS(i)
の列を、式
【0012】
【数4】
【0013】ただし、Cnは所定のデシメーション係数
に対応するデシメーション・フィルタ係数の系列、Nは
所望のデシメーション係数、nは前記式により定義され
たシリーズの現在の要素、iはΣΔパルスの要素S
(i)の現在のインディシアに従ってPCMサンプル列
に変換するデシメーション・フィルタ手段と、抑圧デバ
イスであって、ΣΔコード化プロセス中に現われるDC
コンポーネントを表すデジタル値であって、初期設定フ
ェーズにて、前記PCMサンプルを処理するデジタル信
号プロセッサ(DSP)により計算されるデジタル値を
蓄積する第1手段(404)と、初期設定期間に動作す
る第2手段(402)であって、前記ストアされたデジ
タル値を前記各PCMサンプルから受信し減算して、D
Cコンポーネントが抑圧されたPCMサンプルの系列を
生成する第2手段と、抑圧されたPCMサンプルの飽和
を検出する第3手段(406)であって、飽和状態の検
出に応答して、所定のPCMサンプルを前記デジタル信
号プロセッサ(DSP)に伝送する第3手段とを含む抑
圧デバイスとを備えたことを特徴とする。
【0014】2) 上記1)に記載のデシメーションフ
ィルタにおいて、 ΣΔクロック(fs)に同期して、ΣΔパルスS(i)
列を、式
【数5】 ただし、Cnは所定のデシメーション係数に対応するデ
シメーション・フィルタ係数の系列、Nは所望のデシメ
ーション係数、nは前記式により定義されたシリーズの
現在の要素、iはΣΔパルスの要素S(i)の現在のイ
ンディシアに従ってPCMサンプル列に変換するデシメ
ーション・フィルタ手段と、抑圧デバイスであって、Σ
Δコード化プロセス中に現われるDCコンポーネントを
表すデジタル値であって、初期設定フェーズにて、前記
PCMサンプルを処理するデジタル信号プロセッサ(D
SP)により計算されるデジタル値を蓄積する第1手段
(404)と、初期設定期間に動作する第2手段(40
2)であって、前記ストアされたデジタル値を前記各P
CMサンプルから受信し減算して、DCコンポーネント
が抑圧されたPCMサンプルの系列を生成する第2手段
と、前記ストアされたデジタル値と、前記PCMサンプ
ルと、前記DC抑圧されたPCM信号とに応答するセレ
クタ手段であって、前記蓄積されたデジタル値を減算し
たことにより、前記抑圧されたPCM値の符号が前記P
CM値と前記デジタル値の符号と異なるとき、前記第2
手段の出力を禁止するセレクタ手段とを含む抑圧デバイ
スとを備えたことを特徴とする。
【0015】3) 上記1)または上記2)に記載のデ
シメーションフィルタにおいて、 前記デシメーション・フィルタは、並列配置した3つの
計算手段(350,360,370)であって、前記Σ
Δクロック(fs)から取り出された3つの位相遅れク
ロックによりそれぞれ駆動される計算手段であり、3×
N個の連続する入力ΣΔパルスの系列から、1つのPC
Mサンプルを計算する計算手段を含むことを特徴とす
る。
【0016】4) 上記3)に記載のデシメーションフ
ィルタにおいて、 前記3つの計算手段は、さらに、前記ΣΔクロック(f
s)により駆動される計数手段であって、N個のΣΔク
ロック・パルスに対して1だけインクリメントし、次の
N個のΣΔクロック・パルスに対して1だけデクリメン
トすることを繰り返してインクリメンテーション・パラ
メータ(DELTA(n))を生成する計数手段(32
1,331,341)と、次に処理される入力サンプル
S(i+n)と乗算される前記デシメーション・フィル
タ係数の値を蓄積する蓄積手段(320,330,34
0)と、ΣΔクロック期間ごとにアクティブになって、
前記蓄積手段(320,330,340)を、前記イン
クリメンテーション・パラメータ(DELTA(n))
でインクリメントする手段(327,337,347)
と、前記蓄積手段(320,330,340)の内容C
(n)からと、ΣΔサンプルD(i+n)の列から、3
×N個の入力ΣΔサンプルごとに、1つのPCMサンプ
ルを取り出す手段(323,337)とを備えたことを
特徴とする。
【0017】5) 上記4)に記載のデシメーションフ
ィルタにおいて、 前記計数手段(321,331,341)は、さらに、
制御ラインを含み、該制御ラインが第1の論理レベルに
なったときに1だけインクリメントを行い、前記制御ラ
インが第2の論理レベルになったとき、2だけデクリメ
ントを行うことを特徴とする。
【0018】6) 上記5)に記載のデシメーションフ
ィルタにおいて、 前記3つの計算手段(35O,360,370)は、そ
れぞれ、さらに、前記第1レジスタ(320,330,
340)に接続された乗算手段(323,333,34
3)であって、ΣΔクロック(fs)の期間ごとに、積
C(N)×S(i+n)を計算するために、ΣΔパルス
列を受信する乗算手段と、該乗算手段の乗算結果だけ連
続的にインクリメントされる第2レジスタ(322,3
32,342)とを含むことを特徴とする。
【0019】7) 上記1または上記2)に記載のデシ
メーションフィルタにおいて、 ΣΔクロック(fs)に同期して、ΣΔパルスS(i)
の列を、式
【数6】 ただし、Cnは所定のデシメーション係数に対応するデ
シメーション・フィルタの係数の系列、Nは所望のデシ
メーション係数、nは前記式により定義されたシリーズ
の現在の要素、iはΣΔパルスの要素S(i)の現在の
インディシアに従ってPCMサンプル列に変換するデシ
メーション・フィルタであって、初期設定フェーズ中
に、前記PCMサンプルを処理するデジタル信号プロセ
ッサ(DSP)により計算されるデジタル値であって、
ΣΔコード化プロセス中に現われるDCコンポーネント
を表すデジタル値を蓄積する手段(404)と、該デジ
タル値を蓄積する手段に結合されていて、前記初期設定
フェーズ後に動作する手段(402)であって、前記デ
ジタル値を前記各PCMサンプルから減算し、DCコン
ポーネントが抑圧されたPCMサンプルの系列を生成す
る手段と、所定のデシメーション係数に対応する前記系
列C(n)を生成する生成手段(321,327,33
1,337,341,347)と、該生成手段に結合さ
れた乗算手段(323,333,343)であって、前
記系列の各係数C(n)をΣΔ入力サンプルS(i+
n)と乗算する乗算手段と、ゼロに等しい係数C(3×
N−1)の生起を検出する検出手段(321,331,
341)と、該検出手段に結合された手段(311,3
12,313)であって、PCMサンプルの生成フェー
ズを制御するために、前記係数C(3×N−1)の生起
の検出に応答して、次のPCMパルスの計算プロセスを
開始させる1つのΣΔクロックパルスをシフトするシフ
ト手段とを備えたことを特徴とする。
【0020】8) 上記7)に記載のデシメーションフ
ィルタにおいて、 前記ΣΔクロック(fs)を受信する3つの計算手段
(350,360,370)であって、それぞれ、3×
N個の連続する入力ΣΔパルスの系列から1つのPCM
サンプルを計算する計算手段であって、リセット・ライ
ンと制御ライン(391,393,395)を有する計
数手段(321,331,341)であって、前記制御
ラインの状態に応じて、1だけのインクリメンか、2
だけのデクリメントのいずれかを行う計数手段と、対応
するΣΔサンプルS(i+n)により乗算される係数の
値C(n)を蓄積する第1レジスタ(320,330,
340)と、ΣΔクロック期間ごとにアクティブになる
加算手段(327,337,347)であって、前記第
1レジスタにロードされる次の係数を計算するために、
前記計数手段の内容と、前記第1レジスタ(320,3
30,340)の内容とを加算する加算手段と、前記計
算手段にて、前記予め定めたデシメーション係数に対応
する係数C(n)を生成するために、前記計数手段(3
21,331,341)の制御信号とリセット信号をそ
れぞれ生成する手段とを含む計算手段を備えたことを特
徴とする。
【0021】9) 上記8)に記載のデシメーションフ
ィルタにおいて、 1つのΣΔクロック・パルスを、1つのPCMクロック
・パルス生成だけシフトする決定に応答して、位相訂正
を前記PCMクロック・パルスに伝送する手段と、前記
位相訂正が生じたときに、1つのPCMサンプルの計算
をちょうど完了した計算手段(350,360,37
0)に含まれる計数手段(321,331,341)を
リセットする手段と、対応するPCMサンプル計算が完
全に完了するまで動作し続ける2つの計算手段(36
0,370)の前記制御信号の同期を遅延させる手段と
をさらに含むことを特徴とする。
【0022】
【0023】
【0024】
【0025】
【0026】
【0027】
【0028】
【実施例】以下、図面を参照して本発明の実施例を詳細
に説明する。
【0029】図1を説明する。本発明の実施例で用いら
れるデシメーション回路を説明する。このデシメーショ
ン回路は特にヨーロッパ特許出願第 91480114.4 (米
国特許5,220,327 号)に記述されたものである。この特
許出願番号を付して本実施例の一部とする。このデシメ
ーション回路を簡単に説明する。このデシメーション回
路は計数手段321,331,341を含む。計数手段
321,331,341はΣΔクロックにより駆動さ
れ、N個のΣΔクロックパルスに対して1だけインクリ
メントし、ついでN個のΣΔクロックパルスに対して2
だけデクリメントし、次のN個のΣΔクロックパルス
対して再び1だけインクリメント、一連のインクリメ
ントパラメータDELTA(n)を供給する。また、デシメーシ
ョン回路は蓄積手段320,330,340を含む。こ
の蓄積手段320,330,340はデシメーションフ
ィルタ伝達関数に対応する係数C(n)の値を蓄積する。さ
らに、デシメーション回路は、ΣΔクロックにより駆動
される手段であって、インクリメントパラメータDELTA
(n)を蓄積した蓄積手段をインクリメントする手段を含
む。最後に、計算手段は、1つのPCM サンプルを、3×
N個の入力ΣΔサンプルごとに、蓄積手段にロードされ
た内容C(n)から取り出すとともに、コード化されたΣΔ
サンプルS(n+1)列を取り出す手段を含む。ヨーロッパ特
許出願第91480114.4号で説明したように、PCM サンプル
を計算するために必要な係数C(n)が直接オンラインで受
信されたΣΔパルスを用いて計算されるので、種々のデ
シメーション係数を有するデシメーションプロセスは、
さらにディジタル信号処理資源を利用することなく、容
易に供給される。特に、デシメーションフィルタはINPU
T SPL 信号線301上のΣΔパルス列を受信し、受信さ
れたΣΔパルスを、PCM ワードに変換し、データバス3
03上に出力する。このようにするため、デシメーショ
ン回路は、信号線300上のオーバサンプリング周波数
クロックfs(c) と、信号線302上のPCM クロックとを
受信する。1つのPCM サンプルは3つの個々の計算手段
により計算される。3つの計算は、それぞれ、3つの対
応する計算ブロック350,360,および370によ
り行われる。計算ブロック350,360,および37
0は、それぞれ、信号線301から受信された3×N個
の入力サンプルの集合から1つのPCM サンプルを計算す
る。計算ブロック350,360,および370は、上
記ヨーロッパ特許出願第 91480114.4 号に充分記載され
ているが、その構成が同様なので、第1の計算ブロック
の構造のみを充分詳細に説明する。計算ブロック35
0、360,および370は、それぞれ、3つの位相遅
延クロックR0,R1,およびR2により駆動される。
位相遅延クロックR0,R1,およびR2は、図2に示
す信号線302上のPCM クロックを受信するデコード回
路310により生成される。デコード回路310は、上
記ヨーロッパ特許出願第 91480114.4 の図7c,図7
d,図7e,および図7fに示すように、PCM クロック
の周波数の1/3 の周波数で位相遅延クロックR0,R
1,およびR2をそれぞれ生成し3つの信号線304,
305,および306上に出力する。しかし、デコード
回路310はヨーロッパ特許出願第91480115.4号に記載
の回路と置換することができることに注意すべきであ
る。ヨーロッパ特許出願第91480115.4号はPCM サンプル
の生成段階制御が記載されている。このヨーロッパ特許
出願の番号を付して実施例の一部とする。3つの計算ブ
ロック304,305,および306は、N個の入力サ
ンプルS(i)ごとに1つのPCM サンプルを生成することが
でき、その結果、全ダウンサンプリングプロセスに所要
のデシメーション係数Nを供給する。
【0030】第1計算ブロック350はCOEFF0レジスタ
320を含む。COEFF0レジスタ320はフィルタリング
およびデシメーションプロセスで用いることになる係数
C(n)を蓄積する。COEFF0レジスタ320は入力バスがAD
DER0加算回路327の対応する出力バスに接続されてい
る。第1計算ブロック350はACCU0 累算器322をさ
らに含む。ACCU0 累算器322の入力バスはADDEERO 加
算回路327の出力バスに接続されている。あるいはま
た、ADDEER0 加算回路327は新たに計算された係数
や、部分的な結果CO×Si + C1×S(i+1) + C2×S(i+2)
...を計算するために用いられる。この部分的な結果は
ΣΔパルス列Siを用いて生成される。カウンタ311
はそのクロック入力端子で信号線302上のPCM クロッ
クを受信し、そのリセット端子で信号線304上のクロ
ックR0を受信する。カウンタ311は制御信号を生成
し、INCCTRO カウンタ321に出力する。INCCTR0 カウ
ンタ321はDELTA(i)の値を連続的に生成するために用
いられる。DELTA(i)の値は一連の係数C(n)を計算するの
に必要である。カウンタ321の内容は、1だけインク
リメントするか、あるいは、カウンタ311の出力の状
態に応じて2だけデクリメントするかのいずれかにより
更新される。INCCTR0 カウンタ321の出力バスはMPXO
マルチプレクサ324の第1入力バスに接続されてい
る。マルチプレクサ324は第2入力バスを有し、この
第2入力バスはACCU0 累算器322の出力バスに接続さ
れている。MPXOマルチプレクサ324は信号線300上
のオーバサンプリングクロックfs(c) により制御され
る。MPXOマルチプレクサ324の出力バスは、ADDER0加
算回路327の第1入力バスに接続され、ADDER0加算回
路327の第2入力バスはXOR 回路323の出力バスに
接続されている。XOR 回路323はその第1入力端子が
AND ゲート326の出力端子に接続され、その第2入力
端子がレジスタ320の出力バスに接続されている。AN
D ゲート326は第1入力端子にて信号線300上のオ
ーバサンプリングクロックFs(c) を受信し、第2入力端
子にてΣΔパルス列の入力サンプルを受信する。また、
AND ゲート326の出力端子はADDER0加算回路の「キヤ
リイン」入力端子に接続されている。ACCU0 累算器32
2の出力端子はゲート325の入力端子に接続されてい
る。ゲート325は計算されたPCM サンプルを3×Nの
ΣΔクロックパルスごとにORゲートの第1入力端子に
伝送する。COEFF0レジスタ320、INCCTR0 カウンタ3
21、ACCU0 累算器322、およびゲート325は、図
2に示すデコード回路310により生成された第1ROク
ロックを受信する。また、COEFF0レジスタ320、INCC
TR0 カウンタ321、ACCU0 累算器322は、信号線3
00上のオーバサンプリングクロックfs(c) を受信す
る。上述したヨーロッパ特許出願で説明したように、フ
ィルタリング/デシメーション回路の動作は次のように
なる。第1計算ブロック350を考察する。すなわち、
信号線300上のオーバサンプリングクロックfs(c) の
クロック期間ごとに、INCCTR0 カウンタ321は、カウ
ンタ311の出力の状態に応じて、1だけインクリメン
トするか、あるいは2だけデクリメントするかのいずれ
かにより、一連のDELTA(i)の値を生成する。そして、そ
の係数C(n)はレジスタ320で更新される。係数C(n)を
更新するため、オーバサンプリングクロック期間の第1
の1/2期間で、すなわち、信号線300のfsクロックが
ローレベルにあるとき、MPXOマルチプレクサ324は、
INCCTR0 カウンタの出力バス上のDELTA(i)の値を、ADDE
R0加算回路327の第1入力バスに伝送する。ADDER0加
算回路327の第2入力バスはXOR 323を介してCOEF
F0レジスタ320の内容を受信する。というのは、ANND
ゲート326の出力は、信号線300上のオーバサンプ
リングクロックfs(c) がローレベルなので、ローレベル
にセットされるからである。同様に、ADDER0加算回路3
27のキャリイン入力端子はローレベルである。そのた
め、ADDER0加算回路327は、C(n) = C(n-1) + DELTA
(n-1)を計算し、その計算結果C(n)を、ΣΔクロック期
間の立ち上がりで、すなわち、ΣΔクロック期間の第1
の1/2期間で、COEFF0レジスタ320に蓄積する。オー
バサンプリングクロック期間の第2の1/2 期間の間、す
なわち、オーバサンプリングクロック信号がハイレベル
であるとき、MPXOマルチプレクサ324はACCU0 累算器
322の内容をADDER0加算回路327の第1入力バス上
に伝送する。一方、ADDER0加算回路327の第2入力バ
スはXORゲート323の出力を受信する。XORゲー
ト323は、ADDER0加算回路327に、オーバサンプリ
ングクロック期間の第2の1/2 期間に、信号線301上
にある入力サンプルSPLCの値に応じて、OEFF0 レジスタ
320の内容を伝送するか、あるいは、その内容を逆転
させた内容を伝送する。同時に、入力サンプルSPL の値
は、AND ゲート326を介して、ADDER0加算回路327
のキャリイン入力端子に入力される。
【0031】ΣΔクロック期間の第2の1/2 期間の間、
信号線301上の入力ΣΔサンプルS(n+1)は、レジスタ
320に蓄積した係数C(n)と乗算され、その結果S(n+1)
×C(n)は、ADDER0加算回路327により、ACCU0 累算器
322の内容と加算される。この加算結果、すなわち、
PCM サンプルの部分的な計算C(0)×S(i) + C(1)×S(i+
1) + C(2)×S(i+2)....が、オーバサンプリングクロッ
fs(c) の立ち下がりで、すなわち、ΣΔクロックfsの
クロック期間の第2の1/2 期間の終りで、ACCU0 累算器
322にロードされる。INCCTR0 カウンタ321を用い
てDELTA(i)系列を連続的に生成する。DELTA(i)系列は、
上述したヨーロッパ特許出願で説明したように、計算ブ
ロック350によるPCM サンプル計算に必要であり、カ
ウンタ311により次のように制御される。すなわち、
カウンタ311の出力がローレベルにセットされると、
INCCTR0 カウンタ321は、信号線300上のオーバサ
ンプリングクロックfs(c) がハイレベルに切り換えられ
たとき、1だけインクリメントされる。逆に、カウンタ
311の出力がハイレベルにセットされると、INCCTRO
ウンタ321は、信号線300上のオーバサンプリング
クロック期間の立上がりで、2だけデクリメントされ
る。そのため、クロック期間ごとに、もう少し正確に言
うと、オーバサンプリングクロックfs(c) がハイレベル
に切り換えられる、オーバサンプリングクロック期間の
1/2 期間ごとに、INCCTR0 カウンタ321は、C(n) = C
(n-1) + DELTA(n-1)の関係に従って、PCM サンプルを計
算するのに必要な係数の値を更新するのに用いられるDE
LTA の値をストアする。係数C(n)の値は次のクロック期
間の第1の1/2 期間に更新される。図2のデコード回路
310により生成されたR0クロックを用いて、異なる
レジスタやカウンタをリセットする。すなわち、COEFF0
レジスタ320、INCCTR0 カウンタ321、およびカウ
ンタ311は、信号線304上のR0クロックがハイレベ
ルに切り換えられたとき、リセットされる。逆に、ACCU
0 累算器322は、R0クロックがローレベルに切り換え
られたとき、リセットされる。さらに、カウンタ311
は信号線302上のPCM クロックが立上がるごとに切り
換えられる。そのため、信号線304上のPCM クロック
がハイレベルに切り換えられたとき、カウンタ311が
リセットされ、カウンタ311の出力がローレベルにセ
ットされる。そして、INCCTRカウンタ321はN個のオ
ーバサンプリングクロック期間の間、1だけインクリメ
ントされる。信号線302上の次のPCM パルスで、カウ
ンタ311の出力はハイレベルに切り換えられ、カウン
タ311の出力はハイレベルに切り換えられ、INCCTRカ
ウンタ321は、N個のオーバサンプリングクロック期
間の間に2だけデクリメントされる。同様に、信号線3
02上の次のPCM クロックパルスで、カウンタ311の
出力は再びローレベルに切り換えられ、INCCTRカウンタ
321はN個のオーバサンプリングクロックの間に再び
1だけインクリメントされる。3×N個の連続するオー
バサンプリングクロック期間の終りに、ACCU0 累算器3
22に、
【0032】
【数3】
【0033】の式に従ってΣΔパルスから取り出した1
つのPCM サンプルの値がロードされる。PCM サンプルは
R0クロックパルスごとにゲートの出力に伝送される。3
×N個の入力サンプルは(計算ブロック350で計算さ
れる)PCM 出力サンプルを生成するのに必要であるの
で、計算ブロック350で出力されたPCM 出力サンプル
は、その周波数はfs/3である。計算ブロック360およ
び370のオペレーションは同様であるが、そのオペレ
ーションは計算ブロック350でのオペレーションに対
して位相遅れがある。実際には、計算ブロック360
(または、計算ブロック370)では、位相が遅れたR1
クロック(または、R2クロック)により駆動される。R1
クロックは、図2に示すような信号線305(または、
306)上のデコード回路310により生成される。こ
れらの計算ブロックでのオペレーションは上述したアプ
リケーションで充分に説明され明らかにされている。そ
の結果、3つの計算ブロック350,360,および3
70は、それぞれ、(ORゲートの1つの入力端子に伝
送される)3×N個のサンプルごとに1つのPCM サンプ
ルを生成するが、fs/Nの周波数でPCM サンプル列を生成
する。ORゲート314の出力端子はレジスタ315に
接続されており、レジスタ315は所要のfs/Nの周波数
でPCM データバス上にPCM ワードを供給する。
【0034】上述したように、レジスタ315の出力端
子に出力されるPCM ワードの系列には、DC成分が現れ
る。このDC成分は、図示しないDSP により行われる線
形信号処理オペレーション、例えば、等化アルゴリズム
の実行や、モデムの場合でいえばクロックリカバリオペ
レーションに影響を与えるかもしれないものである。こ
のDC成分は図3に示す回路、すなわち、今説明してい
る回路により抑制される。レジスタ315はPCM サンプ
ルを蓄積する。PCM サンプルは本発明の好ましい実施例
では(符号ビットを有する)26ビットを備えており、
PCM データバス303上に出力される。PCM ワード長は
セレクタ400により制限される。PCMード長は処理さ
れ、現在利用可能な16ビットレジスタを用いてさらに
処理オペレーションを行うことができる。セレクタ40
0の出力端子はバス401である。バス401は加算回
路402の第1入力バスに接続されている。加算回路4
02の第2入力バスはレジスタ404の出力端子に接続
されている。加算回路402の出力端子は3ウェイセレ
クタ405の入力端子に接続されている。この3ウェイ
セレクタ405は信号線412上の制御信号SAT+信号
線413上の制御信号SAT-、および信号線414上の制
御信号NO SATで飽和検知回路406により制御される。
セレクタ405は第1入力端子のワードか、第2入力端
子のワードか、あるいは加算回路402の出力端子に接
続されたバス411上のワードのいずれかを伝送する。
第1入力端子のワードとは、すなわち、最大アナログ値
に対応するPCM サンプルをデコードして得られた値7FFF
である。第2入力端子のワードとは、最小アナログ値を
デコードして得られた値8000である。飽和検知回路40
6は図示しないディジタル信号プロセッサにALERT 信号
を供給する。ALERT 信号は変換プロセスにおける飽和の
態様を示す。セレクタ405の出力により、アナログ信
号に対応するPCM サンプル列が生成される。このアナロ
グ信号から初期DC成分が取り出される。このことは後程
説明する。レジスタ315の長さは、必要なデシメーシ
ョン係数Nの最大値に対応するようになっている。本発
明に係る好ましい実施例では、バス303は26ビット
のB1−B25およびBS1を有し、B1は最低位ビッ
ト(LSB) であり、B25は最高位ビット(MSB) であり、
BS1は符号ビットである。具体的には、PCM SPL レジ
スタ315のサイズをN 3 にできるようにすべきであ
る。ただし、Nは所望のデシメーション係数である。
のDC成分はPCM サンプルから次のようにして抑制され
る。すなわち、初期設定期間の間、図示しないディジタ
ル信号プロセッサは制御バス410を介してセレクタ4
00を制御し、26ビットバス303上の最高位ビット
を選択する。その結果、残りの15ビットバスが、バス
303の符号ビットBS1に加算され、16ビットバス
が構成される。次のようにして、バス303の25ビッ
トから15ビットが適正に選択される。すなわち、デシ
メーション係数Nが1ないし32の間である場合、ディ
ジタル信号プロセッサはセレクタ400を制御し、ビッ
トB1−B15を選択する。すなわち、ビットB1−B
15はバス401を介してセレクタ400の出力端子に
伝送される。デシメーション係数Nが1ないし32の間
でない場合は、デシメーション係数Nは33ないし50
の間の値を備え、セレクタ400が制御され、ビットB
3−B17が選択される。デシメーション係数Nが51
ないし80の間の値を備えている場合、セレクタ400
はビットB5−B19を選択する。デシメーション係数
Nが81ないし128の間の値を備えている場合は、セ
レクタ400はB1−B21を選択する。デシメーショ
ン係数Nが129ないし160の間の値を備えている場
合は、セレクタ400はビットB8−B22を選択す
る。デシメーション係数Nが161ないし202の間の
値を備えている場合、セレクタ400はビットB9−B
23を選択する。デシメーション係数Nが203ないし
256の間の値を備えている場合は、セレクタ400は
ビットB10−B24を選択する。最後に、デシメーシ
ョン係数Nが257ないし322の間の値を備えている
場合は、セレクタ400はビットB11−B25を選択
する。25ビットから15ビットを選択するには、デシ
メーション係数Nの低位の値(すなわち、Nは128未
満の値)に対しては2から開始され、高位の値(すなわ
ち、Nは128を超える値)に対しては1から開始され
る。
【0035】そのため、26ビットの累算器から16個
の最高位ビットが選択される。ΣΔコーダはその最高精
度が15ビットまたは16ビットであるので、16個の
最高位ビットを選択すると、その回路の全体的な精度を
出すことができなくなる危険性がある。
【0036】バス303上の25ビットから15ビット
を選択するには、PCM データバス303から符号ビット
が取り出されるので、標準の16ビットバス401を利
用することができる。よって、16ビットの単一回路、
特に、レジスタ404、加算回路402、セレクタ40
5を利用することができ、デシメーションプロセスでDC
成分を正確に抑制できることを保証する。
【0037】初期設定期間では、ディジタル信号プロセ
スはまずPCM サンプル列のDC成分の平均値を計算する。
この計算は次のようにして行う。すなわち、信号xの平
均値は、
【0038】
【数4】
【0039】の関係から計算することができる。値Nが
大きい場合は、その精度が高い。平均値を連続的に評価
するには、新しいサンプルxk に対して、
【0040】
【図5】
【0041】を用いるのが好ましい。収束期間後、Mk
評価し適正な値を与える。
【0042】そして、DSPプロセッサは計算されたデ
ィジタル値の逆数をレジスタ404にロードする。この
ディジタル値は16ビットのコードにコード化され、そ
の16ビットのうち、符号ビットBS1は飽和検知回路
406に伝送される。加算回路402の加算演算によ
り、評価されたDC成分の値が抑制される。そして、初期
設定期間が完了し、DSPは第2オペレーションフェー
ズに入る。この段階の間、実際のデータ伝送を行うこと
ができる。その第2オペレーションフェーズの間、ΣΔ
変換器の出力端子で生成されたΣΔパルス列(図5を参
照して後程説明する)は、図1の3つの並列計算ブロッ
ク350,360,および370により変換され、fs/N
のレートで、一意のPCM 26ビットサンプル系列が生成さ
れる。これと相関して、セレクタは、ディジタル信号プ
ロセッサの制御により、制限された16ビットサンプル
系列(バス303上に符号ビットBS1を備えている)
を生成する。この制限された16ビットサンプル系列は
バス401を介して加算回路402に伝送される。その
結果、加算回路は15ビットと符号ビットBS3でコー
ド化されたDC成分を補償する。符号ビットBS1は飽和
検知回路406に伝送される。
【0043】飽和検知回路406は図4に示す。図4に
示すように、飽和検知回路406はBS1,BS2 およびBS3
の値を受信し、SAT+を信号線412上に取り出し、SAT-
を信号線413上に、NO SATを信号線414上に取り出
し、少なくともALERT 制御信号を信号線407上に取り
出し、SAT+,SAT-,NO SATは図3に示すセレクタ405に
伝送される。ALERT 制御信号はディジタル信号プロセッ
サに伝送され、ディジタル信号プロセッサに飽和が検知
された旨を知らせる。図4を説明する。飽和検知回路4
06はANDゲート501を備えている。ANDゲート
501は第1および第2入力端子はそれぞれBS1 ビット
およびBS2 ビットを受信し、第3反転入力端子はBS3 ビ
ットを受信する。ANDゲート501によりSAT-信号が
生成され、出力端子から信号線413上に出力される。
ANDゲート501の出力端子はANDゲート503の
第1反転入力端子に接続されている。さらに、飽和検知
回路406は第2ANDゲート502を含む。第2AN
Dゲート502は第1および第2反転入力端子と、第3
非反転入力端子とを有し、第1および2反転入力端子は
それぞれBS1 およびBS2 信号を受信し、第3非反転入力
端子はBS3 信号を受信する。ANDゲート502はSAT+
信号を生成し、SAT+信号を出力端子から信号線412上
に出力する。ANDゲート502の出力端子はANDゲ
ート503の第2反転入力端子に接続されている。AN
Dゲート503はNO SAT信号を生成し、その出力端子か
ら信号線414上に出力する。信号線414上のNO SAT
信号はインバータ504により反転され、ALERT 信号が
生成され、信号線407上に出力され、信号線407上
のALERT 信号はDSPプロセッサに伝送される。
【0044】再度、図3を説明する。3つの制御信号SA
T+,SAT-,NO SATはセレクタ405により受信される。セ
レクタ405は次のように動作する。すなわち、NO SAT
信号がハイレベルである場合、バス411の内容は直接
セレクタ405の出力バスに伝送される。しかし、SAT+
信号がハイレベルである場合、セレクタ405は第1入
力バス上のディジタル値'7EEE'を伝送する。最後に、SA
T-信号がハイレベルである場合は、セレクタ405は第
2入力バス上のディジタル値'8000'を出力バス408上
に出力する。よって、出力バス408は、DC成分により
なんら影響を受けないPCM サンプル系列を搬送する。し
かも、一連のPCM サンプルを、第2動作段階の間、プロ
セッサにより直接処理することはできない。DSPプロ
セッサは(コード化プロセスの間にPCM サンプルに現れ
る)DC成分の評価値を計算する第1初期設定期間の間の
みに関わるので、おそらく、セレクタ405からのディ
ジタル処理資源は第2オペレーション段階の間に必要で
はないが、エコー取り消し、クロックリカバリ、または
プロシージャのような他の処理動作に充分影響を与える
ことができる。
【0045】図5を説明する。図5は本発明に係るA/D
変換器に用いられるΣΔ変換回路の好ましい実施例を示
す。このΣΔ変換回路は二重ループ構造を有する。この
ΣΔ変換回路は特にヨーロッパ特許出願第91480009.0号
(発明の名称:sigma-deltaconverter 、1991年1月17
日出願、本出願の出願人に譲渡されている)に記載され
ているものである。このヨーロッパ特許出願の番号を付
して実施例の一部とする。図5を説明する。変換される
アナログ信号であって信号線609上の信号に存在する
DC成分は、コンデンサ610により除去される。DC成分
が除去された信号は抵抗611の第1端子に伝送され
る。抵抗611の第2端子は演算増幅器(OA)614の反
転入力端子に接続されるとともに、抵抗612の第1端
子とコンデンサ613の第1端子に接続されている。演
算増幅器614の出力端子はコンデンサ613の第2端
子に接続され、しかも、抵抗617の第1端子に接続さ
れている。抵抗617の第2端子は第2演算増幅器62
0の反転端子に接続されるとともに、抵抗618の第1
端子に接続され、コンデンサ619の第1端子に接続さ
れている。演算増幅器620の出力端子はコンデンサ6
19の第2端子に接続されるとともに、閾値を設定する
D型ラッチ622のD入力端子に接続されている。ラッ
チ622は、CK入力端子に入力されたfsクロックに従っ
て、5ボルト(すなわち、Vcc が一般的である)または
0ボルトのいずれかに等しい電圧をその出力端子に出力
する。fsクロックを生成する回路の例は上述したヨーロ
ッパ特許出願第91480009.0号に詳細に説明されている。
基準電圧Vrefはラッチ622の正の電源電圧の値の1/2
の電圧に等しく、演算増幅器614および620の非反
転入力端子に印加されている。Dラッチ622の非反転
Q出力端子623は、NORゲート615(当業者には
7402型として知られている)の第1入力端子に接続され
ている。NORゲート615の第2入力端子はfsΣΔク
ロックを受信する。NOR ゲート615の出力端子は抵抗
612の第2端子に接続されている。ラッチ622の反
転出力端子はNORゲート621の第1入力端子に接続
されている。NORゲート621の第2入力端子はΣΔ
クロックfsを受信し、その出力端子は抵抗618の第2
端子に接続されている。NORゲート615の出力端子
のフィードバック信号は、変換されるアナログ入力AC電
圧に加算され、演算増幅器614と、抵抗611および
612と、コンデンサ613とにより構成される回路に
より積分される。同様に、NOR621の出力のフィー
ドバック信号は、演算増幅器614の出力端子の信号と
加算され、演算増幅器620と、抵抗617および61
8と、コンデンサ619とにより構成される回路により
積分される。従って、二重ループ構造ΣΔコーダによ
り、非常の高レベルの信号対雑音比(SN 比)を達成する
ことができる。ラッチ622の出力端子Qから、ΣΔパ
ルスSPL 列が供給される。ΣΔパルスSPL は図1に示す
デシメーション回路に伝送される。
【0046】図6を説明する。図6はΣΔクロック生成
法を示す。インバータとしてのNORゲート702は、
その2つの入力端子で、信号線300上のΣΔクロック
信号(C) を受信する。ΣΔクロック信号(C) は所要のΣ
Δ周波数を有する。NORゲート702の出力端子は、
抵抗704の第1端子と、抵抗703の第1端子と、N
ORゲート707の第1入力端子とに接続されている。
抵抗703の第2端子は電源(5ボルトが好ましい)に
接続されている。抵抗704の第2端子はコンデンサ7
06の第1入力端子に接続されるとともに、NORゲー
ト705の2つの入力端子に接続されている。コンデン
サ706の第2端子はグランドされている。NORゲー
ト705の出力端子はNORゲート707の第2入力端
子に接続されている。NORゲート707の出力端子
は、結局、所要のfsクロックを信号線708上に出力す
る。上述したヨーロッパ特許出願第9148009.0 号で記述
したように、fsΣΔクロックのレートで駆動されるNO
Rゲート615および621を利用することにより、Σ
Δクロックの期間ごとに、Dラッチ622でRZ(return-
to-zero)ΣΔコードが生成され、その出力端子から供給
される。よって、ΣΔ変換器は閾値設定装置の立ち上が
時間および立ち下がり時間の非対称に無感応になる。
その結果、SN比が実質的に高くなる。
【0047】
【発明の効果】以上説明したように、本発明によれば、
上記のように構成したので、ΣΔパルス列からDC成分を
正確に抑制することができる。
【図面の簡単な説明】
【図1】デシメーション回路の構造を示すブロック図で
ある。
【図2】ΣΔ/PCM 変換プロセスで必要とするクロック
信号を生成する回路を示すブロック図である。
【図3】本発明に係るDC成分抑制機構を示すブロック図
である。
【図4】飽和検知回路406の構成を示すブロック図で
ある。
【図5】二重ループΣΔ変換器の構成を示すブロック図
である。
【図6】fsクロックを生成する回路を示す回路図であ
る。
【図7】ΣΔコーダを用いた従来のA/D 変換器の基本構
成を示すブロック図である。
【図8】従来の単一ループΣΔ変換回路を示すブロック
図である。
【符号の説明】
311,312,313 カウンタ 314 ORゲート 315 レジスタ 320,330,340 COEFF0レジスタ 321,331,341 INCCTR0 カウンタ 322,332,342 ACCU0 累算器 323,333,343 XORゲート 324,334,344 MPXOマルチプレクサ 325,335,345 ゲート 326,336,346 ANDゲート 327,337,347 ADDER0加算回路
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アラン ブラン フランス 06140 ヴァンス ビュイソ ン トゥレット/ルー ルートゥ ドゥ プラン 983 (72)発明者 パトリック ジャニィヨ フランス 06610 ラ ゴードゥ シュ マン ドゥ レルミタージュ 173 (72)発明者 ジェラール リシュテール フランス 06640 サン−ジャネ シュ マン デュ パリオー 138 (56)参考文献 特開 平1−212031(JP,A) 特開 平1−204528(JP,A) 特開 昭61−262926(JP,A)

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】 ΣΔクロック(fs)に同期して、ΣΔ
    パルスS(i)の列を、式 【数1】 ただし、 Cnは所定のデシメーション係数に対応するデシメーシ
    ョン・フィルタ係数の系列、 Nは所望のデシメーション係数、 nは前記式により定義されたシリーズの現在の要素、 iはΣΔパルスの要素S(i)の現在のインディシアに
    従ってPCMサンプル列に変換するデシメーション・フ
    ィルタ手段と、 抑圧デバイスであって、 ΣΔコード化プロセス中に現われるDCコンポーネント
    を表すデジタル値であって、初期設定フェーズにて、前
    記PCMサンプルを処理するデジタル信号プロセッサ
    (DSP)により計算されるデジタル値を蓄積する第1
    手段(404)と、 初期設定期間に動作する第2手段(402)であって、
    前記ストアされたデジタル値を前記各PCMサンプルか
    ら受信し減算して、DCコンポーネントが抑圧されたP
    CMサンプルの系列を生成する第2手段と、 抑圧されたPCMサンプルの飽和を検出する第3手段
    (406)であって、飽和状態の検出に応答して、所定
    のPCMサンプルを前記デジタル信号プロセッサ(DS
    P)に伝送する第3手段とを含む抑圧デバイスとを備え
    たことを特徴とする信号変換システム。
  2. 【請求項2】 ΣΔクロック(fs)に同期して、ΣΔ
    パルスS(i)列を、式 【数2】 ただし、 Cnは所定のデシメーション係数に対応するデシメーシ
    ョン・フィルタ係数の系列、 Nは所望のデシメーション係数、 nは前記式により定義されたシリーズの現在の要素、 iはΣΔパルスの要素S(i)の現在のインディシアに
    従ってPCMサンプル列に変換するデシメーション・フ
    ィルタ手段と、 抑圧デバイスであって、 ΣΔコード化プロセス中に現われるDCコンポーネント
    を表すデジタル値であって、初期設定フェーズにて、前
    記PCMサンプルを処理するデジタル信号プロセッサ
    (DSP)により計算されるデジタル値を蓄積する第1
    手段(404)と、 初期設定期間に動作する第2手段(402)であって、
    前記ストアされたデジタル値を前記各PCMサンプルか
    ら受信し減算して、DCコンポーネントが抑圧されたP
    CMサンプルの系列を生成する第2手段と、 前記ストアされたデジタル値と、前記PCMサンプル
    と、前記DC抑圧されたPCM信号とに応答するセレク
    タ手段であって、前記蓄積されたデジタル値を減算した
    ことにより、前記抑圧されたPCM値の符号が前記PC
    M値と前記デジタル値の符号と異なるとき、前記第2手
    段の出力を禁止するセレクタ手段とを含む抑圧デバイス
    とを備えたことを特徴とする信号変換システム。
  3. 【請求項3】 請求項1または2に記載の信号変換シス
    テムにおいて、前記デシメーション・フィルタは、並列
    配置した3つの計算手段(350,360,370)で
    あって、前記ΣΔクロック(fs)から取り出された3
    つの位相遅れクロックによりそれぞれ駆動される計算手
    段であり、3×N個の連続する入力ΣΔパルスの系列か
    ら、1つのPCMサンプルを計算する計算手段を含むこ
    とを特徴とする信号変換システム。
  4. 【請求項4】 請求項3に記載の信号変換システムにお
    いて、前記3つの計算手段は、さらに、 前記ΣΔクロック(fs)により駆動される計数手段で
    あって、N個のΣΔクロック・パルスに対して1だけイ
    ンクリメントし、次のN個のΣΔクロック・パルスに対
    して1だけデクリメントすることを繰り返してインクリ
    メンテーション・パラメータ(DELTA(n))を生
    成する計数手段(321,331,341)と、 次に処理される入力サンプルS(i+n)と乗算される
    前記デシメーション・フィルタ係数の値を蓄積する蓄積
    手段(320,330,340)と、 ΣΔクロック期間ごとにアクティブになって、前記蓄積
    手段(320,330,340)を、前記インクリメン
    テーション・パラメータ(DELTA(n))でインク
    リメントする手段(327,337,347)と、 前記蓄積手段(320,330,340)の内容C
    (n)からと、ΣΔサンプルD(i+n)の列から、3
    ×N個の入力ΣΔサンプルごとに、1つのPCMサンプ
    ルを取り出す手段(323,337)とを備えたことを
    特徴とする信号変換システム。
  5. 【請求項5】 請求項4に記載の信号変換システムにお
    いて、前記計数手段(321,331,341)は、さ
    らに、制御ラインを含み、該制御ラインが第1の論理レ
    ベルになったときに1だけインクリメントを行い、前記
    制御ラインが第2の論理レベルになったとき、2だけデ
    クリメントを行うことを特徴とする信号変換システム。
  6. 【請求項6】 請求項4に記載の信号変換システムにお
    いて、前記3つの計算手段(35O,360,370)
    は、それぞれ、さらに、 前記第1レジスタ(320,330,340)に接続さ
    れた乗算手段(323,333,343)であって、Σ
    Δクロック(fs)の期間ごとに、積C(N)×S(i
    +n)を計算するために、ΣΔパルス列を受信する乗算
    手段と、 該乗算手段の乗算結果だけ連続的にインクリメントされ
    る第2レジスタ(322,332,342)とを含むこ
    とを特徴とする信号変換システム。
  7. 【請求項7】 ΣΔクロック(fs)に同期して、ΣΔ
    パルスS(i)の列を、式 【数3】 ただし、 Cnは所定のデシメーション係数に対応するデシメーシ
    ョン・フィルタの係数の系列、 Nは所望のデシメーション係数、 nは前記式により定義されたシリーズの現在の要素、 iはΣΔパルスの要素S(i)の現在のインディシアに
    従ってPCMサンプル列に変換するデシメーション・フ
    ィルタであって、 初期設定フェーズ中に、前記PCMサンプルを処理する
    デジタル信号プロセッサ(DSP)により計算されるデ
    ジタル値であって、ΣΔコード化プロセス中に現われる
    DCコンポーネントを表すデジタル値を蓄積する手段
    (404)と、 該デジタル値を蓄積する手段に結合されていて、前記初
    期設定フェーズ後に動作する手段(402)であって、
    前記デジタル値を前記各PCMサンプルから減算し、D
    Cコンポーネントが抑圧されたPCMサンプルの系列を
    生成する手段と、 所定のデシメーション係数に対応する前記系列C(n)
    を生成する生成手段(321,327,331,33
    7,341,347)と、 該生成手段に結合された乗算手段(323,333,3
    43)であって、前記系列の各係数C(n)をΣΔ入力
    サンプルS(i+n)と乗算する乗算手段と、 ゼロに等しい係数C(3×N−1)の生起を検出する検
    出手段(321,331,341)と、 該検出手段に結合された手段(311,312,31
    3)であって、PCMサンプルの生成フェーズを制御す
    るために、前記係数C(3×N−1)の生起の検出に応
    答して、次のPCMパルスの計算プロセスを開始させる
    1つのΣΔクロックパルスをシフトするシフト手段とを
    備えたことを特徴とするデシメーション・フィルタ。
  8. 【請求項8】 請求項7に記載のデシメーション・フィ
    ルタにおいて、前記ΣΔクロック(fs)を受信する3
    つの計算手段(350,360,370)であって、そ
    れぞれ、3×N個の連続する入力ΣΔパルスの系列から
    1つのPCMサンプルを計算する計算手段であって、 リセット・ラインと制御ライン(391,393,39
    5)を有する計数手段(321,331,341)であ
    って、前記制御ラインの状態に応じて、1だけのインク
    リメンか、2だけのデクリメントのいずれかを行う計
    数手段と、 対応するΣΔサンプルS(i+n)により乗算される係
    数の値C(n)を蓄積する第1レジスタ(320,33
    0,340)と、 ΣΔクロック期間ごとにアクティブになる加算手段(3
    27,337,347)であって、前記第1レジスタに
    ロードされる次の係数を計算するために、前記計数手段
    の内容と、前記第1レジスタ(320,330,34
    0)の内容とを加算する加算手段と、 前記計算手段にて、前記予め定めたデシメーション係数
    に対応する係数C(n)を生成するために、前記計数手
    段(321,331,341)の制御信号とリセット信
    号をそれぞれ生成する手段とを含む計算手段を備えたこ
    とを特徴とするデシメーション・フィルタ。
  9. 【請求項9】 請求項8に記載のデシメーション・フィ
    ルタにおいて、 1つのΣΔクロック・パルスを、1つのPCMクロック
    ・パルス生成だけシフトする決定に応答して、位相訂正
    を前記PCMクロック・パルスに伝送する手段と、 前記位相訂正が生じたときに、1つのPCMサンプルの
    計算をちょうど完了した計算手段(350,360,3
    70)に含まれる計数手段(321,331,341)
    をリセットする手段と、 対応するPCMサンプル計算が完全に完了するまで動作
    し続ける2つの計算手段(360,370)の前記制御
    信号の同期を遅延させる手段とをさらに含むことを特徴
    とするデシメーション・フィルタ。
JP5133504A 1992-07-10 1993-06-03 信号変換システムおよびデシメーションフィルタ Expired - Lifetime JP2687842B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP92480099A EP0577902B1 (en) 1992-07-10 1992-07-10 Decimation filter for a sigma-delta converter and A/D converter using the same
FR92480099.8 1992-07-10

Publications (2)

Publication Number Publication Date
JPH06132829A JPH06132829A (ja) 1994-05-13
JP2687842B2 true JP2687842B2 (ja) 1997-12-08

Family

ID=8211794

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5133504A Expired - Lifetime JP2687842B2 (ja) 1992-07-10 1993-06-03 信号変換システムおよびデシメーションフィルタ

Country Status (4)

Country Link
US (1) US5461641A (ja)
EP (1) EP0577902B1 (ja)
JP (1) JP2687842B2 (ja)
DE (1) DE69223508T2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4887107A (en) * 1986-07-29 1989-12-12 Minolta Camera Kabushiki Kaisha Camera
JP3080207B2 (ja) * 1993-01-06 2000-08-21 三菱電機株式会社 電子式電力量計
US5757299A (en) * 1994-09-30 1998-05-26 Yamaha Corporation Analog-Digital converter using delta sigma modulation digital filtering, and gain-scaling
US5970103A (en) 1996-09-06 1999-10-19 Townshend; Brent High speed communications system for analog subscriber connections
US6233275B1 (en) 1994-12-09 2001-05-15 Brent Townshend High speed communications system for analog subscriber connections
US6234658B1 (en) 1996-06-07 2001-05-22 Duality Semiconductor, Inc. Method and apparatus for producing signal processing circuits in the delta sigma domain
US5943365A (en) * 1996-10-16 1999-08-24 Cirrus Logic, Inc. Device, system, and method for modem communication utilizing DC or near-DC signal suppression
ES2264194T3 (es) * 1997-02-20 2006-12-16 Brent Townshend Sistema de comunicaciones de alta velocidad para conexiones analogicas de abonado.
US6041339A (en) * 1998-03-27 2000-03-21 Ess Technology, Inc. Efficient decimation filtering
WO2000065723A1 (en) * 1999-04-21 2000-11-02 Koninklijke Philips Electronics N.V. Sigma-delta analog-to-digital converter
US6314132B1 (en) * 1999-12-13 2001-11-06 Sunplus Technology Co., Ltd. Microprocessor structure and method for implementing digital filter operations
DE10243564B4 (de) * 2002-09-19 2006-11-30 Siemens Ag Schaltungsanordnung zur Mittelwertbildung
US7554473B2 (en) * 2007-05-02 2009-06-30 Cirrus Logic, Inc. Control system using a nonlinear delta-sigma modulator with nonlinear process modeling
WO2013125522A1 (ja) * 2012-02-20 2013-08-29 住友電気工業株式会社 信号変換方法、信号送信方法、信号変換装置、及び送信機
JP5915459B2 (ja) * 2012-02-20 2016-05-11 住友電気工業株式会社 信号変換方法、信号送信方法、信号変換装置、及び送信機
JP5418709B2 (ja) * 2013-03-15 2014-02-19 住友電気工業株式会社 信号変換装置及び送信機
CN110290081B (zh) * 2019-06-27 2022-03-25 北京润科通用技术有限公司 一种基带信号处理方法及装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7801866A (nl) * 1978-02-20 1979-08-22 Philips Nv Digitale filterinrichting voor niet-uniform gekwantiseerde pcm.
US4590458A (en) * 1985-03-04 1986-05-20 Exxon Production Research Co. Offset removal in an analog to digital conversion system
JPH065505B2 (ja) * 1985-05-17 1994-01-19 日本電気株式会社 演算回路
JPH01204528A (ja) * 1988-02-10 1989-08-17 Fujitsu Ltd A/d変換器
JPH01212031A (ja) * 1988-02-18 1989-08-25 Nec Corp オーバーサンプルa−d変換器
US4943807A (en) * 1988-04-13 1990-07-24 Crystal Semiconductor Digitally calibrated delta-sigma analog-to-digital converter
DE4102149A1 (de) * 1991-01-25 1992-07-30 Broadcast Television Syst Codierverfahren zur reduzierung des gleichspannungsanteils im datenstrom eines digitalsignals
US5226001A (en) * 1991-03-06 1993-07-06 General Electric Company Plural-channel decimation filter, as for sigma-delta analog-to-digital converters
DE69114129T2 (de) * 1991-07-17 1996-06-13 Ibm Dezimationsfilter für Sigma-Delta Konverter und Datenendeinrichtung mit einem solchen Filter.
US5258939A (en) * 1991-10-10 1993-11-02 Harris Corporation Fold and decimate filter architecture

Also Published As

Publication number Publication date
EP0577902A1 (en) 1994-01-12
DE69223508D1 (de) 1998-01-22
JPH06132829A (ja) 1994-05-13
US5461641A (en) 1995-10-24
DE69223508T2 (de) 1998-06-25
EP0577902B1 (en) 1997-12-10

Similar Documents

Publication Publication Date Title
JP2687842B2 (ja) 信号変換システムおよびデシメーションフィルタ
US5805093A (en) Oversampled high-order modulator
EP1157494B1 (en) Frequency-shaped pseudo-random chopper stabilization circuit and method for delta-sigma modulator
JP3089104B2 (ja) 移動平均フィルタ、及びこれを用いたa/d変換器
JPH05110442A (ja) 高次シグマ−デルタ変調器の安定化方法および構成
EP0488818A1 (en) A/D (analog-to-digital) converter
US6067327A (en) Data transmitter and method therefor
EP0168220B1 (en) Method and apparatus for converting an analog signal to a digital signal using an oversampling technique
EP3751742A1 (en) Sigma-delta analog-to-digital converter circuit with correction for mismatch error introduced by the feedback digital-to-analog converter
US5327133A (en) Digital integrator with reduced circuit area and analog-to-digital converter using same
US5220327A (en) Decimation filter in a sigma-delta analog-to-digtal converter
JPS588777B2 (ja) デルタ変調装置
US5463569A (en) Decimation filter using a zero-fill circuit for providing a selectable decimation ratio
EP0054033B1 (en) Interpolative encoder for subscriber line audio processing circuit apparatus
JP2585246B2 (ja) コンバ−タ回路
EP0054024B1 (en) Subscriber line audio processing circuit apparatus
KR20010024469A (ko) 주파수 샘플링에 기반한 디지털 위상 판별
EP0552020A1 (en) Subsampling filter comprising two filters in succession
US6278392B1 (en) Gain adjustable sigma delta modulator system
JPH04129334A (ja) ディジタル・シグマデルタ変調器
US4319360A (en) Predictor stage for a digit rate reduction system
JPH01117527A (ja) コード変換器
US20020145547A1 (en) Method and apparatus for analog to digital conversion utilizing a moving sum
GB2278247A (en) Chopper-stabilized sigma-delta converter
Ramesh et al. Sigma delta analog to digital converters with adaptive quantization