JP2543224B2 - 半導体装置とその製造方法 - Google Patents

半導体装置とその製造方法

Info

Publication number
JP2543224B2
JP2543224B2 JP2108451A JP10845190A JP2543224B2 JP 2543224 B2 JP2543224 B2 JP 2543224B2 JP 2108451 A JP2108451 A JP 2108451A JP 10845190 A JP10845190 A JP 10845190A JP 2543224 B2 JP2543224 B2 JP 2543224B2
Authority
JP
Japan
Prior art keywords
film
layer
epitaxial layer
forming
emitter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2108451A
Other languages
English (en)
Other versions
JPH03278436A (ja
Inventor
茂樹 澤田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electronics Corp filed Critical Matsushita Electronics Corp
Publication of JPH03278436A publication Critical patent/JPH03278436A/ja
Application granted granted Critical
Publication of JP2543224B2 publication Critical patent/JP2543224B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0821Collector regions of bipolar transistors
    • H01L29/0826Pedestal collectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/732Vertical transistors
    • H01L29/7325Vertical transistors having an emitter-base junction leaving at a main surface and a base-collector junction leaving at a peripheral surface of the body, e.g. mesa planar transistor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/123Polycrystalline diffuse anneal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/124Polycrystalline emitter

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Bipolar Transistors (AREA)
  • Bipolar Integrated Circuits (AREA)

Description

【発明の詳細な説明】 産業上の利用分野 本発明はバイポーラトランジスタの微細化・高速化を
図った半導体装置とその製造方法に関する。
従来の技術 近年バイポーラトランジスタは例えば特公昭55-27469
号公報、特開昭56-1556号公報、特公昭57-32511号公
報、特開昭60-164356号公報等に記述されているような
自己整合技術によりフォトリソグラフィ技術の限界を超
えた微細化が図られ、極めて高速・高性能の特性を実現
している。
従来の技術による半導体装置とその製造方法を説明す
るため第3図(a)〜(d)にNPNトランジスタの製造
方法の一例を示す。
まず、第3図(a)に示すように、P型シリコン基板
18の表面にN型コレクタ埋め込み層19を形成した後、N
型コレクタ埋め込み層19の表面にN型エピタキシャル層
20を成長させる。次に素子分離用のLOCOS膜21をN型エ
ピタキシャル層20の表面からN型エピタキシャル層20を
貫通して所定領域に形成した後、ベース引出し電極とな
るP+型ポリシリコン膜22と続いてCVD酸化膜23を、N型
エピタキシャル層20及びLOCOS膜21の全表面に順次成長
させる。次にCVD酸化膜23と続いてP+型ポリシリコン膜2
2をフォトリソグラフィによるレジストをマスクにして
選択的にエッチング除去して開口部24を形成し、N型エ
ピタキシャル層20の表面の一部分を露出させる。
さらに第3図(b)のように、酸化膜25を、CVD酸化
膜23と開口部24の全表面に成長させた後、熱処理により
P+型ポリシリコン膜22から不純物をN型エピタキシャル
層20に導入し、P型外部ベース層26を形成する。その後
P+型ポリシリコン膜22およびCVD酸化膜23をマスクにし
てイオン注入によりP型の不純物をN型エピタキシャル
層20に導入し、P型真性ベース層27を形成する。
次に第3図(c)に示すように、酸化膜25の全表面に
ポリシリコン膜を成長させたのち、ポリシリコン膜を異
方性エッチングを行い、開口部24の側面にポリシリコン
サイドウォール28を形成する。このポリシリコンサイド
ウォール28をマスクにしてCVD酸化膜23上と開口部24の
底部の酸化膜25をエッチングし、P+型ポリシリコン膜22
によるベース引出し電極と自己整合的にエミッタ引出し
用の開口部30を形成する。
最後に第3図(d)のように、エミッタ引出し用開口
部30とCVD酸化膜23の表面に成長させたN+型ポリシリコ
ン膜をフォトリソグラフィによるレジストをマスクにし
て選択的にエッチングし、エミッタ電極31を形成した
後、熱処理によりエミッタ引出し用の開口部30を通して
N+型ポリシリコン膜のエミッタ電極31からN型不純物を
P型真性ベース層27に導入し、N型エミッタ層32を形成
する。
以上の半導体装置の製造方法によると、外部ベース層
26、エミッタ層32、ポリシリコン膜22によるベース電
極、エミッタ電極31をすべて自己整合的に形成でき、バ
イポーラトランジスタの微細化・高速化を図ることがで
きる。
発明が解決しようとする課題 上記従来の技術では、P+型ポリシリコン膜22のベース
電極をマスクにイオン注入によりP型の不純物を直接N
型エピタキシャル層20中に注入し、P型真性ベース層27
を形成している。イオン注入により真性ベース層27を形
成する場合、イオンを基板に対して垂直に注入する際の
チャネリングが原因で真性ベース層27の深さは0.2μm
程度より浅くできない。真性ベース層27が深いとトラン
ジスタのカットオフ周波数の低下を招き、バイポーラト
ランジスタ高速化の妨げとなる。このため、従来の技術
では、イオン注入時に半導体基板に対し7度程度注入角
度を傾けチャネリングを抑えているが、このように注入
角度を傾けると、第3図(b)に示されているようにCV
D酸化膜23とP+型ポリシリコン膜22のベース引出し電極
が影となり、真性ベース層27の外縁部と真性ベース層27
を取り囲む外部ベース層26の内縁部とのオーバーラップ
量が均一でなくなる。その結果、オーバーラップが不十
分な部分が発生しやすくなり、この部分ではコレクタエ
ミッタ間のリーク電流が増加したり、ベース抵抗が大き
くなり高周波特性の低下をひきおこす。
またP+型ポリシリコン膜22のベース引出し電極および
外部ベース層26を形成する工程の前にベース領域全体に
真性ベース層27を形成した場合、外部ベース層26と真性
ベース層27のオーバーラップが不十分となるのは避ける
ことができる。しかしこの場合、外部ベース層26を形成
する工程の熱処理により真性ベース層27も深くなり、や
はり高周波特性の低下をひきおこす。
本発明の目的は、真性ベース層27の外縁部と、真性ベ
ース層27を取り囲む外部ベース層26の内縁部とのオーバ
ーラップ量を均一化することにより、リーク電流の増加
や高周波特性の低下を防止する半導体装置及びその製造
方法を提供することにある。また、極めて薄い真性ベー
ス層27を形成することにより高周波特性の向上を図った
半導体装置およびその製造方法を提供することにある。
課題を解決するための手段 以上のような課題を解決するために本発明は、半導体
基板上に形成されたエピタキシャル層が同エピタキシャ
ル層を貫通する第1の絶縁膜により包囲されて形成され
た前記エピタキシャル層の島領域と、同エピタキシャル
層の島領域中に前記第1の絶縁膜に接して形成された第
1の不純物を含んだ外部ベース層と、前記外部ベース層
と前記第1の絶縁膜上に直接被着されたベース引出し電
極を構成する第1の半導体膜と、同第1の半導体膜上に
形成された酸化膜と、前記第1の半導体膜と前記酸化膜
の側面により前記エピタキシャル層上に形成された開口
部の側面、並びに前記エピタキシャル層の前記開口部の
周囲に形成された窒化膜と、同窒化膜に隣接して形成さ
れた第2の半導体膜と、前記窒化膜と前記第2の半導体
膜により画定されたエミッタ引出し開口部に形成された
エミッタ引出し電極を形成する第3の半導体膜と、同第
3の半導体膜の下方の前記エピタキシャル層内に前記第
3の半導体膜に注入された第2の不純物を拡散すること
により形成された真性ベース層と、同真性ベース層内に
前記第3の半導体膜に注入された第3の不純物を拡散す
ることにより形成されたエミッタ層とを備えるととも
に、前記外部ベース層の内縁部と前記真性ベース層の外
縁部とが、実質的に対称的に一様にオーバーラップして
いることを特徴とする半導体装置と、半導体基板上にエ
ピタキシャル層を形成する工程と、同エピタキシャル層
に選択的に溝を形成し、同溝内に前記エピタキシャル層
を貫通する第1の絶縁膜を形成することにより、前記エ
ピタキシャル層が前記第1の絶縁膜に包囲される前記エ
ピタキシャル層の島領域を形成する工程と、前記第1の
絶縁膜と前記エピタキシャル層上に直接被着される第1
の半導体膜とこの上に酸化膜を形成する工程と、前記酸
化膜と前記第1の半導体膜を選択的に除去して前記エピ
タキシャル層の一部分を露出させる開口部を形成すると
ともに、前記第1の半導体膜によりベース引出し電極の
パターンを形成する工程と、前記開口部の表面を含むす
べての表面に窒化膜を形成し、同窒化膜の上にさらに第
2の半導体膜を形成する工程と、熱処理により前記ベー
ス引出し電極から前記エピタキシャル層に第1の不純物
を導入し、外部ベース層を形成する工程と、前記第2の
半導体膜と前記窒化膜を異方性エッチングで除去して前
記開口部の側面に前記窒化膜と前記第2の半導体膜によ
るサイドウォールを形成するとともに前記エピタキシャ
ル層が露出したエミッタ引出し用開口部を形成する工程
と、同エミッタ引出し用開口部に第3の半導体膜を形成
してエミッタ引出し電極を形成する工程と、同エミッタ
引出し電極に第2の不純物を注入し、さらに前記エピタ
キシャル層内に前記第2の不純物を拡散させて真性ベー
ス層を形成する工程と、前記エミッタ引出し電極に第3
の不純物を注入し、さらに前記真性ベース層内に前記第
3の不純物を拡散させてエミッタ層を形成する工程とを
備えたことを特徴とする半導体装置の製造方法を備える
ものである。
作用 本発明の半導体装置とその製造方法によると、ベース
引出し開口部とエミッタ引出し開口部との間の基板表面
の窒化膜下において真性ベース層の外縁部と外部ベース
層の内縁部が一様の幅でオーバーラップすることができ
る。しかも熱処理による不純物の拡散がシリコン基板中
に比較してポリシリコンエミッタ電極中で非常に速いの
で、極めて浅い真性ベース層を形成することができる。
実施例 第1図(a)〜(e)は本発明の実施例を工程順断面
図で示したものである。NPNトランジスタの例で説明す
る。
まず第1図(a)に示すように、ボロン濃度が1×10
14〜2×1015cm-3程度の(111)面のP型シリコン基板
1の表面にフォトリソグラフィを用いてレジストの所定
領域に窓を開ける。次にこのレジストパターンをマスク
にしてアンチモンを1〜4×1015cm-2のドーズ量で40〜
100KeVの加速エネルギーによりP型シリコン基板1にイ
オン注入する。その後1200℃、30分程度酸素雰囲気中で
熱処理することにより、接合深さ約1〜2μm、表面濃
度1〜3×1019cm-3程度のN型埋め込みコレクタ層2を
形成する。窒素雰囲気中ではなく酸素雰囲気中で熱処理
するのはシリコン基板1表面の窒化を防ぐためであり、
窒化は結晶欠陥の原因となり素子特性の劣化を招く。な
おここでは(111)面の基板を使用したが、P型シリコ
ン基板1の結晶方向は特に限定するものではない。そし
て熱処理の際形成されたP型シリコン基板1表面の酸化
膜をフッ化アンモニウム(NH4F)とフッ化水素(HF)の
混合液でエッチング除去した後、1000〜1100℃、10〜10
0Torr程度の条件でジクロルシラン(SiH2Cl2)とアルシ
ン(AsH3)のガスを用い、砒素濃度が5×1015〜3×10
16cm-3のエピタキシャル層3を約0.8〜1.5μmの厚さに
成長させる。
拡散係数が砒素より小さいアンチモンを用い、1100℃
程度以下と比較的低温かつ100Torr程度以下の減圧CVD法
でエピタキシャル成長させることにより、表面濃度が1
〜3×1019cm-3と高濃度のN型埋め込みコレクタ層2の
エピタキシャル層3へのエピタキシャル成長時およびそ
れ以降の工程での熱処理に伴うせり上がり拡散を0.3μ
m程度以下に抑えることができる。エピタキシャル層3
の低不純物濃度部分の厚みは素子の耐圧を決定する要因
となるが、せり上がりを抑えることにより、7V以上のコ
レクタエミッタ間やコレクタベース間耐圧を保つのに十
分なエピタキシャル層3の低不純物濃度部分の厚みを保
ちながら、エピタキシャル層3を薄くすることができ
る。エピタキシャル層3の膜厚は素子の動作速度を決定
する要因のひとつであり、エピタキシャル層3を1.5μ
m以下と薄くすることにより、トランジスタ動作時のキ
ャリアのコレクタ走行時間を短くでき高速動作が可能な
素子を形成できる。さらにエピタキシャル層3を1.5μ
m以下と薄くすることにより、後の工程で形成する素子
分離用のLOCOS膜6も薄くでき、LOCOS膜6形成時の酸化
時間を短くできる。またシリコンが酸化される際は体積
が膨張するため、素子分離用のLOCOS膜6形成時のよう
に選択的に酸化する際はシリコン基板1表面に凸凹が形
成されるので、素子分離用のLOCOS膜6を薄くすること
ができるとLOCOS膜6の凸凹に伴う段差も低減できる。
アンチモンのドーズ量を上述の条件よりも増やすと、
N型埋め込みコレクタ層2のエピタキシャル層3への熱
処理に伴うせり上がり拡散により、前述のように素子耐
圧の低下を招く。逆にアンチモンのドーズ量の上述の条
件より減らすと、N型埋め込みコレクタ層2のシート抵
抗の増加に伴うコレクタ抵抗の増大により、トランジス
タ特性の低下をひきおこす。エピタキシャル層3の不純
物濃度はトランジスタ耐圧およびコレクタベース接合の
空乏層幅に伴う容量を決定する要因である。またトラン
ジスタが高電流密度で動作する際のベース領域中の過剰
なキャリアに伴い実効的なベース幅が拡がることをカー
ク効果と言うが、エピタキシャル層3の不純物濃度はこ
のベース拡がりを決定する要因のひとつでもある。エピ
タキシャル層3の砒素濃度を5×1015〜3×1016cm-3
したのは、十分なトランジスタ耐圧を保ち、コレクタベ
ース間接合容量の低減を図ると同時に、トランジスタが
高電流密度で動作する際のカーク効果に伴う高周波特性
の低下を避けるためである。
なおN型埋め込みコレクタ層2の形成に不純物として
アンチモンを用いた利点をいくつか述べたが、アンチモ
ンに限定する必要はなく砒素を用いてもアンチモンの場
合に近い特性を有する素子は形成できる。ただしN型埋
め込みコレクタ層2の不純物濃度、エピタキシャル層3
の不純物濃度や膜厚等の最適条件は異なる。またここで
は集積回路の場合を考えて素子分離のためにP型シリコ
ン基板1中にN型埋め込みコレクタ層2を形成したが、
個別半導体素子等で素子分離が必要ない場合は埋め込み
コレクタ層2を形成せずに、3×1017〜3×1019cm-3
度の不純物濃度のN型シリコン基板上にエピタキシャル
層3を成長させてもよい。
次にジクロルシラン(SiH2Cl2)、アンモニア(NH3
を用いた減圧CVD法によりエピタキシャル層3の表面全
域にシリコン窒化膜4を70〜150nmの厚さに成長させた
後、素子分離領域を開口するように露光現像したレジス
トパターン(図示せず)をマスクにして素子分離領域の
窒化膜4を四フッ化メタン(CF4)、CH3Br、酸素(O2
の混合ガス中でのRFドライエッチングにより除去する。
さらにN型エピタキシャル層3を六フッ化イオウ(S
F6)ガスを用いてRFドライエッチングにより深さ0.4〜
0.8μm程度除去し、エピタキシャル層3の膜厚の半分
程度の深さのシリコン溝5を形成した後、O2プラズマア
ッシングによりレジストを除去する。
次に第1図(b)に示すように、窒化膜4をマスクに
して950〜1050℃で5〜10気圧の高圧パイロ酸化法を用
い、選択酸化により第1の絶縁膜となる1〜1.8μm厚
の素子分離用のLOCOS膜6を形成する。このように素子
分離用のLOCOS膜6の厚さの半分程度の深さのシリコン
溝5をLOCOS膜6を形成する前に形成するのは、エピタ
キシャル層3を貫通するLOCOS膜6の膜厚をシリコン溝
5のない場合よりも半分程度の厚さに低減できること
と、また酸化されたシリコンが膨張しエピタキシャル層
3の主面とほぼ一致するようなLOCOS膜6がシリコン溝
5内に充填され、素子分離用のLOCOS膜6形成によって
表面に発生する凸凹を低減することを目的としている。
またここで5〜10気圧の高圧パイロ酸化法を用いること
により、1200℃程度の高温と同程度以上の酸化速度が実
現でき、1050℃以下の低温でかつ酸化時間を短くするこ
とによりN型埋め込みコレクタ層2のエピタキシャル層
3への酸化の熱処理に伴うせり上がり拡散を抑えること
ができる。
次にリン酸液により窒化膜4をエッチング除去した
後、ベース引出し電極となるポリシリコン膜7をシラン
(SiH4)ガスでの減圧CVD法により300〜500nm程度の厚
さに形成し、続いて酸化膜8をジクロルシラン(SiH2Cl
2)、一酸化窒素(N2O)の減圧CVD法により150〜300nm
程度の厚さでポリシリコン膜7の全表面に成長させる。
ベース引出し電極となるポリシリコン膜7の抵抗値を低
くする目的で、イオン注入により第1の不純物となるP
型不純物のボロンを注入エネルギー40〜80KeVでドーズ
量5×1015〜2×1016cm-2の条件でポリシリコン膜7に
導入する。次にレジストをマスクにして三フッ化メタン
(CHF3)、NH3、O2混合ガス中でのRFドライエッチによ
り酸化膜8を除去する。続いてSF6、モノクロロペンタ
フルオロエタン(以下C2ClF5と記す)ガスでの異方性ド
ライエッチによりポリシリコン膜7を除去して開口部9
を形成する。酸化膜8及びポリシリコン膜7の除去は、
いずれもベースポリシリコン電極形成領域を除き開口す
るように露光現像した1.0〜1.5μm程度の幅のレジスト
パターンをマスクに用いて実施し、N型エピタキシャル
層3表面を露出させる。その後、レジストをO2プラズマ
アッシングにより除去する。
ここでベース引出し電極となるポリシリコン膜7の膜
厚を300〜500nm、酸化膜8の膜厚を150〜300nm程度、ボ
ロンの注入エネルギーを40〜80KeVとしたのは、酸化膜
8越しのイオン注入においてもボロンを十分ポリシリコ
ン膜7中に導入すると同時に、ボロンイオンがポリシリ
コン膜7を突き抜けN型エピタキシャル層3表面開口部
9の形成領域に到達することがないようにするためであ
る。ボロンがポリシリコン膜7を突き抜けエピタキシャ
ル層3の表面の開口部9の形成領域に到達すれば、トラ
ンジスタの電流増幅率や動作周波数の低下等素子特性の
劣化につながる。またイオン注入後に酸化膜8を成長さ
せないのは、酸化膜8の減圧CVD成長時の熱処理、通常
は800℃程度であるが、これによりポリシリコン膜7の
ボロン不純物が固相拡散され、N型エピタキシャル層3
表面にボロンが導入されないようにするためである。ド
ーズ量が5×1015〜2×1016cm-2のボロンイオン注入で
シート抵抗60〜120Ω/□程度のポリシリコンベース引
出し電極が形成できる。この条件よりもドーズ量が多い
と、後述するP型外部ベース層11が深くなり、ベースコ
レクタ接合容量の増加に伴いトランジスタの高周波特性
の劣化につながる。またこの条件よりもドーズ量が少な
いとベース抵抗が増大し、さらにP型外部ベース層11と
真性ベース層16のオーバーラップが不十分となりやはり
特性が低下する。
次に第1図(c)のように、窒化膜10をSiH2Cl2、NH3
での減圧CVD法により50〜120nmの厚さで、酸化膜8上お
よび開口部9の全表面に成長させた後、熱処理によりP+
型のポリシリコン膜7からボロンをN型エピタキシャル
層3に拡散させることによって、P型外部ベース層11を
形成する。この際熱処理条件をN2雰囲気中の1000℃程度
で約30〜60分にすると、ボロンによる外部ベース層11は
0.25〜0.35μm程度の接合深さで1〜3×1020cm-3程度
の表面濃度となる。また開口部9での窒化膜10はベース
引出し電極となるポリシリコン膜7やP型外部ベース層
11とエミッタ電極間の電気的な絶縁膜となるものであ
る。したがって絶縁膜として十分な信頼性を有する窒化
膜10が必要である。また、窒化膜10が厚過ぎると窒化膜
10の応力に伴うトランジスタの特性劣化が予想される。
したがって窒化膜10の厚さは50〜120nm程度が妥当であ
る。
次に第1図(d)に示すように、SiH4の減圧CVD法に
より250〜350nm程度の厚さで、窒化膜10の全表面に成長
させたポリシリコン膜を、極めて異方性の高いエッチン
グが可能なガス条件である六フッ化硫黄(SF6)と四塩
化炭素(CCl4)の混合ガス中で異方性エッチングを行う
ことにより、開口部9の側面にポリシリコンサイドウォ
ール12を形成する。このポリシリコンサイドウォール12
をマスクにして開口部9の側面および開口部9の底面の
周辺部以外の窒化膜10をCF4、CHBr3、O2ガス中でRFエッ
チングすることにより、ベース引出し電極となるポリシ
リコン膜7の端部と等距離になるようにエミッタ引出し
開口部14を自己整合的に形成する。このようにポリシリ
コン膜7のベース引出し電極とエミッタ引出し開口部14
を自己整合的に形成することは、フォトリソグラフィ技
術の限界を超えた微細なエミッタ引出し部を開口し、ま
たフォトリソグラフィでのマスク合わせ余裕等を考慮す
る必要なしに、素子の高速動作の妨げとなる寄生領域で
ある外部ベース層11を極めて微細に形成するためであ
る。
最後に第1図(e)のように、エミッタ引出し開口部
14を含む表面にSiH4の減圧CVD法により200〜300nmの厚
さに成長させたポリシリコンを、ポリシリコンエミッタ
電極形成領域のみをマスクするように露光現像したレジ
ストパターン(図示せず)を形成して選択的にSF6、C2C
lF5がスでRFエッチングし、ポリシリコンエミッタ電極1
5を形成する。その後、O2プラズマアッシングによりレ
ジストを除去する。その後このポリシリコンエミッタ電
極15に第2の不純物となるP型不純物のボロンをポリシ
リコンエミッタ電極15の領域を開口するように露光現像
したレジストパターンをマスクにして選択的にイオン注
入し、O2プラズマアッシングによりレジストを除去後、
N2雰囲気中の熱処理によりエミッタ引出し開口部14を通
してポリシリコンエミッタ電極15からボロンをエピタキ
シャル層3に導入し、P型真性ベース層16を形成する。
さらにポリシリコンエミッタ電極15に第3の不純物とな
るN型不純物の砒素を、40〜80KeVのエネルギーでドー
ズ量が5×1015〜2×1016cm-2程度の条件で、ポリシリ
コンエミッタ電極15の領域を開口するように露光現像し
たレジストパターンをマスクにして選択的にイオン注入
し、O2プラズマアッシングによりレジストを除去後、N2
雰囲気中熱処理によりエミッタ引出し開口部14を通して
ポリシリコンエミッタ電極15から砒素をP型真性ベース
層16中に導入し、N型エミッタ層17を形成する。以上の
工程を経ることにより本発明の半導体装置が得られる。
真性ベース層16を形成する工程でポリシリコンエミッ
タ電極15のポリシリコンにボロンをイオン注入する際、
ボロンイオンがポリシリコンエミッタ電極15を突き抜け
てエピタキシャル層3に到達すれば、真性ベース層16の
接合が深くなり、トランジスタのベース走行時間が大き
くなることからトランジスタの高周波特性の低下を招く
ので、ボロンイオンがポリシリコンエミッタ電極15を突
き抜けないようにポリシリコン膜厚やイオン注入条件を
設定する。したがって、ポリシリコンエミッタ電極15の
ポリシリコン膜厚は厚過ぎるとエミッタ抵抗増大に伴う
トランジスタの高周波特性の低下につながるので厚くて
も300nm程度までであるが、ポリシリコン膜厚が300nmで
ボロンをイオン注入する場合は、注入エネルギーは30Ke
V以下にする。2〜4×1014cm-2程度の注入ドーズ量、9
00〜950℃、30〜60分程度の真性ベース層16のドライブ
イン熱処理により、表面濃度5×1018〜2×1019cm-3
度で深さ約0.1〜0.15μmの真性ベース層16を形成する
ことができる。またエミッタ層17は熱処理が900℃、30
分程度であれば、表面濃度3×1020cm-3程度で深さ0.05
μm以下と真性ベース層16、エミッタ層17とも極めて浅
い拡散層が形成できる。各拡散層の横方向の拡散長は深
さ方向の約80〜85%程度であるので、エミッタ引出し開
口部14の周囲のどの位置においても一様でかつ十分な外
部ベース層11と真性ベース層16のオーバーラップを、ベ
ース引出し電極のポリシリコン膜7の端部とエミッタ引
出し開口部14との間の窒化膜10下において得る。またP
型外部ベース層11とN型エミッタ層17の高不純物濃度同
士の拡散層間のオーバーラップを抑え、トンネル電流等
に伴う信頼性上の問題等を避けるためには以下のような
条件とすることが望ましい。すなわち、外部ベース層11
の深さは0.25〜0.35μm程度であり、250〜350nm厚のポ
リシリコンによるサイドウォール12を形成することによ
り、素子分離用のLOCOS膜6の内縁部とポリシリコン膜
7に接する側壁の窒化膜10からなるベース引出し電極用
の開口部とエミッタ引出し開口部14の間隔を約0.25〜0.
35μmとすればよい。
以上のように、ポリシリコンエミッタ電極15にP型不
純物のボロンをイオン注入し、熱処理によりエミッタ引
出し開口部14を通してポリシリコンエミッタ電極15から
ボロンをエピタキシャル層3に導入してP型真性ベース
層16を形成する方法を用いるのは、不純物の拡散が単結
晶シリコン中に比較してポリシリコン中で極めて速いの
で、従来のように注入角度を7度程度傾けチャネリング
を抑えることなしに、0.10〜0.15μmの浅い真性ベース
層16を形成できる。またイオン注入時のダメージに伴う
エピタキシャル層3の表面の結晶欠陥を避けることもで
きるからである。特にベース電極にポリシリコンを用い
る自己整合型のトランジスタでは、ベース引出し電極形
成時のポリシリコンをエッチングし、開口部9を設けて
エピタキシャル層3を露出させる際にエピタキシャル層
3の表面に与えるダメージが原因となり、以降の工程で
この開口部9の底面に直接イオン注入をする場合トラン
ジスタ特性に大きな影響を与える欠陥を誘起しやすい。
しかし、熱処理によりエミッタ引出し開口部14を通して
ポリシリコンエミッタ電極15からボロンをエピタキシャ
ル層3に導入しP型真性ベース層16を形成する方法によ
ると、この問題も避けることができるからである。
また第1図の実施例のようにサイドウォール部がポリ
シリコン12と窒化膜10との2重構造になっているのは、
バイポーラトランジスタで最も特性に大きく影響するエ
ミッタ周辺部のベースエミッタ接合を窒化膜10が覆い、
その保護膜としての効果が期待でき、信頼性上も良好な
トランジスタを形成することができるためである。ま
た、窒化膜の膜厚減に伴う応力低減とポリシリコンその
ものの応力が小さいことも考慮しており、応力に伴うト
ランジスタ特性の低下を防ぐことができる。
第2図は、本発明の構造を有するトランジスタのコレ
クタエミッタ間逆バイアスパンチスルーリーク電流
(a)、エミッタベース間逆バイアストンネル電流
(b)、カットオフ周波数(c)およびベース抵抗
(d)をベース引出し開口部とエミッタ引出し開口部の
間隔に対しプロットしており、各特性を従来構造の場合
と比較して示す。開口部間の距離が小さくなり、P型外
部ベース層11の横拡散部がN型エミッタ層17に入り込む
と、エミッタベース間のトンネル電流が増加し、またエ
ミッタベース間の接合容量の増加およびエミッタ領域周
辺部のベースガンメル数の増加に伴いカットオフ周波数
が低下する。逆に開口部間距離が大きくなるとエミッタ
領域周辺部でのP型外部ベース層11と真性ベース層16の
オーバーラップが十分でなくなり、コレクタエミッタ間
パンチスルーリークやベース抵抗の増加を生じる。
自己整合技術による構造を有するトランジスタにおて
は、以上のようなトレードオフからエミッタベース開口
部間隔の最適化が重要である。第2図に示されているよ
うに、従来の技術では開口部の間隔が大きい場合特にP
型外部ベース層11と真性ベース層16のオーバーラップ量
の不均一かつ不十分であることが問題となる。本発明の
半導体装置とその製造方法は従来の技術と比較してエミ
ッタベース開口部間隔を最適化する際有利であり、工程
ばらつきに対しても高いマージンを有する。
以上NPN型のトランジスタの例で説明してきたが、PNP
型トランジスタでもまったく同様である。
発明の効果 以上説明したように本発明の半導体装置とその製造方
法によれば、外部ベース層と真性ベース層のオーバーラ
ップ量が均一でかつ十分で、極めて浅い真性ベース層を
有する自己整合バイポーラトランジスタを形成すること
ができる。したがってコレクタエミッタ間リーク電流や
ベース抵抗を増加させることなしに、トランジスタのカ
ットオフ周波数および集積回路の高周波特性を大幅に改
善できる。
【図面の簡単な説明】
第1図(a)〜(e)は本発明の一実施例における半導
体装置の製造の工程順断面図、第2図(a)〜(d)は
本発明と従来例の特性を比較して示す図、第3図(a)
〜(d)は従来の半導体装置の製造工程順断面図であ
る。 1……シリコン基板、2……N型埋め込みコレクタ層、
3……エピタキシャル層、4……窒化膜、5……シリコ
ン溝、6……LOCOS膜、7……ポリシリコン膜、8……
酸化膜、9……開口部、10……窒化膜、11……P型外部
ベース層、12……ポリシリコンサイドウォール、14……
エミッタ引出し開口部、15……ポリシリコンエミッタ電
極、16……P型真性ベース層、17……エミッタ層。

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】半導体基板上に形成されたエピタキシャル
    層が同エピタキシャル層を貫通する第1の絶縁膜により
    包囲されて形成された前記エピタキシャル層の島領域
    と、同エピタキシャル層の島領域中に前記第1の絶縁膜
    に接して形成された第1の不純物を含んだ外部ベース層
    と、前記外部ベース層上と前記第1の絶縁膜上に直接被
    着されベース引出し電極を構成する第1の半導体膜と、
    同第1の半導体膜上に形成された酸化膜と、前記第1の
    半導体膜と前記酸化膜の側面により前記エピタキシャル
    層上に形成された開口部の側面、並びに前記エピタキシ
    ャル層の前記開口部の周囲に形成された窒化膜と、同窒
    化膜に隣接して形成された第2の半導体膜と、前記窒化
    膜と前記第2の半導体膜により画定されたエミッタ引出
    し開口部に形成されたエミッタ引出し電極を形成する第
    3の半導体膜と、同第3の半導体膜の下方の前記エピタ
    キシャル層内に前記第3の半導体膜に注入された第2の
    不純物を拡散することにより形成された真性ベース層
    と、同真性ベース層内に前記第3の半導体膜に注入され
    た第3の不純物を拡散することにより形成されたエミッ
    タ層とを備えるとともに、前記外部ベース層の内縁部と
    前記真性ベース層の外縁部とが、実質的に対称的に一様
    にオーバーラップしていることを特徴とする半導体装
    置。
  2. 【請求項2】半導体基板上にエピタキシャル層を形成す
    る工程と、同エピタキシャル層に選択的に溝を形成し、
    同溝内に前記エピタキシャル層を貫通する第1の絶縁膜
    を形成することにより、前記エピタキシャル層が前記第
    1の絶縁膜に包囲される前記エピタキシャル層の島領域
    を形成する工程と、前記第1の絶縁膜と前記エピタキシ
    ャル層上に直接被着される第1の半導体膜とこの上に酸
    化膜を形成する工程と、前記酸化膜と前記第1の半導体
    膜を選択的に除去して前記エピタキシャル層の一部分を
    露出させる開口部を形成するとともに、前記第1の半導
    体膜によりベース引出し電極のパターンを形成する工程
    と、前記開口部の表面を含むすべての表面に窒化膜を形
    成し、同窒化膜の上にさらに第2の半導体膜を形成する
    工程と、熱処理により前記ベース引出し電極から前記エ
    ピタキシャル層に第1の不純物を導入し、外部ベース層
    を形成する工程と、前記第2の半導体膜と前記窒化膜を
    異方性エッチングで除去して前記開口部の側面に前記窒
    化膜と前記第2の半導体膜によるサイドウォールを形成
    するとともに前記エピタキシャル層が露出したエミッタ
    引出し用開口部を形成する工程と、同エミッタ引出し用
    開口部に第3の半導体膜を形成してエミッタ引出し電極
    を形成する工程と、同エミッタ引出し電極に第2の不純
    物を注入し、さらに前記エピタキシャル層内に前記第2
    の不純物を拡散させて真性ベース層を形成する工程と、
    前記エミッタ引出し電極に第3の不純物を注入し、さら
    に前記真性ベース層内に前記第3の不純物を拡散させて
    エミッタ層を形成する工程とを備えたことを特徴とする
    半導体装置の製造方法。
  3. 【請求項3】窒化膜の厚さを50〜120nmとしたことを特
    徴とする特許請求の範囲第1項記載の半導体装置。
  4. 【請求項4】窒化膜の厚さを50〜120nmとしたことを特
    徴とする特許請求の範囲第2項記載の半導体装置の製造
    方法。
JP2108451A 1989-04-25 1990-04-24 半導体装置とその製造方法 Expired - Fee Related JP2543224B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10495889 1989-04-25
JP1-104958 1990-03-05
JP2-53439 1990-03-05

Publications (2)

Publication Number Publication Date
JPH03278436A JPH03278436A (ja) 1991-12-10
JP2543224B2 true JP2543224B2 (ja) 1996-10-16

Family

ID=14394608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2108451A Expired - Fee Related JP2543224B2 (ja) 1989-04-25 1990-04-24 半導体装置とその製造方法

Country Status (4)

Country Link
US (1) US5098638A (ja)
EP (1) EP0395358B1 (ja)
JP (1) JP2543224B2 (ja)
DE (1) DE69033711T2 (ja)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5227317A (en) * 1989-04-21 1993-07-13 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit bipolar transistor device
EP0534632B1 (en) * 1991-09-24 2002-01-16 Matsushita Electronics Corporation, Ltd. Semiconductor integrated circuit device and method of fabricating the same
JP3798808B2 (ja) * 1991-09-27 2006-07-19 ハリス・コーポレーション 高いアーリー電壓,高周波性能及び高降伏電壓特性を具備した相補型バイポーラトランジスター及びその製造方法
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5213989A (en) * 1992-06-24 1993-05-25 Motorola, Inc. Method for forming a grown bipolar electrode contact using a sidewall seed
US6001676A (en) * 1995-05-29 1999-12-14 Matsushita Electronics Corporation Semiconductor integrated circuit apparatus and associated fabrication
US6096657A (en) * 1998-03-31 2000-08-01 Imec Vzw Method for forming a spacer
EP0948039A1 (en) * 1998-03-31 1999-10-06 Interuniversitair Micro-Elektronica Centrum Vzw Method of manufacturing a bipolar transistor using a sacrificial sidewall spacer
EP0951060A1 (en) * 1998-03-31 1999-10-20 Interuniversitair Microelektronica Centrum Vzw Method of manufacturing a bipolar transistor using a sacrificial sidewall spacer
US6380039B2 (en) 1998-05-06 2002-04-30 Interuniversitair Microelektronica Centrum (Imec Vzw) Method for forming a FET having L-shaped insulating spacers
JP4468609B2 (ja) * 2001-05-21 2010-05-26 株式会社ルネサステクノロジ 半導体装置
FR2826178B1 (fr) 2001-06-13 2004-11-05 St Microelectronics Sa Procede de dopage d'un element actif de circuit integre a auto-alignement et circuit integre
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
CN104637810A (zh) * 2013-11-14 2015-05-20 北大方正集团有限公司 晶体管发射区的制造方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10431654B2 (en) * 2015-06-25 2019-10-01 International Business Machines Corporation Extrinsic base doping for bipolar junction transistors
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102016216084B8 (de) * 2016-08-26 2021-12-23 Infineon Technologies Dresden Gmbh Verfahren zum Herstellen eines Bipolartransistors
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10483368B1 (en) * 2018-05-15 2019-11-19 International Business Machines Corporation Single crystalline extrinsic bases for bipolar junction structures
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN109103097A (zh) * 2018-08-15 2018-12-28 深圳市诚朗科技有限公司 一种晶体管以及制作方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62141767A (ja) * 1985-12-16 1987-06-25 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JPS62243360A (ja) * 1986-04-15 1987-10-23 Nec Corp 半導体装置の製造方法
JPS63184364A (ja) * 1987-01-27 1988-07-29 Toshiba Corp 半導体装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3044014A1 (de) * 1980-11-22 1982-06-03 Gerhard Dr. 2000 Barsbüttel Kessler Oesophagusstethoskop
US4431460A (en) * 1982-03-08 1984-02-14 International Business Machines Corporation Method of producing shallow, narrow base bipolar transistor structures via dual implantations of selected polycrystalline layer
US4437897A (en) * 1982-05-18 1984-03-20 International Business Machines Corporation Fabrication process for a shallow emitter/base transistor using same polycrystalline layer
US4837176A (en) * 1987-01-30 1989-06-06 Motorola Inc. Integrated circuit structures having polycrystalline electrode contacts and process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62141767A (ja) * 1985-12-16 1987-06-25 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JPS62243360A (ja) * 1986-04-15 1987-10-23 Nec Corp 半導体装置の製造方法
JPS63184364A (ja) * 1987-01-27 1988-07-29 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
EP0395358B1 (en) 2001-03-14
US5098638A (en) 1992-03-24
EP0395358A3 (en) 1991-01-02
EP0395358A2 (en) 1990-10-31
DE69033711T2 (de) 2001-06-28
JPH03278436A (ja) 1991-12-10
DE69033711D1 (de) 2001-04-19

Similar Documents

Publication Publication Date Title
JP2543224B2 (ja) 半導体装置とその製造方法
US6900519B2 (en) Diffused extrinsic base and method for fabrication
KR100205017B1 (ko) 이종접합 바이폴러 트랜지스터의 제조방법
EP0137906A1 (en) Method for fabricating vertical NPN and lateral PNP transistors in the same semiconductor body
KR20020019560A (ko) 바이폴라 트랜지스터 및 그 제조방법
KR19990026266A (ko) 초자기정렬 쌍극자 트랜지스터 장치 및 그 제조방법
KR100239929B1 (ko) 반도체 장치 및 그 제조 방법
JPH0969528A (ja) 半導体装置およびその製造方法
JPH05182980A (ja) ヘテロ接合バイポーラトランジスタ
US7564075B2 (en) Semiconductor device
US4669179A (en) Integrated circuit fabrication process for forming a bipolar transistor having extrinsic base regions
JPH10326793A (ja) 半導体装置の製造方法
EP0166923A2 (en) High performance bipolar transistor having a lightly doped guard ring disposed between the emitter and the extrinsic base region
JPS5947468B2 (ja) バイポ−ラ・トランジスタの製造方法
JP2652995B2 (ja) 半導体装置およびその製造方法
JP3159527B2 (ja) 半導体装置の製造方法
KR950000139B1 (ko) 바이폴라(Bipolar) 트랜지스터 및 그 제조방법
JP3908023B2 (ja) 半導体装置の製造方法
JPH0629304A (ja) 半導体装置およびその製造方法
JP2635439B2 (ja) 半導体装置とその製造方法
JP3334168B2 (ja) 半導体集積回路装置の製造方法
JPH03215944A (ja) 半導体装置の製造方法
JPH02152240A (ja) 半導体装置の製造方法
JPH06349840A (ja) 半導体装置およびその製造方法
JPH07120669B2 (ja) バイポーラ型トランジスタの製造方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070725

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080725

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090725

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees