JP2013062530A - 有機シリケート材料からの炭素の除去方法 - Google Patents

有機シリケート材料からの炭素の除去方法 Download PDF

Info

Publication number
JP2013062530A
JP2013062530A JP2012257513A JP2012257513A JP2013062530A JP 2013062530 A JP2013062530 A JP 2013062530A JP 2012257513 A JP2012257513 A JP 2012257513A JP 2012257513 A JP2012257513 A JP 2012257513A JP 2013062530 A JP2013062530 A JP 2013062530A
Authority
JP
Japan
Prior art keywords
film
carbon
organic silicate
dielectric constant
membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012257513A
Other languages
English (en)
Other versions
JP6017935B2 (ja
Inventor
Aiping Wu
ウー アイピン
Scott Jeffrey Weigel
ジェフリー ワイゲル スコット
Thomas Albert Braymer
アルバート ブレイマー トマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2013062530A publication Critical patent/JP2013062530A/ja
Application granted granted Critical
Publication of JP6017935B2 publication Critical patent/JP6017935B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B5/00Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
    • B32B5/18Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by features of a layer of foamed material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/08Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances quartz; glass; glass wool; slag wool; vitreous enamels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24496Foamed or cellular component

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)

Abstract

【課題】有機シリケート(OSG)膜内の炭素含有種の少なくとも一部分を除去すること。
【解決手段】本明細書中に記載されているのは、酸化剤等の、しかし酸化剤に限られない薬品を用いてOSG膜を処理する工程、OSG膜紫外線を含むエネルギー源に曝す工程、またはOSG膜を薬品で処理する工程およびOSG膜をエネルギー源に曝す工程により、有機シリケート(OSG)膜内の炭素含有種の少なくとも一部分を除去するための方法である。
【選択図】なし

Description

本出願は、2008年12月11日出願の米国仮特許出願第61/121、666号明細書の利益を主張する。
本明細書中に記載されているのは、有機シリケート(OSG)材料または膜内のある炭素種の除去方法である。さらに具体的に言うと、本明細書中に記載されているのは、処理工程からの非ネットワーク炭素、炭素含有残留物、および/またはSi原子に共有結合で結合したメチル基の大部分を保ちながら、多孔質、低誘電率OSG材料または膜に含まれるSi−CH−Si架橋基(本明細書中で、ネットワーク末端炭素基という。)等であるが、これらに限られない炭素含有種の少なくとも一部分を選択的に除去するための方法である。炭素含有種の少なくとも一部分を除去することによって、炭素含有種を除去されていないOSG材料または膜に比較して、OSG材料または膜の、誘電率、機械的強度、屈折率、またはそれらの組み合わせの少なくとも1種を、改善できると考えられている。
電子産業では、集積回路(IC)および関連した電子機器の回路と構成部分との間の絶縁層として誘電体材料を使用する。マイクロエレクトロニクス機器(例えば、コンピューターチップ)の速度およびメモリーストレージ能力を増加させるために、線寸法は減少している。ライン寸法が減少するにつれて、層間絶縁(ILD)の絶縁への要求は、はるかに厳密となる。間隔を縮めることは、RC(式中、Rは導電線の抵抗であり、そしてCは絶縁誘電体中間層の静電容量である。)時定数を最小化させるためにより低い誘電率を必要とする。Cは間隔に逆比例し、そして層間絶縁(ILD)の誘電率(k)に比例する。従来のシリカ(SiO)CVD誘電体膜は、SiHまたはTEOS(Si(OCHCH、テトラエチルオルトシリケート)から生成され、そしてOは4.0の誘電率(k)を有する。
より低い誘電率を有するシリケート系CVD膜を生成させる試みを産業で行われてきた幾つかの方法がある。より低い誘電率膜を製造するためのある効果的な方法は、有機基を用いて酸化ケイ素膜をドープすることであった。得られた膜は、2.7〜3.5の範囲である誘電率を有することができる。本明細書中において有機シリケート(「OSG」)膜と呼ばれるドープされた膜は、典型的には、有機ケイ素前駆体および酸化剤から高密度膜(密度約1.5g/cm)として堆積される。
OSG膜は、化学気相堆積(CVD)法によって堆積できる。典型的なCVD法では、前駆体ガスは反応チャンバーに流入し、活性化され、そして材料は、チャンバー内側の基材上に堆積される。前駆体の活性化は、例えば、熱または高周波誘導結合プラズマ源等のエネルギー源を使用して生じることができる。OSG材料の化学気相堆積は、種々の前駆体を使用することを伴うことができる。メチル基含有有機シランである一般的に使用される前駆体の例は、テトラメチルシラン、ジメチルジメトキシシラン、ジエトキシメチルシラン、オクタメチルシクロテトラシロキサン、および/またはテトラメチルシクロテトラシロキサンである。プラズマ化学気相成長法(PECVD)は、OSG材料を生成させるのに、メチル含有有機シランと反応させるために、最も一般的に使用されるCVD法である。膜が多孔質でできている場合、より低い誘電率値を達成できるが、PECVD法によって生成されるOSG膜は、典型的には、10〜40%の原子状炭素および2.7〜3.2の範囲である誘電率を含む。より高い機器の密度およびより小さい寸法により、2.7より低い誘電率値を有する膜への業界の要求は増加した、業界は改善された絶縁特性のための種々の多孔質材料に向かってきた。
ICにおけるILDとしてのOSG材料の実施には、幾つかのつまずきやすい障害がある。一つの大きな障害は、従来のシリカ(SiO)材料より低い多孔質OSG材料の機械的特性である。ILDの機械的特性は、典型的には硬度(H)またはヤング率としてギガパスカル(GPa)でナノインデンテーションにより報告される。硬度は膜に圧入するのに必要である適用される力の尺度であり、一方、ヤング率は適用された力または圧縮に対する材料の弾性応答である。シリカは、8〜10GPaの範囲であることができる硬度を有する。対照的に、誘電率、および材料が堆積された工程の条件によって、OSG材料は0.1〜5GPaの範囲であることができる硬度を有する。機械的強度は、エッチング、化学的機械的平坦化(「CMP」)加工、および製品上に銅、銅金属(「Cu」)のための拡散バリアー、およびキャップ層等の追加の層を堆積させること等の次の加工工程に必要である。これらの工程のいくつかにおいて、複数層の温度サイクルは異なる材料間の温度膨張係数の不一致により応力を生じ、それによって割れまたは剥離を生じる。表面の平面性はまた、必要でありそして膜形成工程の間およびCMPを通したもの等の処理パラメーターの制御を通して維持できる。機械的無欠陥性、剛性、圧縮性、および剪断強度は、CMPに耐えるには特に重要であることができる。これらの機械的特性はまた、最終製品の包装に重要である。
空気の誘電率は、公称1.0であるので、材料の誘電率を低下させるまた別のアプローチは、気孔率または材料密度の減少であることができる。製造される多孔質が比較的密度の高い膜に比べてより低い誘電率を有することができる場合、誘電体膜であることができる。
気孔率が種々の異なる手段を通して低誘電体材料に導入されてきた。例えば、気孔率は膜の一部を分解することによって導入でき、増加した気孔率およびより低い密度を有する膜を生じる。
膜に気孔率を導入するための文献にある広く使用される方法は、少なくとも膜の一部分を分解するための熱アニーリングであり、それによって孔を作り、そして最終的に誘電率を下げる。膜に含まれるポロゲンの少なくとも一部を除くことによる膜に気孔率を導入するまた別の方法は、紫外(UV)光源に膜を曝すことを通してである。アニーリング工程、または硬化工程においては、膜は、典型的には、加熱されて、そして/またはUV光源に曝されて、そして/または揮発性成分を分解および/または除去し、そして実質的に膜を架橋する。米国特許第6、312、793号明細書は、Si、C、O、およびHから本質的になる第1相、CおよびH、ならびに多数の孔から本質的になる第2相を有する多相材料を記載する。相の1つの除去を引き起こすために、材料は少なくとも300℃の温度に少なくとも15分間加熱される。国際公開第00/02241号パンフレットは、100〜400℃の温度で1〜10分間アルコキシシラン材料を加熱して、材料に含まれる溶媒を除去することによって孔の形成を引き起こすことを記載する。国際公開第02/07191A2号パンフレットは、シリカゼオライト薄膜を350〜550℃の温度範囲に特定しない間の時間加熱して、吸着材料がゼオライト骨格から離れ、それによって誘電率を下げることを記載する。
材料に化学的に取り込まれた有機基または炭素含有基の量は、誘電率および機械的強度の両方に影響する。堆積された膜は、ネットワーク炭素含有基と非ネットワーク炭素含有基との組み合わせを含む。無機基を含まないSiO膜と比較するとOSG膜で観察された機械的強度の低下は、部分的に末端有機基、特に、ケイ素原子に結合したメチル基の導入によるシリカネットワークの乱れによる場合がある。ネットワークの乱れを記載する一つの方法は、膜中の炭素原子数のケイ素原子数に対する比、そして本明細書中においてC/Si比と呼ばれる比を使用することである。膜中に含まれる有機基が多ければ多いほど、酸素架橋を通して4つの他のケイ素に結合するケイ素原子はより少なく、対応する硬度を下げる場合があると考えられている。しかし、膜内の有機基の数が少なすぎると、誘電率は、不利に影響される。結果として、誘電率を下げるために有機基を加える利益は、その低下した硬度により膜内の有機基の量を増加させることで低下する場合がある。
従って、低密度および多孔質OSG材料を製造するために改善された方法を提供する技術的な必要性がある。したがって、多孔質有機シリケート膜内に含まれる炭素含有種の少なくとも一部分を効果的に除去し、それによって膜の誘電率に不利に影響することなく、膜の機械的強度を少なくとも改善させるクリーニング組成物への技術的なニーズがある。
本明細書中に記載されているのは、酸化剤等の、しかし酸化剤に限られない薬品で膜を処理すること、紫外線等の、しかし紫外線に限られないエネルギー源に膜を曝すこと、または薬品で膜を処理することと、エネルギー源に膜を曝すこととの組み合わせによって、有機シリケート(OSG)膜内の炭素含有種の少なくとも一部分を除去するための方法である。一つの形態では、複合有機シリケート膜が、少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン前駆体を含む組成物から堆積されており、そしてこの複合有機シリケート膜が炭素含有種を含む複合有機シリケート膜を用意する工程;紫外線を含むエネルギー源にこの複合有機シリケート膜を曝す工程;および膜に含まれる炭素含有種の少なくとも一部分を除去するために酸化剤を含む薬品で、この複合有機シリケート膜を処理する工程、膜に含まれる炭素含有種の少なくとも一部分を除去し、そして多孔質有機シリケート膜を提供するために、紫外線を含むエネルギー源にこの多孔質有機シリケート膜を曝す工程、を含む多孔質有機シリケート膜を形成させるための方法が提供される。
別の形態では、蒸着により少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン前駆体を含む組成物から複合有機シリケート膜を形成させる工程(この複合有機シリケート膜は、炭素含有種を含む。);膜に含まれる炭素含有種の少なくとも一部分を除去するために薬品で複合有機シリケート膜を処理する工程;および、膜に含まれる炭素含有種の少なくとも一部分を除去し、そして多孔質有機シリケート膜を提供するために、紫外線を含むエネルギー源に、この多孔質有機シリケート膜を曝す工程、を含む多孔質有機シリケート膜を形成させるための方法が提供される。
さらなる形態では、複合有機シリケート膜が、炭素含有種、第1の誘電率、および第1の硬度を含む、複合有機シリケート膜を用意する工程;膜中の炭素含有種の少なくとも一部分を除去するために、酸化剤を含む薬品で複合有機シリケート膜を処理する工程;ならびに膜中の炭素含有種の少なくとも一部分を除去し、そして第2の誘電率および第2の硬度を含む多孔質有機シリケート膜を提供するために、紫外線を含むエネルギー源および任意選択的に熱エネルギーにこの複合有機シリケート膜を曝す工程(第2の誘電率は、実質的に第1の誘電率以下であり、そして第2の硬度は第1の硬度より高い。)、を含む多孔質有機シリケート膜を形成させるための方法が提供される。
本明細書中に記載されているのは、酸化剤等の、しかし酸化剤に限られない薬品で膜を処理する工程、紫外線等の、しかし紫外線に限られないエネルギー源に膜を曝す工程、または薬品で膜を処理する工程とエネルギー源に膜を曝す工程との組み合わせによって、OSG膜内の炭素含有種の少なくとも一部分を除去するための方法である。本明細書中に記載された方法は、ネットワーク末端炭素基と本明細書中で呼ぶSi原子に共有結合で結合したメチル基の大部分を保持しながら、OSG膜から炭素含有種の少なくとも一部分を選択的に除去する。「炭素含有種」の用語は、本明細書中で使用される場合、膜中に含まれるポロゲン前駆体の少なくとも一部分;膜を堆積させる工程(例えば、複合膜を形成するために使用される1種または2種以上の前駆体からの前駆体残留物)、膜を硬化する工程、膜をエッチングする工程、膜をアッシングする工程、およびそれらの組み合わせ等の、しかしこれらに限られない種々の工程からの炭素含有残留物;非ネットワーク炭素種;および/またはSi−CH−Si架橋基等のあるネットワーク炭素基であることができるOSG膜内に存在するある種を記載する。本明細書中に記載された方法によるこれらの炭素含有種の選択的な除去は、例えば、フーリエ変換赤外分光法(FTIR)、X線光電子分光法(XPS)、ラマン分光法、核磁気共鳴(NMR)、または他の分析的な技術を通して見ることができる。複合または多孔質OSG内の炭素含有種の少なくとも一部分を選択的に除去することによって、多孔質膜の機械的特性を改善しながら、多孔質OSG膜の誘電率を、維持または減少できると考えられている。ある薬品を用いた複合または多孔質OSGの処理は、多孔質膜の機械的特性およびネットワーク末端炭素基に不利に影響する場合があると考えられていたので、これは驚くべきであり、そして予想外であった。
ある態様において、処理する工程および/または曝す工程は、複合OSG膜に行われる。この態様または他の態様において、処理する工程および/または曝す工程は、多孔質OSG膜上で行われる。「複合有機シリケート膜」の用語は、本明細書中で使用される場合、少なくとも1種の構造形成前駆体、少なくとも1種のポロゲン前駆体を含む組成物から堆積され、そして炭素含有種を含むOSG膜を記載する。「多孔質有機シリケート膜」の用語は、本明細書中で使用される場合、孔を含むOSG膜を記載する。ある態様において、複合OSG膜中に含まれるポロゲン前駆体の少なくとも一部分を除去することによって、多孔質OSG膜が提供される。例えば、熱アニールまたは熱硬化、紫外線アニールまたは紫外線硬化、熱アニールまたは熱硬化と紫外線アニールまたは紫外線硬化との組み合わせ、または複合OSG膜内に含まれる孔形成前駆体の少なくとも一部分を除去するために当業者に有用である他の任意の方法によって、ポロゲン前駆体の少なくとも一部分を除去できる。堆積された膜からポロゲン前駆体の成分を除去することによって、硬化工程(単数または複数)は、膜内に孔を提供する。
ある態様において、複合膜の組成によって、1つまたは2つ以上の硬化工程が完了した後で、孔システム中に炭素含有種がいくらか残るようである。この態様または他の態様において、膜内の炭素含有種の存在が、例えば、エッチングおよびアッシング等の次の処理工程の間に、膜のOSGネットワークをダメージから保護することによって有益であることができるようである。しかし、炭素含有種の存在はまた、誘電率の電子的、イオン的、または構造的構成部分に寄与する種を取り込むことによって膜の誘電率を増加でき、それによって所望の誘電率を達成するために膜に導入されるべき更なる気孔率を必要とする。膜の気孔率が増加するにつれて、膜の機械的特性における望ましくない低下が生じる場合がある。好ましからざる炭素含有種の少なくとも一部分は、ネットワーク末端炭素基(すなわち、膜に疎水性を導入するSi−CH)よりむしろ膜から選択的除去できるであろうと考えられている、好ましからざる炭素含有種を含む類似の膜に比較した場合に、誘電率および機械的強度等、しかしこれらに限られない膜の特性を改善することができる。
処理する工程、曝す工程、または処理する工程と曝す工程との組み合わせは、製造プロセスの間に種々の順序で行うことができる。処理する工程および曝す工程の両方が行われる態様において、処理する工程は、曝す工程の前、曝す工程の少なくとも一部の間、または曝す工程の後で行うことができる。いくつかの態様において、薬品で処理する工程のみが行われる。他の態様において、紫外線を含むエネルギー源に曝す工程のみが行われる。
本明細書中に記載された方法は、低誘電率(すなわち、4.0以下)有機シリケートガラス(OSG)材料および低誘電率材料を含む膜に好適である。ある態様において、低誘電率材料または膜は、1種または2種以上のシリカ含有前駆体、および1種または2種以上のポロゲン前駆体等の構造を形成する前駆体の化学気相堆積によって形成される。「ポロゲン」は、本明細書中で使用される場合、得られた材料または膜内に空隙容積を形成するために使用される試薬である。堆積工程の間に、ケイ素含有前駆体およびポロゲン前駆体は、化学的に活性化され、そして基材表面上に共堆積されて、複合有機シリケート材料を生成する。化学的活性化の結果として、ポロゲン前駆体は、重合して前駆体それ自身より高い分子量の種を生成するであろう。「重合」の用語は、本明細書中で使用される場合、前駆体のモノマーまたはオリゴマーが、プラズマおよび/または他のエネルギー源によってイオン化し、そしてフラグメント化した後で、ポリマーがこれらのイオン、ラジカルおよびフラグメントの反応/組み合わせによって生成される工程、ならびにポリマーが、厳格に管理されたまたはランダムな順序で、モノマー単位の繰り返し追加によって生成される工程をいう。堆積後に、熱処理等であるがこれに限られないエネルギー源、紫外線、電子ビームまたはe−beam、プラズマ、X線を含むがこれに限られない光エネルギー、およびそれらの組み合わせの導入によって、ポロゲンは、複合有機シリケート材料から除去できる。ポロゲンの少なくとも一部分の除去により、多孔質OSG材料となる。
先に述べたように、多孔質OSG材料は、少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン前駆体を使用して堆積される。多孔質OSG材料を提供するのに使用できるケイ素含有前駆体の例は、トリエトキシシラン、トリtert−ブトキシシラン、トリメトキシシラン、トリ(第3級)ブトキシシラン、トリアセトキシシラン、テトラ(第3級)ブトキシシラン、テトラエトキシシラン、テトラメトキシシラン、テトラアセトキシシラン、ジエトキシメチルシラン、ジメトキシメチルシラン、ジtert−ブトキシメチルシラン、メチルトリメトキシシラン、ジメチルアセトキシシラン、ジメチルジアセトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリメトキシシラン、ジアセトキシメチルシラン、フェニルジメトキシシラン、フェニルジエトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、フェニルメチルジメトキシシラン、1、3、5、7−テトラメチルテトラシクロシロキサン、オクタメチルテトラシクロシロキサン、1、1、3、3−テトラメチルジシロキサン、1−ネオヘキシル−1、3、5、7−テトラメチルシクロテトラシロキサン、ヘキサメチルジシロキサン、1、3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1、2−ジメチル−1、2−ジアセトキシ−1、2−ジエトキシジシラン、1、3−ジメチル−1、3−ジエトキシジシロキサン、1、3−ジメチル−1、3−ジアセトキシジシロキサン、1、2−ジメチル、1、1、2、2−テトラアセトキシジシラン、1、2−ジメチル−1、1、2、2−テトラエトキシジシラン、1、3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1、2−ジメチル−1−アセトキシ−2−エトキシジシラン、メチルアセトキシ−t−ブトキシシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ヘキサメチルジシラン、テトラメチルジシラン、ジメチルジシラン、およびそれらの組み合わせを含むことができるがこれらに限られない。ケイ素含有前駆体のさらなる例は、例えば、米国特許第7、122、880号明細書、米国特許第6、818、289号明細書、米国特許第6、896、955号明細書、米国特許第7、265、062号明細書、米国特許第6、312、793号明細書、米国特許第6、441、491号明細書、米国特許第6、479、110号明細書、米国特許第7、282、458号明細書、米国特許第7、288、292号明細書、および米国特許第7、312、524号明細書中に提供されている。少なくとも1種のポロゲン前駆体の例は、α−テルピネン、リモネン、シクロヘキサン、シクロオクタン、ビシクロヘキサジエン(BCHD)、γ−テルピネン、カンフェン、ジメチルヘキサジエン、エチルベンゼン、ノルボルナジエン、シクロペンテンオキシド、1、2、4−トリメチルシクロヘキサン、1、5−ジメチル−1、5−シクロオクタジエン、カンフェン、アダマンタン、1、3−ブタジエン、置換ジエン、デカヒドロナフタレン(naphthelene)、およびそれらの組み合わせを含むがこれらに限られない。一態様では、少なくとも1種のポロゲン前駆体は、1〜13炭素原子を有するガス状炭化水素である。例えば、米国特許第6、846、515号明細書、米国特許第7、384、471号明細書、米国特許第6、312、793号明細書、米国特許第6、441、491号明細書、米国特許第6、479、110号明細書、米国特許第7、282、458号明細書、米国特許第7、288、292号明細書、および米国特許第7、312、524号明細書に、ポロゲン前駆体のさらなる例が提供されている。
有機シリケート膜は、種々の異なる方法を使用して、前駆体混合物から基材の少なくとも一部分上に堆積される。これらの方法は、それら自身または組み合わせで使用できる。有機シリケート膜を形成するために使用できる方法の幾つかの例は、熱化学気相堆積、プラズマ化学気相成長法(「PECVD」)、高密度PECVD、フォトンアシスティッド(photon assisted)CVD、プラズマフォトンアシスティッド(「PPECVD」)、低温化学気相堆積、化学的支援(chemical assisted)蒸着、熱フィラメント化学気相堆積、光開始化学気相堆積、液体ポリマー前駆体のCVD、超臨界流体から堆積、または輸送重合(「TP」)を含むがこれらに限られない。米国特許第6、171、945号明細書、米国特許第6、054、206号明細書、米国特許第6、054、379号明細書、米国特許第6、159、871号明細書および国際公開第99/41423号パンフレットは、いくつかの本明細書中に記載された有機シリケート膜を形成するのに使用できる例示的なCVD法を提供する。ある態様において、堆積は、100〜425℃、または200〜425℃、または200〜400℃の範囲の温度で行われる。本明細書中で使用される化学試薬は、「ガス状」として時々記載できるが、化学試薬は、反応器にガスとして直接送達でき、蒸気化した液体、直接の液体注入、昇華した固体として送達でき、そして/または不活性なキャリアガスによって反応器中に輸送できることが理解される。
ある態様において、有機シリケート膜は、プラズマ化学気相成長法を通して形成される。PECVD法においては、化学試薬は、真空チャンバー等の反応チャンバーに流れ込み、そしてプラズマエネルギーが化学試薬にエネルギーを与え、それによって基材の少なくとも一部分上に膜を形成する。これらの態様において、有機シリケート膜は、少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン前駆体を含むガス状混合物の共堆積、またはあるいは逐次堆積によって生成できる。ある態様において、試薬に適用されるプラズマエネルギーは、0.02〜7ワット/cm、または0.3−3ワット/cmであることができる。それぞれ試薬での流量は、10〜5000、または100〜1、000、または100〜500標準立方センチメートル/分(standard cubic centimeters per minute)(sccm−1)であることができる。これらの態様では、PECVDは13.56MHzの周波数で容量結合プラズマを使用して行うことができる。PECVD法のための堆積の間の真空チャンバーにおける圧力値は、0.01〜600トール、または1〜10トールの範囲であることができる。ある態様において、堆積は、100〜425℃、または200〜425℃の範囲の温度で行われる。これらの態様または他の態様において、プラズマ中の電子の温度を下げるのに低いイオン化エネルギーを有するが、次に混合物内のケイ素含有前駆体の断片化をより生じないであろうキャリアガスが堆積工程で用いられる。低いイオン化エネルギーキャリアガスの例は、CO、NH、CO、CH、Ar、Xe、Krを含む。しかし、プラズマエネルギー、流量、および圧力等の工程パラメーターは、基材の表面積、堆積工程中で使用される前駆体、PECVD法中で使用される装置等の多数の因子によって変化できることが理解される。
エネルギーが反応を誘起し、そして基材上に複合OSG膜を形成させるように前駆体混合物に適用される。そうしたエネルギーは、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、および遠隔プラズマ法によって提供できるが、これらに限られない。ある態様において、第2RF周波数源は、基材表面におけるプラズマの特徴を改変するために使用できる。
本明細書中に記載された方法の他の態様において、複合膜は、スピンオンデポジション法(spin−on deposition process)によって基材の少なくとも一部の上に堆積できる。これらの堆積工程の例は、例えば、米国特許第7、122、880号明細書、米国特許第6、818、289号明細書、米国特許第6、896、955号明細書、および米国特許第7、265、062号明細書に提供されている。
先に述べたように、本明細書中に記載された方法は、酸化剤等の、しかし酸化剤に限られない薬品で膜を処理する工程、紫外線等の、しかし紫外線に限られないエネルギー源に膜を曝す工程、または薬品で膜を処理する工程とエネルギー源に膜を曝す工程との組み合わせによって有機シリケート(OSG)膜内の炭素含有種の少なくとも一部分を除去する。この方法は、複合膜、多孔質膜、または複合物と多孔質膜との両者に使用できる。ある態様において、熱アニーリング、化学的処理、in−situまたは遠隔プラズマ処理、光硬化および/またはマイクロ波を含むことができる硬化工程によって、少なくとも1種のポロゲン前駆体は、堆積されるにつれてまたは複合膜から除去される。他のin−situまたは後堆積処理は、硬度、(収縮、空気曝露、エッチング、湿式エッチング、湿式クリーニング、アッシング、CMP工程、等)への安定性、可積性(integrability)、均一性および接着のような材料特性を高めるために使用できる。そうした処理は、ポロゲン除去に使用される同一または相違する手段を使用してポロゲン除去の前、間、後に複合OSG膜に適用できる。これらの処理が行われる条件は大きく変えられる。例えば、これらの処理は、高圧下、真空下、周囲条件で、またはそれらの変化形で行うことができる。
ある態様において、複合OSG膜は、アニーリングまたは硬化工程に曝されて、膜中に含まれるポロゲン前駆体の少なくともの一部分を除去し、そして多孔質膜を提供する。これらの態様では、アニーリング工程は、以下の条件下で行われる。環境は、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(例えば、酸素、空気、希薄な酸素環境、富化した酸素環境、オゾン、亜酸化窒素等)または還元(希薄なまたは濃縮された水素、炭化水素(飽和、不飽和、直鎖または分枝鎖、芳香族)等)であることができる。圧力は、約1Torr〜約1000Torrの範囲、または大気圧であることができる。しかし、真空の雰囲気はまた、熱アニーリング、および任意の他の後硬化手段で使用できる。温度は、200〜500℃の範囲であることができる。温度上昇速度は、0.1〜100℃/分の範囲であることができる。温度は、周囲温度(例えば、25℃)〜500℃の範囲であることができる。圧力は、10mtorr〜大気圧の範囲であることができる。全硬化時間は、0.01分〜12時間であることができる。
先に述べたように、本明細書中に記載された方法は、複合物または多孔質膜中に含まれる炭素含有種の少なくとも一部分を選択的に除去することによって、複合膜、多孔質膜、または両方の少なくとも機械的強度を改善できる。この方法はまた、多孔質OSG膜の誘電率を回復させまたは低下できる。ある態様において、複合または多孔質OSG膜は、1種または2種以上の化学的処理に曝される。「化学処理」の用語は一般的に1種または2種以上の薬品または化学組成物に膜を曝すことに関する。そうした処理で使用される薬品は、昇華した固体、蒸気、液体、気体、エアロゾル、超臨界流体状態、またはそれらの組み合わせ等の種々の流体状態にあることができる。OSG膜を処理するために使用できる薬品の例は、フッ素化薬品(例えば、HF、SiF、NF、F、COF、CO2、);酸化薬品(例えば、H、O、オゾン水(O/HO);還元薬品(例えば、ヒドラジン、第一鉄化合物、水素化物(LiAlH、NaBH、ジイソブチルアルミニウム水素化物)、スズ化合物、亜硫酸塩化合物、シュウ酸、炭化水素、一酸化炭素、水素、水素原子(例えば、プラズマ、遠隔プラズマ、熱フィラメント、または他の源から生成された);硫酸過酸化物混合物(「SPM」);化学的乾燥;メチル化;または最終物質の特性を高める他の化学的処理を含むがこれらに限られない。複合または多孔質OSG膜を処理するために使用できる薬品のまた更なる例は、水、アルコール、アルデヒド、ケトン、エステル、アミド、グリコール、グリコールエーテル、エーテル、エポキシド、アミン、およびそれらの混合物を含むがこれらに限られない。溶媒の具体例は、シクロヘキサノン、2−ヘキサノン、2−ペンタノン、1−ペンタノール、1−ブタノール、2−プロパノール、プロピレングリコールプロピルエーテル、プロピレングリコールモノメチルアセテート、乳酸エチル、ペンチルアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル、N、N−ジメチルホルムアミド、およびそれらの混合物を含む。溶媒が用いられる態様では、薬品は、例えば、触媒、流れ助剤、湿潤剤、pH調整剤、腐食防止剤、イオン性強度調整剤、および界面活性剤等の添加物を含むことができる。複合または多孔質OSG膜を処理するのに使用できる薬品のまた他の例は、米国特許第4、770、713号明細書、米国特許第5、279、771、米国特許第5、419、779号明細書、米国特許第5、417、877号明細書、米国特許第5、597、420号明細書、米国特許第5、997、658号明細書、米国特許第6、677、286号明細書、米国特許第6、828、289号明細書、米国特許第6、943、141号明細書、米国特許第6、943、142号明細書、米国特許第6、951、710号明細書、および米国特許出願公開第2004/0063042号明細書、米国特許出願公開第2005/0119143号明細書、米国特許出願公開第2006/0014656号明細書、米国特許出願公開第2006/0016785号明細書、米国特許出願公開第2008/0199977号明細書、および米国特許出願公開第2005/0196974号明細書、米国特許出願公開第2006/0003910号明細書、および米国特許出願公開第2007/0299239号明細書に見いだすことができるこれらの組成物等であるが、これらに限られないストリップ組成物またはクリーニング組成物を含む。ある特定の態様において、複合または多孔質OSG膜は、酸化剤を含む薬品で処理される。例示的な酸化薬品は、酸素(O)、オゾン(O)、オゾン水(O/HO)、SPM、酸素原子、OもしくはOのラジカル、OまたはOの帯電種、およびそれらの組み合わせを含むがこれらに限られない。オゾン水は、水を通してガス状のOをバブリングすることによって調製できる。SPM溶液は、有機物を除去するために、半導体産業において一般的に使用される。これらの薬品は、単独でまたは他の薬品、本明細書中に記載されたまたは当該分野で知られた他の薬品とともに使用できる。限定することを意図しないが、表Iは、オゾン、オゾン水およびSPMを含む酸化剤のための時間、温度、および圧力に関して、特別な処理条件の幾つかの例を提供する。
表I:例示的な化学処理条件
Figure 2013062530
理論に拘束されないが、酸化剤を含む薬品は、(FTIRおよびXPSから明らかであるような)共有結合で結合したSi−CH基またはネットワーク末端基を切断することなく、複合物または多孔質膜中に含まれる炭素含有種の少なくとも一部分と反応して、さらなる炭素含有副生成物を生成するようである。さらなる炭素含有副生成物は、さらなる化学処理工程、エネルギー源への暴露、またはそれらの組み合わせによってのいずれかで除去できる。本明細書中に記載された方法の一態様では、複合膜は、紫外線を含むエネルギー源に曝されて、炭素含有種を含む多孔質膜を提供し、この多孔質膜は、酸化剤を含む1種または2種以上の薬品で処理されて、炭素含有種の少なくとも一部分を除去するが、さらなる炭素含有副生成物を生成し、そして次にこの多孔質膜は、紫外線を含むエネルギー源で処理されて残りの炭素含有種、炭素含有副生成物、および/または化学処理によるあらゆる欠陥を除去する。本明細書中に記載された方法の別の具体的な実施形態では、この複合膜は、酸化剤を含む1種または2種以上の薬品で処理されて、炭素含有種の少なくとも一部分を除去するが、さらなる炭素含有副生成物を生成し、そして次にこの多孔質膜が紫外線を含むエネルギー源で処理されて、残りの炭素含有種、炭素含有副生成物、および/または化学処理によるあらゆる欠陥を除去する。
ある態様において、複合または多孔質OSG膜は、紫外線(UV)を含むエネルギー源に曝される。「紫外線」の用語は、赤外(IR)光、可視光、近紫外線、中紫外線、遠紫外線、真空紫外線、極端な紫外線またはそれらの組み合わせを含むがこれらに限られない。ある態様において、このUV光は、10ナノメートル(nm)〜400nmの範囲の1種または2種以上の波長を有する。紫外線は、分散性、焦点を合わせた、連続波、パルス、またはシャッターされている(shuttered)ことができる。紫外線のための源は、エキシマ−レーザー、バリアー放電ランプ、水銀ランプ、マイクロ波発生UVランプ、IRまたは可視領域における周波数二倍化または周波数三倍化レーザー等のレーザー、または可視領域中におけるレーザーからの2光子吸収を含むがこれらに限られない。紫外線源は、複合膜から50ミリインチ〜1、000フィートの範囲の距離に配置できる。環境は、不活性な(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(例えば、酸素、空気、希薄な酸素環境、富化した酸素環境、オゾン、亜酸化窒素等)、還元(例えば、希薄なまたは濃縮された炭化水素、水素等)、またはそれらの組み合わせであることができる。出力は0〜5000ワット(W)または100〜5000Wの範囲であることができる。温度は、周囲〜500℃、または50〜400℃の範囲であることができる。圧力は10mtorr〜大気圧の範囲である。全硬化時間は0.01分〜12時間または0.5分〜60分であることができる。
複合または多孔質OSG膜が紫外線(UV)を含むエネルギー源に曝される態様では、複合または多孔質OSG膜は、エネルギー源内の1種もしくは2種以上の特定の波長または広範な範囲の波長に曝されることができる。例えば、複合膜は、レーザーおよび/または光学的に焦点を合わせた光源を通した等の1種または2種以上の特別な波長の光に曝されることができる。光学的に焦点を合わせた光源の態様では、放射源は、レンズ(例えば、凸面の、凹面の、円筒型の、楕円形の、正方形または放物形状のレンズ)、フィルター(例えば、RFフィルター)、窓(例えば、ガラス、プラスチック、溶融シリカ、合成シリカ、シリケート、カルシウムフルオライド、リチウムフルオライド、またはマグネシウムフルオライド窓)または鏡等の光学物を通過でき、特定のかつ焦点を合わせた波長の光を提供できる。これらの態様では、非反応性ガスは、暴露工程の少なくとも一部分の間に光学系の上に流れて、孔形成工程の間にオフガス(off−gassing)によって生成された光学系の表面上にビルドアップ(build−up)の形成を防ぐことができる。あるいは、放射源は、あらゆる光学系を通らない。
紫外線の他に、複合または多孔質OSG膜は、熱エネルギー、α−粒子、β−粒子、γ−線、X線、電子ビーム(e−beam)、可視光、赤外光、マイクロ波、ラジオ周波数の波長、およびそれらの組み合わせを含むがこれらに限られない1種または2種以上の追加のエネルギー源に曝されることができる。
曝露工程は、複合膜を形成するのに使用される工程による種々の設定で行うことができる。曝露工程が複合膜形成工程の少なくとも一部分の後、または間でさえ行うことが好都合であることができる。曝露工程は、石英容器、変更された堆積チャンバー、コンベアーベルト処理システム、ホットプレート、真空チャンバー、クラスターツール、単一のウェハー器具、バッチ処理器具、または回転式ターンサイト(turnstile)等であるがこれらに限られない種々の設定で行うことができる。
ある態様において、複合または多孔質OSG膜はプラズマ処理に曝される。これらの態様では、プラズマ処理は、以下の条件で行われる。環境は、不活性(窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(例えば、酸素、空気、希薄な酸素環境、富化した酸素環境、オゾン、亜酸化窒素等)、または還元(例えば、希薄なまたは濃縮された水素、炭化水素(飽和、不飽和、直鎖または分枝鎖、芳香族)等)であることができる。プラズマ出力は0〜5000Wの範囲であることができる。温度は周囲〜500℃の範囲であることができる。圧力は、10mtorr〜大気圧の範囲であることができる。全硬化時間は、0.01分〜12時間の範囲であることができる。
ある態様において、複合または多孔質OSG膜は、マイクロ波後処理に曝される。これらの態様では、マイクロ波後処理は、以下の条件下で行われる。環境は、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(例えば、酸素、空気、希薄な酸素環境、富化した酸素環境、オゾン、亜酸化窒素等)、または還元(例えば、希薄なまたは濃縮された炭化水素、水素等)であることができる。温度は、周囲〜500℃の範囲であることができる。出力および波長は、変えられ、そして特定の結合に対して調節可能である。全硬化時間は、0.01分〜12時間の範囲であることができる。
ある態様において、複合または多孔質OSG膜は、電子ビーム後処理に曝される。電子ビーム処理の使用は、ポロゲン除去およびマトリックス中での結合形成処理を通して、膜の機械的特性の向上を提供できる。これらの態様では、電子ビーム後処理は、以下の条件下で行われる。環境は、真空、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)等)、酸化(例えば、酸素、空気、希薄な酸素環境、富化した酸素環境、オゾン、亜酸化窒素等)、または還元(例えば、希薄なまたは濃縮された炭化水素、水素等)であることができる。温度は、周囲〜500℃の範囲であることができる。電子密度およびエネルギーは変えることができ、そして特定の結合に調節可能である。全硬化時間は0.001分〜12時間であることができ、そして連続またはパルスであることができる。ある電子ビーム処理の例は、S.Chattopadhyayら、Journal of Materials Science、36(2001)4323〜4330;G.Klosterら、 Proceedings of IITC、June 3−5、2002、SF、CA;および米国特許第6、207、555B1号明細書、米国特許第6、204、201B1号明細書および米国特許第6、132、814A1号明細書に提供されている。
ある態様において、本明細書中に記載された膜は、多孔質である。これらの態様では、膜の全気孔率は、処理条件および所望の最終の膜特性によって、5〜75%であることができる。多孔質膜内の平均サイズは、約1Å〜約500Å、または約1Å〜約100Å、または約1Å〜約50Åの範囲である。膜が狭い粒径範囲の孔を有し、そして孔が膜中に均質に分散されていることが好ましい。しかし、膜の気孔率膜の中で同じである必要はない。ある態様において、気孔率勾配および/または変化した気孔率の層がある。そうした膜は、複合膜の形成の間に、例えば、孔を形成する前駆体の、構造を形成する前駆体に対する比を調整することによって提供できる。膜の気孔は、連続的または不連続な孔を有することができる。
本明細書中に記載された方法のある態様において、孔サイズは、化学的処理、エネルギー源への曝露、およびそれらの組み合わせの後に、サイズにおいて増加できる。本明細書中に記載された方法の他の態様において、孔サイズは、化学的処理、エネルギー源への暴露、およびそれらの組み合わせの後に、サイズにおいて減少できる。孔サイズのそうした変化は、例えば、楕円偏光法によって測定できる。本明細書中に記載された膜は、0〜0.03または0〜0.025の範囲の楕円偏光法によって、240ナノメートルで測定された吸光係数(extinction coefficient)を有することができる。
他の態様において、本明細書中に記載された膜は、多孔質でない。
本明細書中に記載された膜は、通常のOSG材料に対してより低い誘電率を有することができる。ある態様において、本明細書中に記載された膜は、約3.0以下、または約2.8以下、または約2.7以下の誘電率を有する。ある特定の態様において、膜の誘電率は、1.2〜2.5の範囲である。
この膜は、種々の用途に好適である。この膜は、半導体基材上への堆積に特に好適であり、そして、例えば、絶縁層、層間絶縁層および/または金属間誘電体層での使用に特に好適である。この膜形状に合う被膜を形成できる。これらの膜によって示される特性は、膜をAl差し引き技術およびCuダマシンまたはデュアルダマシン技術での使用のために特に好適にする。
本明細書中に記載された方法の好ましい態様において、この複合OSG膜または多孔質OSG膜は、基材上に堆積される。好適な基材は、ガリウムヒ素(「GaAs」)、ケイ素、および結晶性ケイ素、ポリケイ素、アモルファスケイ素、エピタキシャルケイ素、二酸化ケイ素(「SiO」)、ケイ素ガラス、窒化ケイ素、溶融シリカ、ガラス、石英、ホウケイ酸塩ガラス等のケイ素含有組成物等の半導体材料、ならびにそれらの組み合わせを含むがこれらに限られない。他の好適な材料は、クロム、モリブデン、および半導体、集積回路、平面パネルディスプレイ、および柔軟ディスプレイ用途において一般的に用いられる他の金属を含む。基材は、例えば、ケイ素、SiO、有機シリケートガラス(OSG)、フッ素化シリケートガラス(FSG)、ホウ素炭窒化物、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、ケイ素炭窒化物、水素化ケイ素炭窒化物、ホウ窒化物、有機無機複合材料、フォトレジスト、有機ポリマー、多孔質有機および無機材料および複合物、酸化アルミニウム、および酸化ゲルマニウム等の金属酸化物等の追加の層を有することができる。またさらなる層はまた、ゲルマノシリケート、アルミノシリケート、銅およびアルミニウム、ならびにTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、またはWN等であるがこれらに限られない拡散バリアー材料であることができる。膜は、上記材料の少なくとも1種を、ASTM D3359−95aテープ引張り試験等の従来の引張り試験に合格するように充分に接着できる。膜の除去が識別できない場合、サンプルは、試験に合格したと考えられる。
OSG膜内の炭素含有種の少なくとも一部分を除去するために本明細書中に記載された方法を使用することによって、OSG膜の屈折率および吸光係数は、酸化剤を含む薬品、紫外線源を含むエネルギー源、およびそれらの組み合わせで処理されないOSG膜と比較した場合、著しく減少する。膜のこれらの物理的特性は、酸化剤を含む薬品を用いた処理およびUV光を含むエネルギー源への暴露の両方の後で、著しく改善する。ある特定の態様において、複合OSG膜は、第1の誘電率、第1の硬度、および第1の弾性率を有する。次に、この複合OSG膜を、化学酸化剤で処理し、そして紫外線を含むエネルギー源、および任意選択的に熱エネルギーに曝して、膜中の炭素含有種の少なくとも一部分を除去し、そして第2の誘電率、第2の硬度、および第2の弾性率を有する多孔質有機シリケートを提供する。多孔質有機シリケートの第2の誘電率は、実質的に第1の誘電率以下であり、そして多孔質有機シリケートの第2の硬度および第2の弾性率は、第1の硬度より大きい。
本明細書中に記載された方法は、膜を提供するのに特に好適であり、そしてこの方法の製品は、概して、膜として、本明細書中に記載されているが、本発明は、それらに限定されない。「OSG膜」および「OSG材料」の用語は、交互に使用できることが、ある例において理解される。本明細書中に記載された方法の製品は、被膜、多層集成体、および必ずしも平面でなくまたは薄くない他のタイプの物体または材料、および集積回路において必ずしも使用されない多くの物体または材料等のCVDによって堆積できる任意の形態で提供できる。
本明細書中に記載された方法は、以下の例を参照してさらに具体的に説明されるが、しかし当然のことながら、それらに限定されるとはみなされない。
本明細書中に記載された方法は、任意の誘電体膜上で行うことができるが、以下の例は、PDEMS(商標)2.5ATRP膜を用いる。本明細書中で使用される場合、「PDEMS(商標)2.5ATRP膜」の表示は、約2.5の誘電率を有する低誘電体膜を記載する。Allentown、PAのAir Products Inc.により提供されたシリカ含有前駆体としてのジエトキシメチルシラン(DEMS)を使用して膜を堆積させ、そしてAir Products Inc.によって提供されたポロゲン前駆体としてのα−テルピネン(ATRP)を、Advance Energy 200rf発生器を取り付けた200mm D×Z真空のチャンバー内でApplied Materials Accuracy−5000システムを使用し、そして未ドープのTEOSプロセスキットを使用する、プラズマCVD(PECVD)法により生成させた。PDEMS(商標)2.5ATRP膜を、米国特許第6、846、515号明細書(参照によりその全てを本明細書中に取り込む。)に記載された方法によって調製した。
以下の例では、そうでないと記載しなければ、特性は、媒体抵抗率(8〜12Ωcm)の単結晶ケイ素ウェハー基材上に堆積されたサンプル膜から得られた。
それぞれの膜の240nmでの厚さ、膜屈折率、および240nmでの吸光係数を、SCI FilmTek2000反射率計上での反射率測定法により決定した。
それぞれのサンプル膜の誘電率を、ASTM基準D150−98により決定した。それぞれの膜の静電容量電圧を、Solartron モデル SI 1260周波数分析器およびMSI ElectronicsモデルHg401単一接点 水銀プローブを用いて、1MHzで得た。静電容量測定および水銀電極面積(A)における誤差は1%未満であった。基材(ウェハー)静電容量(CSi)、バックグラウンドの静電容量(C)および全静電容量(C)を、+20と−20ボルトとの間で測定し、そして薄膜サンプル静電容量(C)を、式(1):
Cs=CSi(C−C)/[CSi−(C−C)] 式(1)
によって計算した。
それぞれの膜の誘電率を、式(2):
Figure 2013062530
式(2)
(式中、dは、膜厚であり、Aは、水銀電極面積であり、そしてεは、真空中での誘電率である。)
によって計算した。
膜の誘電率の全誤差を6%未満と予期した。
それぞれの膜の弾性係数を、ウェハーの中心から切断した1×0.4cmのサンプルからとり、そして低温溶融接着を使用して、Valley Cottage、N.Y.のArmco Products Inc.、によって製造されたCRYSTALBOND(商標)アルミニウムスタブ上へ取り付けて測定した。オリバーら、‘‘An improved technique for Determining Hardness and Elastic Modulus Using Load and Displacement Sensing Indentation Experiments’’、J.Material Research、1992、7、pp.1564−1583の参照(参照によりその全てを本明細書中に取り込む)中に記載された連続的剛性測定(「CSM」)法を使用して、ACCUTIP(商標)Berkovichダイアモンドチップを用いて、MTS Systems Corporationによって製造されたNANOINDENTER(商標)Dynamic Contact Module(DCM)で圧子押し込み試験を行った。小さな振動を最初のローディング信号に重ね合わせ、そして得られた系の応答を、周波数特定増幅器によって分析した。この励起周波数を、試験を通して75Hz(DCM)に一定に保ち、そして生じた置き換えられた振幅が1nm(DCM)で一定になるように、励起振幅を制御した。
それぞれの圧子押し込み実験は、接触剛性Sの連続的な測定を可能にした。Sの動的測定尺度、ならびにヤング率および硬度(ポアソン比=シリカで0.18、低κ膜で0.25)の確立した式を使用して、それぞれの個々の圧子押し込み実験は、表面貫通の連続的な関数としてのヤング率および硬度を与えた。それぞれのサンプルでかつ約20〜25μmの距離で分離された一連のくぼみで4〜5のくぼみの配列を行った。それぞれの圧子押し込み実験からの結果を調べ、そしてあらゆる「異常値」を除いた。それぞれのサンプルの、ヤング率および硬度の結果対圧子押し込み実験の貫通を、約5nm間隔で置き換えた窓を使用して平均した。この窓におけるデータを使用して、それぞれのサンプルでの平均値、標準偏差、および信頼区間を、次に計算した。間の開いた窓の残りについても、同じ統計を同様に計算した。硬度の結果が得られ、そして同様に平均した。硬度およびヤング率を、(約30〜50nmでの)硬度曲線の最小値で測定した硬度の値および(約30〜50nmでの)ヤング率曲線の最小値で測定されたヤング率として報告した。膜のヤング率および硬度の誤差は、10%未満と期待される。
DTGS KBR検出器およびKBrビームスプリッターを備えたThermo Nicolet Nexus 470systemを使用してウェハー上のFTIRデータを収集した。スペクトルからCOおよび水を除くために、バックグラウンドスペクトルを、類似の媒体抵抗率ウェハー上で収集した。4cm−1の分解能で32回スキャンして収集することによって4000〜400cm−1の範囲にあるデータを得た。OMNICソフトウェアパッケージを、データを処理するために使用した。すべての膜で、ベースラインを修正した、強度を500nmの膜厚に正規化し、そして関心の対象であるピーク面積および高さをOMNICソフトウェアで決定した。
相対感度因子および同種の層を仮定したモデルを使用して、X線光電子分光法(XPS)データを定量化したXPS測定を、単色化されたAlkα 1486.6eV X線源、±7°の受光角、65°の取り出し角、800μmの分析面積、86Å/分のスパッタ速度、およびAr、3keV、4x4mmラスターのイオン中の条件を使用して、Phi 5701 LSci system上で得た。分析の体積は、分析の面積(スポットサイズまたは開口サイズ)と、情報の深さとの産物である。光電子がX線の貫通深さ(典型的には、数μm)以内に生成されるが、しかし深さから脱出した上位3番以内の光電子のみが検出される。脱出深さは、約50〜100Åの分析深さとなる、15〜35Å程度である。典型的には、信号の95%がこの深さ内から生じる。9.4T(400MHz)の場強度で、12〜14kHzのスピニング速度を有するVarian 3.2 mm T3DR プローブを使用して、29Siおよび13C MAS NMRデータを収集した。Livermore、CAのAcorn NMR、Inc.によって開発されたNUTSソフトウェアを使用して、データ分析を行った。
以下の例の幾つかにおいて、特定しなければ、自動化Applied Materials P5000プラットフォームに取り付けられた200mm D×lチャンバーに統合化された10’’Hバルブを有するFusion VPS/I−600をスウィープすることで、UV暴露を行った。サセプターの温度を、300℃に設定した。0.5分〜10分、好ましくは1分〜3分の範囲で100%のUV出力にサンプルを曝した。以下の例のいくつかでは、UV硬化工程(例えば、または多孔質OSG膜を提供するために、複合膜から有機材料を除去するのに使用される工程)に加えて、UV曝露工程を使用できる。
以下の例のいくつかにおいて、例示的ウェハーを、以下の表IIに提供された以下の化学組成物の1種で処理した:
表II:例示的ウェハーを処理するための化学組成物(すべてのパーセンテージは、重量%で提供され、そして100重量%まで加えられる)
Figure 2013062530
用語集:
ジメチルアセトアミド(DMAC)
テトラヒドロフリルアルコール(THFA)
脱イオン化水(DIW)
酢酸アンモニウム(アンモニウムアセテート)
フッ化アンモニウム(AF)
グリセロール(Gly)
ヒドロキシルアミン(50%溶液)(HA)
メタノールアミン(MEA)
例1:低誘電体OSG膜内の炭素含有種の検出
29Si MAS NMRを、ネットワーク構造を評価するために使用し、そして13C MAS NMRを、膜内の炭素含有種を評価するために使用した。1超タイプの炭素、例えば、共有結合でSiに結合したCHおよび残留する炭素含有種が、これらの膜の中にありそうである証拠として、表IIIに200mmウェハーからすくい取った粉末の29Si MAS NMRおよび13C MAS NMRをまとめる。表IIIは、膜内の存在する異なるSi種および炭素含有種が存在することを示す。表IIIはまた、膜内の存在する2つのタイプの炭素含有種:Si原子またはネットワーク末端炭素基に結合したメチル基およびアルケンのような炭素相に関連したものを示す。後者の炭素は、膜の誘電率の増加および機械的特性の低下に寄与しそうである。これが、本明細書中に記載された方法が損傷を与えるシリケートネットワークまたは膜を疎水性にする末端基に損傷を与えることなく、除去しようとするこのアルケンのような炭素種である。好ましくない炭素含有種が膜ネットワーク等の材料を著しく劣化させることなく膜から除去できる場合、得られた膜において改善された電気的特性または機械的特性があることができる。
表III:200mmの硬化したPDEMS(商標)2.5ATRPウェハーからすくい取った粉末の29Si MAS NMR および13C MAS NMR。
Figure 2013062530
酸化剤を含む薬品での処理、UV光への暴露、さらなる化学的調合物での処理、およびそれらの組み合わせを行った、種々の硬化したPDEMS(商標)2.5ATRP膜の誘電率および機械的特性を表IV中に提供する。表IV中のすべての例において、硬化したPDEMS(商標)2.5ATRP膜を、酸化性化学的オゾン(O)で処理した。表IV中の例3および4において、処理されたPDEMS(商標)2.5ATRP膜を、広帯域のHバルブ、6000ワット融着システム、真空、および300℃のサセプター温度、の条件でUV光に1分間曝した。表IV中の例1および2は、次の化学処理工程を有するか、または有さないオゾン処理が、膜の誘電率を増加させ、そして膜の機械的特性を低下させることを示す。しかし、Oで処理した膜をUV光に短時間曝した後で、誘電率は通常に戻ったか、または低下し、一方、膜の機械的特性は高くなった。
表IV:種々の処理後の誘電率および機械的特性への影響
Figure 2013062530
比較例:1種または2種以上の化学的クリーニング組成物を用いた処理
表V、VI、およびVIIに提供した種々の処理条件下で硬化し、そして未硬化のPDEMS(商標)2.5ATRPウェハーを(上記表II中に提供された)種々の化学組成物で処理した。表V、VI、およびVIIは、種々のクリーニング組成物で処理した後のそれぞれの例示的なウェハーについて、反射率計によって得た厚さ、屈折率、および吸光係数をさらに提供する。PDEMS(商標)2.5ATRPウェハーを、20℃〜80℃の範囲の種々の温度で、湿式薬品を含有する容器内で接触させるか、または容器内に浸した。種々の化学組成物への基材の暴露の典型的な時間は、例えば、1〜120分の範囲であることができる。化学組成物での処理後に、ウェハーを脱イオン水ですすぎ、そして次に乾燥した。乾燥を不活性雰囲気下で行った。
化学組成物Hを用いて、10分間および30分間硬化した膜を処理した後(比較例1および2、それぞれ)で、膜厚はわずかに減少したが、対照Bと比較して、処理したDEMS(商標)2.5ATRP膜では屈折率および吸光係数の変化は観察されなかった(表Vを参照のこと)。60分間の処理後では、比較例3の膜が大幅に損傷され、そして表面粗さが目で見えた。比較例1、2、および3の膜のFTIRデータは、膜厚の減少を示すSi−Oピークの一定の減少を示すが、1735cm−1でのカルボニルピークは観察されなかったことを示す。これらの結果は、ヒドロキシルアミンを含む化学組成物が炭素含有種を除去できなかったが、より長い暴露時間で硬化したPDEMS(商標)2.5ATRP膜を損傷したことを示す。
表VIは、化学組成物Iを用いて硬化した膜を5分間処理した後(比較例4)で、対照Cと比較して、PDEMS(商標)2.5ATRP膜上に屈折率および吸光係数の変化がないことをさらに示す。化学組成物Iを用いて10分間処理した後(比較例5)では、屈折率および吸光係数と共に膜厚は減少した。化学組成物Iを用いて30分間処理した後(比較例6)では、膜は剥離した(deliminated)。比較例4、5、および6の膜のFTIRデータは、膜厚の減少を示すSi−Oピークの一定の減少を示すが、1735cm−1でのカルボニルピークは観察されなかったことを示す。これらの結果は、ヒドロキシルアミンを含む化学組成物が炭素含有種を除去できなかったが、より長い暴露時間で硬化したPDEMS(商標)2.5ATRP膜を損傷したことを示す。
表VIIは、30分間まで、種々のクリーニング組成物を用いて処理した後に、対照Dと比較した場合、未硬化のPDEMS(商標)2.5ATRPの厚さ、屈折率および吸光係数の変化がなかったことを示す。FTIRデータは、膜特性の変化およびカルボニル種の存在を示さなかった。これらの結果は、これらのクリーニング組成物が未硬化のPDEMS(商標)2.5ATRP膜からポロゲンおよび炭素含有種を除去できなかったことを示す。
表V:化学組成物Hを用いた処理
Figure 2013062530
表VI:化学組成物Iを用いた処理
Figure 2013062530
表VII:化学組成物A、B、D、E、F、およびGを用いた処理
Figure 2013062530
例2:オゾン、オゾンおよび湿式化学的処理、ならびにオゾンおよびUVへの暴露後の硬化した多孔質OSG膜の種々の特性への影響
硬化した多孔質PDEMS(商標)2.5ATRPウェハーを、ウェハーがオゾンを含むガス状の雰囲気に曝されるUV−オゾン乾燥洗浄機、または紫外線オゾン洗浄システム、UVOCS Inc.、モデルT10X10/OES、Serial no.1034中で処理した。表VIIIは、それぞれの例示的なウェハーの、反射率計で得られた処理条件および厚さ、屈折率、および吸光係数を提供する。反射率計のデータは、表VIIIに提供され、そしてO曝露後に、632nmにおける屈折率および240nmにおける吸光係数が、厚さの変化なく著しく減少したことを示す。さらなる湿式化学処理を、UV硬化の前にOに暴露したウェハーを洗浄するために使用した。湿式薬品は、中性から酸性の半水性溶媒および水混合物またはC=Oを含有する有機溶媒であった。種々の湿式薬品の調合物を上記表IIに示す。Oで処理した基材を20℃〜80℃の範囲の温度で種々の化学組成物に接触させるか、種々の化学組成物を含有する容器に浸した。化学組成物での基材の典型的な処理時間は、例えば、1〜120分間である。化学組成物との接触後に、基材を脱イオン水ですすぎ、そして次に乾燥させることができる。乾燥は、典型的には、不活性な雰囲気下で行う。化学組成物を用いた追加の処理の後で、屈折率および吸光係数は、さらに低下した(例10を参照のこと)。
表IXは、例示的なウェハーのそれぞれで得られたFTIRデータを提供する。表IX中のデータが具体的に示すように、Oでの処理後に、Si−CH/SiOの比が本質的に変化していないことを示し、これはSiに共有結合で結合したメチル基がOを用いた処理により影響されていないことを示す(比較対照Eおよび例5)。FTIRスペクトルはまた、O処理の後で、おそらく、それぞれ、C=Oおよび−OH伸縮遷移による、強いピークが、約1735cm〜1に現れ、そして広いピークが約3500cm〜1現れたことを示す(例5を参照のこと)。これは、炭素含有種が、O処理によって多分カルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物に転化されたことを示す。追加のクリーニング組成物へのウェハーさらなる曝露は、約1735cm〜1のカルボニルピークを完全に除去したが、−OH結合は残った(例6、7、および8を参照のこと)。この−OH結合は、表面上に水素結合したHOから生じることができる。さらなるUV曝露工程は、カルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物を完全に除去することができ、そして膜構造を回復した(例9および例10を参照のこと)。
表Xは、ある例のXPSデータを提供する。XPSデータは、硬化したPDEMS2.5(対照E)をそのまま、およびO暴露した硬化したPDEMS2.5の表面(例5)およびXPSおよびESCAによってスパッタされた表面上で観察された種を示す。これらの種は、R−Si、炭化水素、Oを含む有機物、より少量のフルオライドおよびC−Nを含む。例5はまた、FTIRからの発見と一致するO−C=O官能性(恐らく、エステル)を含んでいた。対照Eおよび例5の炭素濃度を比較すると、O処理は、そのままの表面で炭素濃度を24.1%から15.3%、および−10nmの表面で19.3%から7.1%に減少させた。これらの結果は、炭素含有種がOを用いた処理で効果的に除去されたことの更なる証拠を提供する。
表VIII:O3、種々のクリーニング組成物およびUV曝露を用いた処理後の硬化したPDEMS(商標)2.5ATRP膜の反射率計データ
Figure 2013062530
表IX:O、湿式化学クリーニング薬品への暴露およびUV曝露後の硬化したPDEMS2.5膜のFTIRデータ
Figure 2013062530
表X:XPSおよびESCAによるイオンスパッタリングの前および後の(%での)濃度および元素比
Figure 2013062530
100%の検出された元素に正規化している。XPSは、HまたはHeを検出しない。
例3:オゾン水を用いた処理およびUVへの曝露後の硬化した多孔質OSG膜の種々の特性への影響
2.5の誘電率を有する硬化した多孔質PDEMSウェハーを、約21.8℃で水中に30(ppm)オゾンを含むオゾン水(O/HO)に表XIおよびXIIに示した種々の時間浸した。反射率計データ(表XI)は、オゾン水への浸漬の後で、浸漬時間を増やすにつれて、632nmでの屈折率および240nmでの吸光係数が著しく減少することを示す。ウェハーの厚さは、本質的に変わっていない。
表XIIは、例示的なウェハーのそれぞれで得られたFTIRデータを示す。表XII中でデータが具体的に示すように、60分までの間オゾン水に浸漬した後、(対照Fと例11〜14とを比較して)Si−CH/SiO比が本質的に変化を示しておらず、Siに共有結合で結合したメチル基がオゾン水によって影響されていないことを示す。FTIRスペクトルはまた、オゾン水中への5分間の浸漬後に、それぞれ、C=Oおよび−OH伸縮遷移による強いピークが約1735cm〜1に現れ、そして広いピークが約3500cm〜1に現れることを示す。これは、オゾン化への5分間の浸漬の後で、炭素含有種が、おそらくカルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物に転化されたことを示す(対照Fおよび例11〜14を参照のこと)。より長い浸漬時間では、カルボニル(C=O)またはカルボン酸(COOH)種の大幅な増加がない。
表XI: O/HOを用いた処理後の例示的OSG膜の反射率計データ
Figure 2013062530
表XII:O/HOにおける浸漬後の例示的OSG膜のFTIRデータ
Figure 2013062530
例4:SPMを用いた処理後の硬化した多孔質OSG膜の種々の特性への影響
2.5の誘電率を有する硬化した多孔質PDEMS(商標)2.5ATRPウェハーを、SPM(10:1モル比率の98%硫酸:30%H)中に120℃で表XIIIおよびXIVに示した種々の時間浸漬した。反射率計データ(表XIII)は、浸漬時間が増えるにつれて、SPMへの浸漬後に、632nmでの屈折率はわずかに低下し;240nmでの吸光係数は著しく低下し;そしてウェハーの厚さは、わずかに変化したことを示す。
表XIVは、例示的なウェハーのそれぞれで得られたFTIRデータデータを示す。表XIV中のデータが具体的に示すように、30分までの間SPM中に浸漬した後で、Si−CH/SiOの比はわずかに低下し、Siに共有結合で結合したメチル基がSPMへの浸漬によりわずかに影響されたことを示す。FTIRスペクトルはまた、SPMへの1分間の浸漬後に、それぞれ、C=Oおよび−OH伸縮遷移による、強いピークが約1735cm〜1に現れそして広いピークが約3500cm〜1に現れたことを示す。これは、SPMへの1分間の浸漬の後で、炭素含有種が、おそらくカルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物に転化されたことを示す。カルボニル(C=O)伸縮の積分面積の連続した減少は、カルボニル(C=O)またはカルボン酸(COOH)種がより長い浸漬時間でSPMへのSPMにより除去されることを示す。
表XIII:SPMへの浸漬後の硬化した多孔質OSG膜の反射率計データ
Figure 2013062530
表XIV:SPMへの浸漬後の硬化した多孔質OSG膜のFTIRデータ
Figure 2013062530
例5:オゾンおよび種々のクリーニング薬品を用いた処理後の未硬化の多孔質OSG膜の種々の特性への影響
未硬化のPDEMS(商標)2.5ATRPウェハーを、ウェハーがオゾンを含有するガス雰囲気に曝されるUVオゾン乾燥洗浄機(UVOC)中で処理した。反射率計データ(表XV)は、O曝露後に、厚さのわずかな減少を伴いながら632nmでの屈折率および240nmでの吸光係数は、著しく低下したことを示す。UV硬化の前にOに暴露されたウェハーを洗浄するために追加の湿式化学処理を使用した。湿式薬品は、中性から酸性の半水性溶媒および水混合物またはC=Oを含有する有機溶媒である。薬品の例は、組成物AからCであり、本明細書中の表IIに提供されている。
FTIRデータ(表XVI)は、Oへの暴露後にSiに共有結合で結合したメチル基がOへの暴露によって影響されていないことを示すSi−CH/SiOの比が本質的に変化していないことを示す。他方では、C−H/SiOの比は、著しく低下し、Oへの曝露によりポロゲンが実質的に除去されたことを示す。FTIRスペクトルはまた、Oへの曝露の後で、それぞれ、C=Oおよび−OH伸縮遷移による、強いピークが約1735cm〜1に現れそして広いピークが約3500cm〜1に現れたことを示す。これは、Oへの曝露によって、炭素含有種が、おそらくカルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物に転化されたことを示す。湿式薬品クリーニングは、約1735cm〜1におけるカルボニルピークのいくつかを除去したが、しかしC=Oおよび−OH種を完全に除去するのに充分効果的でなかった。
表XV:O曝露および湿式化学的クリーニング後の未硬化のOSG膜の反射率計データ
Figure 2013062530
表XVI:O曝露および湿式化学的クリーニング後の未硬化のOSG膜のFTIRデータ
Figure 2013062530
例6:オゾン水処理後の未硬化の多孔質OSG膜の種々の特性への影響
未硬化のPDEMS(商標)2.5ATRPウェハーを、約21.8℃で水中に30(ppm)オゾンを含むオゾン水(O/HO)に、表XVIIおよびXVIIIに示した種々の時間浸した。反射率計データ(表XVII)は、オゾン水への浸漬後に、浸漬時間が増えるにつれて240nmでの吸光係数が、著しく減少したことを示す。ウェハーの屈折率および厚さがわずかに変化した。
FTIRデータ(表XVIII)は、60分までの間のオゾン水への浸漬後にSi−CH/SiOの比が本質的に変化せず、Siに共有結合で結合したメチル基がオゾン水によって影響されていないことを示す。他方では、C−H/SiOの比は、著しく低下し、オゾン水への浸漬により、ポロゲンが実質的に除去されたことを示す。FTIRスペクトルはまた、オゾン水への5分間の浸漬後に、それぞれ、C=Oおよび−OH伸縮遷移による、強いピークが約1735cm〜1に現れそして広いピークが約3500cm〜1に現れたことを示す。これは、オゾン水への5分間の浸漬後に、炭素含有種が、おそらくカルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物に転化されたことを示す。より長い浸漬時間において、カルボニル(C=O)またはカルボン酸(COOH)種の大幅な増加はなかった。
表XVII:オゾン水への浸漬後の未硬化のPDEMS2.5膜の反射率計データ
Figure 2013062530
表XVIII:オゾン水への浸漬後の未硬化のPDEMS2.5膜のFTIRデータ
Figure 2013062530
例7:SPMへの曝露後の未硬化の多孔質OSG膜の種々の特性への影響
未硬化のPDEMS2.5ウェハーを、120℃でSPM(98%硫酸:30%H=10:1)に種々の時間浸漬した。反射率計データ(表XVIX)は、浸漬時間が増えるにつれて、SPMへの浸漬後に、632nmでの屈折率および240nmでの吸光係数著しく低下したことを示す。浸漬時間が増えるにつれて、ウェハーの厚さのわずかな減少がある。
FTIRデータ(表XX)は、SPMへの10分間までの浸漬後に、Si−CH/SiOの比がわずかに低下し、Siに共有結合で結合したメチル基がSPMによってわずかに影響されたことを示す。C−H/SiO比率の大幅な変化は、SPMが未硬化のPDEMS膜からポロゲンを効果的に除去したことを示す。FTIRスペクトルはまた、SPMへの1分間の浸漬後に、それぞれ、C=Oおよび−OH伸縮遷移による、強いピークが約1735cm〜1に現れそして広いピークが約3500cm〜1に現れたことを示す。これは、SPMへの1分間の浸漬後に、炭素含有種が、おそらくカルボニル(C=O)またはカルボン酸(COOH)種または炭素含有副生成物に転化されたことを示す。より長い浸漬時間において、カルボニル(C=O)またはカルボン酸(COOH)種は、SPMによって除去される傾向にある。SPMへの浸漬後に、低いC−H/SiO比が硬化したPDEMS2.5ウェハーのその比に近づいていることが観察された(対照A−Gを参照のこと)。
表XVIX:SPMへの浸漬後の未硬化のPDEMS2.5膜の反射率計データ
Figure 2013062530
表XX:SPMへの浸漬後の未硬化のPDEMS2.5膜のFTIRデータ
Figure 2013062530
机上例8:ヒドラジンでの処理後の硬化した多孔質OSG膜の種々の特性への影響
2.5の誘電率を有する硬化した多孔質PDEMS(商標)2.5ATRPウェハーを、70℃でヒドラジン溶液(水中35wt%ヒドラジン)に、1、5、10、および30分間浸漬した。反射率計データは、ヒドラジン溶液への浸漬後に、632nmでの屈折率が減少するであろうし;240nmでの吸光係数が低下するであろうし;そして浸漬時間が増加するにつれて、ウェハーの厚さがわずかに変化するであろうことを示す。
FTIRデータは、スペクトルの炭化水素領域における大幅な低下がある、すなわち、C−H/SiO比が低下するであろうが、しかしCH−Siピーク面積が変化しないことを示すであろう。これは、還元的化学的処理が炭素含有種の除去に選択的であり、そして炭素種が末端のネットワークでは選択的でない個を示す。
膜の誘電率は2.5から2.3に低下し、そして機械的特性は未処理のPDEMS2.5膜と同じままである。ヒドラジンで処理したサンプルの暴露により、誘電率は、2.2まで低下するであろうし、そして膜の弾性率は、5%増加するであろう。
机上例9:シュウ酸を用いた処理後の硬化した多孔質OSG膜の種々の特性への影響。
2.5の誘電率を有する硬化した多孔質PDEMS(商標)2.5ATRPウェハーを、シュウ酸溶液に、1、5、10、および30分間浸漬した。反射率計データは、シュウ酸溶液への浸漬後に、632nmでの屈折率が減少するであろうし;240nmでの吸光係数が低下するであろうし;そして浸漬時間が増加するにつれて、ウェハーの厚さがわずかに変化するであろうことを示す。
誘電率は、シュウ酸溶液への暴露後に変化しないが、しかし膜の機械的特性は5%低下した。UV光への1分間の暴露により、誘電率は、2.5から2.3に低下し、そして膜の弾性率は、10%増加した。
例10:硬化したPDEMS2.5膜の偏光解析法データへの処理および暴露の影響
例10は、材料の孔サイズがUV−硬化した多孔質PDEMS膜からの非骨格炭素の改変および除去で変化することを具体的に示す。これらの結果は、未処理のPDEMS2.5膜と比較して、膜の増加した機械的特性および等しい誘電率を説明できる。
吸着物としてトルエン溶媒を使用して、偏光解析法による多孔率測定(EP)を、フランスのSOPRA S.A.によって製造されたSOPRA EP−12偏光解析器で行った。EPは、減圧下での有機溶媒または水のいずれかの吸着および脱着の間の、光学特性および材料の厚さの変化を測定する。この分析は、誘電体材料の気孔率、マイクロ孔およびメソ細孔の細孔サイズ分布、累積表面積、孔の相互に接続性、ヤング率、厚さおよび屈折率を提供する。トルエンをチャンバーに入れ、そして膜の屈折率を測定した。トルエンの分圧を0.01から0.97まで変化させて、例示的な膜で吸着/脱着の等温線を得た。膜の屈折率の変化に基づいて、膜によるトルエン吸着の量を式(3)(式中、有nrlは孔中の液体を有する膜の屈折率であり、nreは、吸着物への暴露前の多孔質膜の屈折率であり、そしてnは、液体吸着物の屈折率である。)から計算できる。
Figure 2013062530
式(3)
SOPRAによって開発されたWinElli IIソフトウェアを使用して、孔サイズおよび細孔サイズ分布を、ケルビンおよび/またはドゥビニン―ラドゥシュケビッチの式を用いて、吸着/脱着等温曲線から決定できる。
表XXIは、膜から炭素含有種を除去していないPDEMS2.5およびオゾンを用いて処理した2つのPDEMS膜の孔サイズおよび細孔サイズ分布を提供する。例示的な膜は、例3および4および例1からの対照サンプルAである。表XXIは、孔直径が25%増加し、全マイクロ細孔容積が15%減少し、そしてメソ細孔容積が4%増加したことを示す。孔サイズおよび孔の分布におけるこれらの変化は、酸化性または還元性炭素改変技術を使用して、孔構造に大幅な変化があったことを示唆する。これらの膜の孔構造の変化は、多孔質誘電体膜の機械的および絶縁特性の両方に大幅な影響することができる。
表XXI:Oへの暴露後の硬化したPDEMS2.5膜のEPデータ
Figure 2013062530
表XXIは、膜から炭素含有種を除去していないPDEMS2.5およびオゾンを用いて処理した2つのPDEMS膜の孔サイズおよび細孔サイズ分布を提供する。例示的な膜は、例3および4および例1からの対照サンプルAである。表XXIは、孔直径が25%増加し、全マイクロ細孔容積が15%減少し、そしてメソ細孔容積が4%増加したことを示す。孔サイズおよび孔の分布におけるこれらの変化は、酸化性または還元性炭素改変技術を使用して、孔構造に大幅な変化があったことを示唆する。これらの膜の孔構造の変化は、多孔質誘電体膜の機械的および絶縁特性の両方に大幅な影響することができる。
表XXI:Oへの暴露後の硬化したPDEMS2.5膜のEPデータ
Figure 2013062530
(態様1)
複合有機シリケート膜を用意する工程であって、該複合有機シリケート膜が、少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン含有前駆体を含む組成物から堆積され、そして該複合有機シリケート膜が、炭素含有種を含む工程と、
該複合有機シリケート膜を、紫外線を含むエネルギー源に曝す工程と、
膜に含まれる炭素含有種の少なくとも一部分を除去し、そして多孔質有機シリケート膜を提供するために、酸化剤、フッ素化試薬、メチル化試薬、還元剤、およびそれらの組み合わせから選択される少なくとも1種を含む薬品を用いて、該複合有機シリケート膜を処理する工程と、
を含んで成る、多孔質有機シリケート膜の生成方法。
(態様2)
該多孔質有機シリケート膜を、該エネルギー源に曝すことをさらに含む、態様1に記載の方法。
(態様3)
該エネルギー源が、熱源、α−粒子、β−粒子、γ−線、X線、高エネルギー電子、電子ビーム、可視光、赤外光、マイクロ波、ラジオ周波数波長、およびそれらの組み合わせから選択された少なくとも1種をさらに含む、態様1に記載の方法。
(態様4)
該薬品が、酸化剤を含む、態様1に記載の方法。
(態様5)
該酸化剤が、酸素、オゾン、オゾン水、SPM、酸素原子、O またはO のラジカル、O またはO の帯電種、およびそれらの組み合わせから選択された少なくとも1種を含む、態様4に記載の方法。
(態様6)
該酸化剤が、オゾンを含む、態様4に記載の方法。
(態様7)
該酸化剤が、オゾン水を含む、態様4に記載の方法。
(態様8)
該酸化剤が、SPMを含む、態様4に記載の方法。
(態様9)
該薬品が、還元剤を含む、態様1に記載の方法。
(態様10)
該還元剤が、ヒドラジン、ヒドラジンの塩、水素化物、カルボン酸、炭化水素、水素、スズ化合物、第一鉄化合物、一酸化炭素、およびそれらの組み合わせから選択される少なくとも1種である、態様9に記載の方法。
(態様11)
該少なくとも1種のケイ素含有前駆体が、ジエトキシメチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、トリエトキシシラン、トリメチルフェノキシシラン、フェノキシシラン、ヘキサメチルジシロキサン、1、1、2、2−テトラメチルジシロキサン、オクタメチルトリシロキサン、メチルトリエトキシシラン、メチルトリアセトキシシラン、テトラアセトキシシラン、ジメチルシラシクロブタン、オクタメチルシクロテトラシロキサン、1、3、5、7−テトラメチルシクロテトラシロキサン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、メチレン架橋アルコキシシラン、およびそれらの組み合わせから選択される、態様1に記載の方法。
(態様12)
該少なくとも1種のポロゲン前駆体が、α−テルピネン、リモネン、シクロヘキサン、シクロオクタン、ビシクロヘキサジエン、γ−テルピネン、カンフェン、ジメチルヘキサジエン、エチルベンゼン、ノルボルナジエン、シクロペンテンオキシド、1、2、4−トリメチルシクロヘキサン、1、5−ジメチル−1、5−シクロオクタジエン、カンフェン、アダマンタン、1、3−ブタジエン、置換ジエン、デカヒドロナフタレン、トルエン、およびそれらの組み合わせから選択される、態様1に記載の方法。
(態様13)
該少なくとも1種のポロゲン前駆体が、1〜13の炭素原子を有するガス状の炭化水素を含む、態様1に記載の方法。
(態様14)
該処理する工程が、該曝す工程の少なくとも一部の間に行われる、態様1に記載の方法。
(態様15)
該曝す工程が、該処理する工程の前に行われる、態様1に記載の方法。
(態様16)
該処理する工程が、該曝す工程の前に行われる、態様1に記載の方法。
(態様17)
蒸着によって、少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン含有前駆体を含む組成物から複合有機シリケート膜を形成させる工程であって、該複合有機シリケート膜が、炭素含有種を含む工程と、
該膜に含まれる該炭素含有種の少なくとも一部分を除去するために薬品で、該複合有機シリケート膜を処理する工程と、
膜に含まれる炭素含有種の少なくとも一部分を除去し、そして該多孔質有機シリケート膜を提供するために、紫外線および任意選択的に熱エネルギーを含むエネルギー源に、該複合有機シリケート膜を曝す工程と、
を含んで成る、多孔質有機シリケート膜を形成するための方法。
(態様18)
該処理する工程中の該薬品が、酸化剤を含む、態様17に記載の方法。
(態様19)
該酸化剤が、酸素、オゾン、オゾン水、SPM、酸素原子、O またはO のラジカル、O またはO の帯電種およびそれらの組み合わせから選択された少なくとも1種を含む、態様18に記載の方法。
(態様20)
該処理する工程が、該曝す工程の少なくとも一部の間に行われる、態様17に記載の方法。
(態様21)
該曝す工程が、該処理する工程の前に行われる、態様17に記載の方法。
(態様22)
該処理する工程が、該曝す工程の前に行われる、態様17に記載の方法。
(態様23)
複合有機シリケート膜を用意する工程であって、該複合有機シリケート膜が、炭素含有種、第1の誘電率、および第1の硬度を含む工程と、
膜中の炭素含有種の少なくとも一部分を除去するために、該複合有機シリケート膜を酸化剤を含む薬品で処理する工程と、
膜中の炭素含有種の少なくとも一部分を除去し、そして第2の誘電率および第2の硬度を含む該多孔質有機シリケート膜を提供するために、紫外線を含むエネルギー源に該複合有機シリケート膜を曝す工程であって、該第2の誘電率が、実質的に、該第1の誘電率以下の誘電率を有し、そして該第2の硬度が、該第1の硬度より大きい工程と、
を含んで成る、多孔質有機シリケート膜を形成するための方法。
(態様24)
該第1の誘電率、該第2の誘電率、または該第1の誘電率および第2の誘電率の両方が、2.7以下である、態様23に記載の方法。
(態様25)
1.2〜2.5の範囲の誘電率、および偏光解析器により240nmで測定して0〜0.03の該膜の吸光係数を含む、多孔質有機シリケート膜。
(態様26)
孔の平均サイズが、約100ナノメートル以下である孔を含む、態様25に記載の多孔質有機シリケート膜。
(態様27)
0〜0.025の範囲の240nm測定された該膜の吸光係数を含む、態様25に記載の多孔質有機シリケート膜。

Claims (27)

  1. 複合有機シリケート膜を用意する工程、
    該複合有機シリケート膜は、少なくとも1種のケイ素含有前駆体および少なくとも1
    種のポロゲン含有前駆体を含む組成物から堆積され、そして該複合有機シリケート膜
    は、炭素含有種を含む;
    該複合有機シリケート膜を、紫外線を含むエネルギー源に曝す工程;および
    膜に含まれる炭素含有種の少なくとも一部分を除去し、そして多孔質有機シリケート膜を提供するために、酸化剤、フッ素化試薬、メチル化試薬、還元剤、およびそれらの組み合わせから選択される少なくとも1種を含む薬品を用いて、該複合有機シリケート膜を処理する工程、
    を含んで成る、多孔質有機シリケート膜の生成方法。
  2. 該多孔質有機シリケート膜を、該エネルギー源に曝すことをさらに含む、請求項1に記載の方法。
  3. 該エネルギー源が、熱源、α−粒子、β−粒子、γ−線、X線、高エネルギー電子、電子ビーム、可視光、赤外光、マイクロ波、ラジオ周波数波長、およびそれらの組み合わせから選択された少なくとも1種をさらに含む、請求項1に記載の方法。
  4. 該薬品が、酸化剤を含む、請求項1に記載の方法。
  5. 該酸化剤が、酸素、オゾン、オゾン水、SPM、酸素原子、OまたはOのラジカル、OまたはOの帯電種、およびそれらの組み合わせから選択された少なくとも1種を含む、請求項4に記載の方法。
  6. 該酸化剤が、オゾンを含む、請求項4に記載の方法。
  7. 該酸化剤が、オゾン水を含む、請求項4に記載の方法。
  8. 該酸化剤が、SPMを含む、請求項4に記載の方法。
  9. 該薬品が、還元剤を含む、請求項1に記載の方法。
  10. 該還元剤が、ヒドラジン、ヒドラジンの塩、水素化物、カルボン酸、炭化水素、水素、スズ化合物、第一鉄化合物、一酸化炭素、およびそれらの組み合わせから選択される少なくとも1種である、請求項9に記載の方法。
  11. 該少なくとも1種のケイ素含有前駆体が、ジエトキシメチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、トリエトキシシラン、トリメチルフェノキシシラン、フェノキシシラン、ヘキサメチルジシロキサン、1、1、2、2−テトラメチルジシロキサン、オクタメチルトリシロキサン、メチルトリエトキシシラン、メチルトリアセトキシシラン、テトラアセトキシシラン、ジメチルシラシクロブタン、オクタメチルシクロテトラシロキサン、1、3、5、7−テトラメチルシクロテトラシロキサン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、メチレン架橋アルコキシシラン、およびそれらの組み合わせから選択される、請求項1に記載の方法。
  12. 該少なくとも1種のポロゲン前駆体が、α−テルピネン、リモネン、シクロヘキサン、シクロオクタン、ビシクロヘキサジエン、γ−テルピネン、カンフェン、ジメチルヘキサジエン、エチルベンゼン、ノルボルナジエン、シクロペンテンオキシド、1、2、4−トリメチルシクロヘキサン、1、5−ジメチル−1、5−シクロオクタジエン、カンフェン、アダマンタン、1、3−ブタジエン、置換ジエン、デカヒドロナフタレン、トルエン、およびそれらの組み合わせから選択される、請求項1に記載の方法。
  13. 該少なくとも1種のポロゲン前駆体が、1〜13の炭素原子を有するガス状の炭化水素を含む、請求項1に記載の方法。
  14. 該処理する工程が、該曝す工程の少なくとも一部の間に行われる、請求項1に記載の方法。
  15. 該曝す工程が、該処理する工程の前に行われる、請求項1に記載の方法。
  16. 該処理する工程が、該曝す工程の前に行われる、請求項1に記載の方法。
  17. 蒸着によって、少なくとも1種のケイ素含有前駆体および少なくとも1種のポロゲン含有前駆体を含む組成物から複合有機シリケート膜を形成させる工程、
    該複合有機シリケート膜は、炭素含有種を含む、
    該膜に含まれる該炭素含有種の少なくとも一部分を除去するために薬品で、該複合有機シリケート膜を処理する工程;および
    膜に含まれる炭素含有種の少なくとも一部分を除去し、そして該多孔質有機シリケート膜を提供するために、紫外線および任意選択的に熱エネルギーを含むエネルギー源に、該複合有機シリケート膜を曝す工程、
    を含んで成る、多孔質有機シリケート膜を形成するための方法。
  18. 該処理する工程中の該薬品が、酸化剤を含む、請求項17に記載の方法。
  19. 該酸化剤が、酸素、オゾン、オゾン水、SPM、酸素原子、OまたはOのラジカル、OまたはOの帯電種およびそれらの組み合わせから選択された少なくとも1種を含む、請求項18に記載の方法。
  20. 該処理する工程が、該曝す工程の少なくとも一部の間に行われる、請求項17に記載の方法。
  21. 該曝す工程が、該処理する工程の前に行われる、請求項17に記載の方法。
  22. 該処理する工程が、該曝す工程の前に行われる、請求項17に記載の方法。
  23. 複合有機シリケート膜を用意する工程、
    該複合有機シリケート膜は、炭素含有種、第1の誘電率、および第1の硬度を含む、
    膜中の炭素含有種の少なくとも一部分を除去するために、該複合有機シリケート膜を酸化剤を含む薬品で処理する工程、および、
    膜中の炭素含有種の少なくとも一部分を除去し、そして第2の誘電率および第2の硬度を含む該多孔質有機シリケート膜を提供するために、紫外線を含むエネルギー源に該複合有機シリケート膜を曝す工程、
    該第2の誘電率は、実質的に、該第1の誘電率以下の誘電率を有し、そして該第2の
    硬度は、該第1の硬度より大きい、
    を含んで成る、多孔質有機シリケート膜を形成するための方法。
  24. 該第1の誘電率、該第2の誘電率、または該第1の誘電率および第2の誘電率の両方が、2.7以下である、請求項23に記載の方法。
  25. 1.2〜2.5の範囲の誘電率、および偏光解析器により240nmで測定して0〜0.03の該膜の吸光係数を含む、多孔質有機シリケート膜。
  26. 孔の平均サイズが、約100ナノメートル以下である孔を含む、請求項25に記載の多孔質有機シリケート膜。
  27. 0〜0.025の範囲の240nm測定された該膜の吸光係数を含む、請求項25に記載の多孔質有機シリケート膜。
JP2012257513A 2008-12-11 2012-11-26 有機シリケート材料からの炭素の除去方法 Expired - Fee Related JP6017935B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12166608P 2008-12-11 2008-12-11
US61/121,666 2008-12-11
US12/575,772 US20100151206A1 (en) 2008-12-11 2009-10-08 Method for Removal of Carbon From An Organosilicate Material
US12/575,772 2009-10-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009280416A Division JP2010141335A (ja) 2008-12-11 2009-12-10 有機シリケート材料からの炭素の除去方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013133395A Division JP5775116B2 (ja) 2008-12-11 2013-06-26 有機シリケート材料からの炭素の除去方法

Publications (2)

Publication Number Publication Date
JP2013062530A true JP2013062530A (ja) 2013-04-04
JP6017935B2 JP6017935B2 (ja) 2016-11-02

Family

ID=42078840

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2009280416A Pending JP2010141335A (ja) 2008-12-11 2009-12-10 有機シリケート材料からの炭素の除去方法
JP2012257513A Expired - Fee Related JP6017935B2 (ja) 2008-12-11 2012-11-26 有機シリケート材料からの炭素の除去方法
JP2013133395A Expired - Fee Related JP5775116B2 (ja) 2008-12-11 2013-06-26 有機シリケート材料からの炭素の除去方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009280416A Pending JP2010141335A (ja) 2008-12-11 2009-12-10 有機シリケート材料からの炭素の除去方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013133395A Expired - Fee Related JP5775116B2 (ja) 2008-12-11 2013-06-26 有機シリケート材料からの炭素の除去方法

Country Status (5)

Country Link
US (2) US20100151206A1 (ja)
EP (4) EP2199428B1 (ja)
JP (3) JP2010141335A (ja)
KR (3) KR101179167B1 (ja)
TW (2) TWI506164B (ja)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012015379A (ja) * 2010-07-01 2012-01-19 Univ Of Miyazaki シリコン酸化膜からのoh基除去法
AU2011322203B2 (en) 2010-10-25 2015-02-26 Director General, Defence Research & Development Organisation Ethyl oligo-silicates with strong acid heterogenous polymeric catalysts
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10189712B2 (en) * 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3146313A4 (en) 2014-05-23 2018-02-14 Université Laval Fluorescent nanosensors and uses thereof
US9443723B2 (en) * 2014-07-08 2016-09-13 GlobalFoundries, Inc. Integrated circuits with an insultating layer and methods for producing such integrated circuits
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000273176A (ja) * 1999-03-26 2000-10-03 Fujitsu Ltd 絶縁膜形成方法及び半導体装置
JP2002057154A (ja) * 2000-08-14 2002-02-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
JP2004320005A (ja) * 2003-04-01 2004-11-11 Air Products & Chemicals Inc 有機シリカ多孔性膜製造のための化学気相成長方法
JP2004335847A (ja) * 2003-05-09 2004-11-25 Mitsubishi Electric Corp 半導体集積回路ウエハの製造方法
JP2005503672A (ja) * 2001-09-14 2005-02-03 アクセリス テクノロジーズ, インコーポレイテッド 多孔質低誘電率材料のプラズマ硬化法
JP2005503673A (ja) * 2001-09-14 2005-02-03 アクセリス テクノロジーズ インコーポレーテッド 多孔性低誘電率材料のための紫外線硬化処理
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2006528426A (ja) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド 最新のLow−k材料のための紫外線硬化法
JP2007204850A (ja) * 2002-04-17 2007-08-16 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2008010877A (ja) * 2006-06-27 2008-01-17 Air Products & Chemicals Inc 還元性雰囲気下における絶縁膜の硬化

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
UST870012I4 (en) * 1968-10-28 1970-01-13 Defensive publication
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
US5037506A (en) * 1990-09-06 1991-08-06 Subhash Gupta Method of stripping layers of organic materials
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5419779A (en) 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5597420A (en) 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5997658A (en) 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
JP2001196348A (ja) * 2000-01-12 2001-07-19 Seiko Epson Corp 有機物の分解方法、および半導体素子の製造方法
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
WO2003045840A2 (en) * 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
US6943142B2 (en) 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6943141B2 (en) 2002-05-13 2005-09-13 O'brien Robert Neville Process for making a liquid evaporation retardant solution
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6951710B2 (en) 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050196974A1 (en) 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US20060003910A1 (en) 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20070095366A1 (en) * 2005-11-02 2007-05-03 Applied Materials, Inc. Stripping and cleaning of organic-containing materials from electronic device substrate surfaces
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000273176A (ja) * 1999-03-26 2000-10-03 Fujitsu Ltd 絶縁膜形成方法及び半導体装置
JP2002057154A (ja) * 2000-08-14 2002-02-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2005503672A (ja) * 2001-09-14 2005-02-03 アクセリス テクノロジーズ, インコーポレイテッド 多孔質低誘電率材料のプラズマ硬化法
JP2005503673A (ja) * 2001-09-14 2005-02-03 アクセリス テクノロジーズ インコーポレーテッド 多孔性低誘電率材料のための紫外線硬化処理
JP2007204850A (ja) * 2002-04-17 2007-08-16 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
JP2004320005A (ja) * 2003-04-01 2004-11-11 Air Products & Chemicals Inc 有機シリカ多孔性膜製造のための化学気相成長方法
JP2004335847A (ja) * 2003-05-09 2004-11-25 Mitsubishi Electric Corp 半導体集積回路ウエハの製造方法
JP2006528426A (ja) * 2003-07-21 2006-12-14 アクセリス テクノロジーズ インコーポレーテッド 最新のLow−k材料のための紫外線硬化法
JP2006100833A (ja) * 2004-09-28 2006-04-13 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
JP2008010877A (ja) * 2006-06-27 2008-01-17 Air Products & Chemicals Inc 還元性雰囲気下における絶縁膜の硬化

Also Published As

Publication number Publication date
EP2657365B1 (en) 2017-01-18
EP2657365A3 (en) 2014-01-08
JP6017935B2 (ja) 2016-11-02
KR20120101309A (ko) 2012-09-13
US20100151206A1 (en) 2010-06-17
TWI506164B (zh) 2015-11-01
KR101603265B1 (ko) 2016-03-14
KR20130100252A (ko) 2013-09-10
TWI408251B (zh) 2013-09-11
EP2199428A2 (en) 2010-06-23
EP3211121A2 (en) 2017-08-30
EP3211121A3 (en) 2017-11-15
EP3121310A1 (en) 2017-01-25
JP5775116B2 (ja) 2015-09-09
US20130295334A1 (en) 2013-11-07
EP2657365A2 (en) 2013-10-30
TW201022472A (en) 2010-06-16
EP2199428B1 (en) 2018-01-24
JP2010141335A (ja) 2010-06-24
KR101179167B1 (ko) 2012-09-03
TW201341587A (zh) 2013-10-16
EP3121310B1 (en) 2018-02-28
EP2199428A3 (en) 2011-08-17
JP2013211592A (ja) 2013-10-10
KR101553308B1 (ko) 2015-09-16
KR20100067640A (ko) 2010-06-21

Similar Documents

Publication Publication Date Title
JP5775116B2 (ja) 有機シリケート材料からの炭素の除去方法
US7332445B2 (en) Porous low dielectric constant compositions and methods for making and using same
TWI597380B (zh) 烷基-烷氧基矽環化合物及使用其的膜沉積方法
JP6959334B2 (ja) 高密度osg膜用シリル架橋アルキル化合物の使用
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
US20090239390A1 (en) Methods for producing low stress porous and cdo low-k dielectric materials using precursors with organic functional groups
TWI729417B (zh) 矽化合物及使用其沉積膜的方法
JP5019714B2 (ja) 低誘電率膜のダメージ回復法
TW202117058A (zh) 矽化合物及使用其沉積膜的方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
JP2022509213A (ja) 1-メチル-1-イソ-プロポキシ-シラシクロアルカン及びそれから製造される緻密有機シリカ膜
TW201934562A (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131003

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140507

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141020

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141027

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20141114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160425

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160929

R150 Certificate of patent or registration of utility model

Ref document number: 6017935

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees