TWI506164B - 從有機矽酸鹽材料移除碳的方法 - Google Patents

從有機矽酸鹽材料移除碳的方法 Download PDF

Info

Publication number
TWI506164B
TWI506164B TW102121246A TW102121246A TWI506164B TW I506164 B TWI506164 B TW I506164B TW 102121246 A TW102121246 A TW 102121246A TW 102121246 A TW102121246 A TW 102121246A TW I506164 B TWI506164 B TW I506164B
Authority
TW
Taiwan
Prior art keywords
film
composite
organic
decane
extinction coefficient
Prior art date
Application number
TW102121246A
Other languages
English (en)
Other versions
TW201341587A (zh
Inventor
Aiping Wu
Scott Jeffrey Weigel
Thomas Albert Braymer
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201341587A publication Critical patent/TW201341587A/zh
Application granted granted Critical
Publication of TWI506164B publication Critical patent/TWI506164B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B5/00Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
    • B32B5/18Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by features of a layer of foamed material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/08Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances quartz; glass; glass wool; slag wool; vitreous enamels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24496Foamed or cellular component

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Manufacture Of Porous Articles, And Recovery And Treatment Of Waste Products (AREA)

Description

從有機矽酸鹽材料移除碳的方法 相關申請案的相互參照
本案請求2008年12月11日申請的美國臨時申請案第61/121,666號的利益。
本發明係有關一種移除有機矽酸鹽(OSG)材料或膜內的特定碳物種的方法。
在此說明一種移除有機矽酸鹽(OSG)材料或膜內的特定碳物種的方法。更明確地說,在此說明一種選擇性移除存在於多孔性低介電常數OSG材料或膜內的至少一部分含碳物種(例如,但不限於,非網狀結構碳)、來自加工步驟的含碳殘餘物及/或Si-CH2 -Si橋連基團同時保持大部分共價鍵結於Si原子的甲基的方法,在此將該甲基稱之為具有網狀結構末端的碳基團。經由移除至少一部分該含碳物種,咸相信下列該等OSG材料或膜的性質之至少一者,介電常數、機械強度、折射率或其組合,與未經移除含碳物種的OSG材料或膜 比較時可獲得改善。
電子業使用介電材料當作電路及積體電路(IC)的零件與有關電子裝置之間的絕緣層。為了提高微電子裝置(例如,電腦晶片)的速度及儲存能力而逐漸減小線尺寸。當該等線尺寸減小時,該中間層介電質(ILD)的絕緣要求變得更嚴格許多。縮小間隔必需更小的介電常數使使該RC時間常數最小化,其中R為該導電線的電阻率而且C為該絕緣介電中間層的電容。C與間隔成反比而且與該中間層介電質(ILD)的介電常數(k)成正比。由SiH4 或TEOS(Si(OCH2 CH3 )4 ,原矽酸四乙酯)與O2 所製造的習用氧化矽(SiO2 )CVD介電膜具有4.0的介電常數(k)。
業界試圖以數種方式製造具有更低介電常數的矽酸酯為主的CVD膜。有一個製造較低介電常數膜的有效方式以有機基團摻雜氧化矽膜。所得的膜可具有介於2.7至3.5的介電常數。這些摻雜膜,在此稱之為有機矽酸鹽(“OSG”)膜,經常以緻密膜(密度約1.5 g/cm3 )的形態由有機矽前驅物及氧化劑沉積。
OSG膜可藉由化學氣相沉積(CVD)法沉積。在典型的CVD方法中,使前驅物氣體流入反應艙內,活化,而且將一材料沉積在該艙內部的基材上。該等前驅物的活化可經由使用能量來源(例如,舉例來說,熱或RF-耦合電漿源)來進行。OSG材料的化學氣相沉積可使用各式各樣的前驅物達成。常用的前驅物的實例為含有甲基的有機矽烷類,例如四甲基矽烷、四乙基二甲氧基矽烷、二乙氧基甲基矽烷、八甲 基環四矽氧烷及/或四甲基環四矽烷氧。電漿強化化學氣相沉積(PECVD)為最常用於使含甲基的有機矽烷類反應形成OSG材料的CVD方法。由PECVD方法所製造的OSG膜通常含有10至40%原子碳及介於2.7至3.2的介電常數,但是若該膜係製成多孔性的話就可達到較低的介電常數值。因為較高的裝置密度及較小的尺寸造成具有低於2.7的介電常數值的膜之工業需求提高,此產業為了改善的絕緣性而改為各種不同的多孔性材料。
把OSG材料當作IC's中的ILD’s碰到了幾個令人煩惱的阻礙。一個主要的障礙為該等多孔性OSG材料相對於傳統氧化矽(SiO2)材料具有降低的機械性質。ILD的機械性質通常藉由以十億帕斯卡(GPa)為單位的奈米壓痕記載為硬度(H)或楊氏模數。此硬度為壓凹痕於該膜所必需施加的作用力之度量,而楊氏模數為該材料對於施加的作用力或壓縮的彈性回應。氧化矽具有可介於8至10 GPa的硬度。對照之下,OSG材料具有可介於0.1至5 GPa的硬度,端視該介電常數及沉積該材料的加工條件而定。後繼加工步驟,諸如蝕刻、化學機械平坦化(“CMP”)處理及把額外的層(例如銅的擴散阻障層、銅金屬(“Cu”)及頂覆層)沉積在該產物上,均需要機械強度。在某些這些方法中,多重層的溫度循環可能由於不同材料之間的熱膨脹係數無法匹配而誘發應力,藉以造成龜裂或脫層。表面平坦度也需要而且可透過控制加工參數諸如該膜形成程序期間的參數及透過CMP來維持。要經得住CMP的試驗,機械完整性、剛度、壓縮及剪切強度可能特別重要。 這些機械性質在最終產品的封裝中也很重要。
因為空氣的介電常數名義上為1.0,降低材料的介電常數的另一方法可導入孔隙率或降低該材料的密度。製成多孔性時介電膜與較緻密的膜相比可顯現較低的介電常數。
孔隙率已經透過各式各樣的不同手段導入低介電材料中。舉例來說,孔隙率可經由使該膜的一部分分解,造成具有提高的孔隙率及較低的密度的膜而被導入。
文獻中廣泛用於將孔隙率導入膜內的方法為使該膜的至少一部分分解,藉以產生細孔及最後降低該介電常數的熱退火。又另一經由移除存在於該膜內的至少一部分生孔劑而將孔隙率導入該膜的方法為透過使該膜曝露於紫外(UV)光源。在此退火步驟或固化步驟中,該膜經由被加熱及/或曝露於UV光源以分解及/或移除揮發性成分而且使該膜實質上交聯。美國專利案第6,312,793號說明具有基本上由Si、C、O及H所組成的第一相、基本上由C及H所組成的第二相及複數個細孔的多重相材料。將此材料加熱至至少300℃的溫度而且歷經至少15分鐘的時間以移除該等相之其一。公開專利申請案WO 00/02241說明於100至400℃的溫度下加熱烷氧基矽烷材料達到1至10分鐘的時間以經由移除存在於該烷氧基矽烷材料內的溶劑而引發細孔形成。公開專利申請案WO 02/07191A2說明將氧化矽沸石薄膜加熱至350至550℃的溫度範圍達到未明示的時間量以引發被吸附的材料離開該沸石骨架,藉以降低介電常數。
以化學方式併入該材料內的有機或含碳基團的量將同時影響介電常數及機械強度。原沉積的膜含有網狀組織含碳基團及非網狀組織含碳基團的組合。OSG膜所觀察到的機械強度降低,與不含有機基團的SiO2 膜相比時,可部分歸因於末端有機基團,特別是鍵結至矽原子的甲基,的導入所引起的氧化矽網狀組織的瓦解。一個說明該網狀結構網狀結構瓦解的方式為利用該膜內的碳原子數目對於該膜內的碳原子數目的比例而且該比例在此被稱為C/Si比例。咸相信存在於該膜內的有機基團越多,透過可能降低對應硬度的氧橋鍵結至4個其他矽的矽原子就越少。然而,若該膜內有太少有機基團,可能會使介電常數受到負面影響。結果,添加有機基團以降低該介電常數的益處可能隨著提高該膜內的有機基團的量使其硬度降低而削弱。
所以,此技藝的需求在於提供一改良方法以製造低密度及多孔性OSG材料。因此,此技藝中需要能有效移除存在於該多孔性有機矽酸鹽膜內的至少一部分含碳物種,藉以至少改善該膜的機械強度而不會不利地衝擊該膜的介電常數。
在此說明以一化學藥品,例如,但不限於氧化劑,處理一有機矽酸鹽(OSG)膜,使該膜曝露於一能量來源,例如,但不限於紫外光,或結合以一化學藥品處理該膜及使該膜曝露於一能量來源而移除該有機矽酸鹽(OSG)膜內的至 少一部分含碳物種的方法。在一個態樣中,提供一種用於形成多孔性有機矽酸鹽膜之方法,其包含:提供一複合有機矽酸鹽膜,其中該複合有機矽酸鹽膜係由包含至少一含矽前驅物及至少一含生孔劑前驅物的組成物沉積而且其中該複合有機矽酸鹽膜包含含碳物種;使該複合有機矽酸鹽膜曝露於一包含紫外光的能量來源;及以一包含氧化劑的化學藥品處理該複合有機矽酸鹽膜以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種,使該多孔性有機矽酸鹽膜曝露於一包含紫外光的能量來源以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種及提供一多孔性有機矽酸鹽膜。
在另一態樣中,提供一種用於形成多孔性有機矽酸鹽膜之方法,其包含:經由氣相沉積法由包含至少一含矽前驅物及至少一含生孔劑前驅物的組成物形成一複合有機矽酸鹽膜,其中該複合有機矽酸鹽膜包含含碳物種;以一化學藥品處理該複合有機矽酸鹽膜以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種;及使該複合有機矽酸鹽膜曝露於一包含紫外光的能量來源以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種及提供該多孔性有機矽酸鹽膜。
在進一步的態樣中,提供一種用於形成多孔性有機矽酸鹽膜之方法,其包含:提供一複合有機矽酸鹽膜,其中該複合有機矽酸鹽膜包含含碳物種、第一介電常數及第一硬度;以一包含氧化劑的化學藥品處理該複合有機矽酸鹽膜以移除至少一部分該複合有機矽酸鹽膜內的含碳物種;及使 該複合有機矽酸鹽膜曝露於一包含紫外光及任意熱能的能量來源以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種及提供包含第二介電常數及第二硬度的多孔性有機矽酸鹽膜,其中該第二介電常數係實質上與該第一介電常數相同或較小而且其中該第二硬度大於該第一硬度。
在此說明以一化學藥品,例如,但不限於氧化劑,處理一OSG膜,使該膜曝露於一能量來源,例如,但不限於紫外光,或結合以一化學藥品處理該膜及使該膜曝露於一能量來源而移除該OSG膜內的至少一部分含碳物種的方法。在此所說明的方法從該OSG膜選擇性移除至少一部分含碳物種同時保持大部分共價鍵結於Si原子的甲基的方法,在此將該甲基稱之為具有網狀結構末端的碳基團。在此所用的“含碳物種”說明存在於該OSG膜內的特定物種,該等特定物種可為至少一部分存在於該OSG膜內的生孔劑前驅物;來自各種不同加工步驟(例如,但不限於沉積該膜(例如,來自用於形成該複合材料膜的一或更多前驅物的前驅物殘餘物),將該膜固化,蝕刻該膜,將該膜灰化,及其組合)的含碳殘餘物;非網狀結構碳物種;及/或例如Si-CH2 -Si橋連基團的特定網狀結構碳基團。藉由在此所說明的方法選擇性移除這些含碳物種可,舉例來說,透過傅利葉(Fourier)轉換紅外線光譜(FTIR)、X-射線光電子光譜(XPS)、拉曼(Raman)光譜、核磁共振(NMR)或其他分析技術見到。咸相信藉由選擇性移除至少 一部分該複合材料或多孔性OSG內的含碳物種,該多孔性OSG膜的介電常數可被維持或降低同時改善該多孔性膜的機械性質。令人驚訝而且意想不到的是因為咸相信以特定化學藥品處理該複合材料或該多孔性OSG可能不利地影響該等機械性質及該多孔性膜之具有網狀結構末端的碳基團。
在特定的具體實施例中,在一複合OSG膜上進行該等處理及/或曝光步驟。在各種不同具體實施例中,在一多孔性OSG膜上進行該等處理及/或曝光步驟。在此所用的措辭“複合有機矽酸鹽膜”說明包含至少一結構形成劑前物、至少一生孔劑前驅物的組成物所沉積的OSG膜,而且含有含碳物種。在此所用的措辭“多孔性有機矽酸鹽膜”說明包含細孔的OSG膜。在特定的具體實施例中,該多孔性OSG膜係藉由移除存在於該複合OSG膜內的至少一部分生孔劑前驅物而提供。至少一部分該生孔劑前驅物可,舉例來說,藉由熱退火或固化、紫外線退火或固化、熱和紫外線退火或固化的組合或任何其他熟悉用於移除至少一部分存在於該複合OSG膜內的細孔形成劑前驅物的技藝之士可利用的方法予以移除。該(等)固化步驟藉由從原沉積膜(as-deposited film)移除該生孔劑前驅物的成分而將細孔提供至該膜內。
在特定的具體實施例中根據該複合膜的組成,在完成一或更多固化步驟之後似乎有一些含碳物種會留在該細孔系統內。在各種不同具體實施例中,該含碳物種存在於該膜內可能由於預防該膜的OSG網狀結構於後續加工步驟(例如,舉例來說,蝕刻及灰化)的期間損傷而顯得有益處。然而, 含碳物種存在也可提高該膜的介電常數,藉由結合有助於該介電常數的電子、離子或構型成分的物種,藉以需要能被導入該膜的額外孔隙率以達要想要的介電常數。當該膜的孔隙率提高時,可能造成該膜的機械性質不欲的降低。咸相信若至少一部分不想要的含碳物種可從該膜而非該具有網狀結構末端的碳基團(亦即,將疏水性導入該膜的Si-CH3 )被選擇性移除,與含有不想要的含碳物種的類似膜相比時可改善膜性質,例如但不限於,介電常數及機械強度。
該處理步驟、曝光步驟或處理和曝光步驟的組合可以各種不同順序於製造過程的期間進行。在同時進行該處理及曝光步驟的具體實施例中,該處理步驟可在該曝光步驟之前,該曝光步驟的至少一部分期間,該曝光步驟之後進行。在一些具體實施例中,只進行以一化學藥品處理的步驟。在其他具體實施例中,只進行以一包含紫外光的能量來源曝光的步驟。
在此所說明的方法適用於低介電常數(亦即,4.0或更小)有機矽酸鹽玻璃(OSG)材料及包含該材料的膜。在特定的具體實施例中,該介電常數材料或膜係經由結構形成前驅物,例如一或更多含氧化矽的前驅物,及一或更多生孔劑前驅物的化學氣相沉積形成。在此所用的“生孔劑”為用於所得的材料或膜內產生空隙體積的試劑。在此沉積過程的期間,該等含矽及生孔劑前驅物係以化學的方式活化而且共沉積在一基材表面上以形成一複合有機矽酸鹽材料。由於該化學活化的結果,該生孔劑前驅物能聚合以形成比該前驅物本 身分子量更高的物種。在如所用的措辭“聚合”表示在該等前驅物的單體或寡聚物被電漿及/或其他能量來源游離及***之後藉由這些離子、自由基及斷片的反應/結合形成聚合物的程序,以及藉由以編制或任意順序重複添加單體單元而形成聚合物的程序。在沉積之後,該生孔劑可經由導入一能量來源,例如,但不限於,熱處理、光子能量(包括但不限於紫外光)、電子束、電漿、x-射線及其組合而從該複合有機矽酸鹽材料移除該生孔劑。至少一部分該生孔劑的移除導致一多孔性OSG材料。
如前所述,該多孔性OSG材料係利用至少一含矽前驅物及至少一生孔劑前驅物沉積。可用以提供該多孔性OSG材料的含矽前驅物的實例可包括,但不限於,三乙氧基矽烷、三第三丁氧基矽烷、三甲氧基矽烷、三第三丁氧基矽烷、三乙醯氧基矽烷、四第三丁氧基矽烷、四乙氧基矽烷、四甲氧基矽烷、四乙醯氧基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基三甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基三甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲 基-1,2-二乙醯氧基-1,2-二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二矽氧烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基-t-丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷、二甲基二矽烷及其組合。其他含矽前驅物的實例係,舉例來說,於美國專利案第7,122,880、6,818,289、6,896,955、7,265,062、6,312,793、6,441,491、6,479,110、7,282,458、7,288,292及7,312,524號中提供。該至少一生孔劑前驅物的實例包括,但不限於,α-萜品烯、薴烯、環己烷、環辛烷、二環己二烯(BCHD)、γ-萜品烯、茨烯、二甲基己二烯、乙基苯、原冰片二烯、氧化環戊烯、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、茨烯、金剛烷、1,3-丁二烯、經取代的二烯類、十氫萘、甲苯及其組合。在一具體實施例中,該至少一生孔劑前驅物為具有1至13個碳原子的氣態烴。其他生孔劑前驅物的實例係,舉例來說,於美國專利案第6,846,515、7,384,471、6,312,793、6,441,491、6,479,110、7,282,458、7,288,292及7,312,524號中提供。
該等有機矽酸鹽膜係由該前驅物混合物利用各式各樣的不同方法沉積在至少一部分基材上。這些方法可由其本身或合併使用。可用以形成該有機矽酸鹽膜的方法的一些實例包括但不限於下列方法:熱化學氣相沉積、電漿強化化學氣相沉積(“PECVD”)、高密度PECVD、光子輔助CVD、 電漿-光子輔助(“PPECVD”)、低溫化學氣相沉積、化學輔助氣相沉積、熱絲化學氣相沉積、光起始化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積或轉移聚合(“TP”)。美國專利案第6,171,945、6,054,206、6,054,379、6,159,871號及WO 99/41423提供可用以形成在此所說明的有機矽酸鹽膜的一些示範CVD方法。在特定的具體實施例中,於介於100至425℃,或200至425℃,或200至400℃的溫度下進行沉積。儘管在此所用的化學試劑有時候可能被稱為“氣態”,咸了解該等化學試劑可以氣體的形態直接遞送至反應器,以氣化液體的形態遞送,直接液體注入,昇華的固體及/或經由惰性載體氣體傳送至反應器內。
在特定的具體實施例中,該有機矽酸鹽膜係透過電漿強化化學氣相沉積法形成。簡言之在PECVD方法中,使化學試劑流入例如真空艙的反應艙內而且用電漿能量供給該等化學試劑能量藉以形成一膜於該基材的至少一部分上。在這些具體實施例中,該有機矽酸鹽膜可藉由包含至少一含矽前驅物及至少一生孔劑前驅物的氣態混合物的共沉積,或也可以順序沉積形成。在特定的具體實施例中,施於該等試劑的電漿能量可介於0.02至7 watts/cm2 ,或0.3至3 watts/cm2 。該等試劑各自的流速可介於10至5000,或100至1,000,或100至500每分鐘標準立方公分(sccm-1 )。在這些具體實施例中,該PECVD可利用電容耦合電漿於13.56 MHz的頻率下進行。有關PECVD方法在沉積的期間該真空艙內的壓力值可介於0.01至600托耳,或1至10托耳。在特定的具體實施例中, 該沉積係於介於100至425℃,或200至425℃的溫度下進行。在各種不同具體實施例中,在該沉積程序中運用一載體氣體,該載體氣體具有低游離能以降低該電漿中的電子溫度,該電漿接著造成該混合物內較少的含矽前驅物***。低游離能載體氣體包括CO2 、NH3 、CO、CH4 、Ar、Xe、Kr。然而咸了解例如電漿能量、流速及壓力的加工參數可隨許多因子而改變,例如該基材的表面積、用於該沉積方法的前驅物、該PECVD方法所用的設備等等。
將能量施於該前驅物混合物以引發反應而且在該基材上形成該複合OSG膜。此能量可藉由,但不限於,熱、電漿、脈衝電漿、螺旋波電漿(helicon plasma)、高密度電漿、誘導耦合電漿、X-射線、電子束、光子及遙距電漿(remote plasma)方法提供。在特定的具體實施例中,可使用二次RF頻率來源來改善該基材表面處的電漿特性。
在此所說明的方法的其他具體實施例中,該複合膜可藉由旋塗沉積法沉積在一基材的至少一部分上。這些沉積法的實例係於,舉例來說,美國專利案第7,122,880、6,818,289、6,896,955及7,265,062號中提供。
如前所述,在此所說明的方法藉由以一化學藥品,例如,但不限於氧化劑,處理一有機矽酸鹽(OSG)膜,使該膜曝露於一能量來源,例如,但不限於紫外光,或結合以一化學藥品處理該膜及使該膜曝露於一能量來源而移除一有機矽酸鹽(OSG)膜內的至少一部分含碳物種。該方法可運用於複合膜、多孔性膜或該複合及多孔性膜二者上。在特定的具 體實施例中,經由一固化步驟從該原沉積或複合膜移除至少一生孔劑前驅物,該固化步驟可包含熱退火、化學處理、原地或遙距電漿處理、光固化及/或微波。其他原地或沉積後處理可用以增進材料性質,像是硬度、安定性(對於收縮,對於空氣曝露,對於蝕刻,對於溼式蝕刻,對於灰化,對於CMP處理等等)、完整性、均勻度及黏附加。此等處理可在利用與生孔劑移除所用的相同或不同手段在生孔劑移除之前、期間及/或之後施於該複合OSG膜。進行這些處理的條件可大幅地變化。舉例來說,這些處理可在高壓之下,在真空之下,於周遭條件下或其變化條件下進行。
在特定的具體實施例中,對該複合OSG膜進行退火或固化步驟以移除存在於該複合OSG膜內的至少一部分生孔劑前驅物而且提供一多孔性膜。在這些具體實施例中,該退火步驟係於下列條件之下進行。環境可為惰性(例如,氮、CO2 、貴重氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富含氧的環境、臭氧、一氧化二氮等等)或還原性(稀或濃縮氫、烴類(飽和、不飽和、線性或分支、芳香烴類)等等)。該壓力可介於約1托耳至約1000托耳,或大氣壓力。然而,真空環境也可用於熱退火及任何其他後期固化裝置。該溫度可介於200至500℃。溫度躍升速率可介於0.1至100℃/min。該溫度可介於周遭溫度(例如,25℃)至500℃。該壓力可介於10毫托耳至大氣壓力。總固化時間可介於0.01分鐘至12小時。
如前所述,在此所說明的方法可藉由選擇性移除 存在於該複合或多孔性膜內的至少一部分含碳物種而改善至少該複合膜、該多孔性膜或二者的機械強度。該方法也可回復或降低該多孔性OSG膜的介電常數。在特定的具體實施例中,對該複合或多孔性OSG膜進行一或更多化學處理。該措辭“化學處理”一般有關使該膜曝露於一或更多化學藥品或化學藥品組成物。此等處理中所用的化學藥品可於各式各樣的流體狀態中,例如昇華的固體、蒸氣、液體、氣體、氣溶膠、超臨界流體狀態或其組合。可用以處理該OSG膜的化學藥品的實例包括,但不限於,氟化的化學藥品(例如,HF、SiF4 、NF3 、F2 、COF2 、CO2 F2 ,);氧化性化學藥品(例如,H2 O2 、O3 、經臭氧化的水(O3 /H2 O);還原性化學藥品(例如,肼、亞鐵化合物、氫化物(LiAlH4 、NaBH4 、氫化二異丁基鋁)、亞錫化合物、亞硫酸鹽化合物、草酸、烴類、一氧化碳、氫、氫原子(例如,由電漿、遙距電漿、熱絲或其他來源所產生者);硫酸過氧化物混合物(“SPM”);化學乾燥;甲基化;或其他能增進最終材料的性質的化學處理。又其他可用以處理該複合或多孔性OSG膜的化學藥品的實例包括但不限於,水、醇類、醛類、酮類、酯類、醯胺類、甘醇類、甘醇醚類、醚類、環氧化物、胺類及其混合物。溶劑的特定實例包括環己酮、2-己酮、2-戊酮、1-戊醇、1-丁醇、2-丙醇、丙二醇丙基醚、丙二醇醋酸單甲酯、乳酸乙酯、醋酸戊酯、丙二醇、丙二醇單甲醚、N,N-二甲基甲醯胺及其混合物。在運用溶劑的具體實施例中,該化學藥品可包含添加物,例如,舉例來說,觸媒、助流劑、潤溼劑、pH調節劑、腐蝕抑制劑、離子強度調節劑及表面活 性劑。又其他可用以處理該複合或多孔性OSG膜的化學藥品的實例包括剝除或清潔組成物,例如但不限於,在美國專利案第4,770,713、5,279,771、5,419,779、5,417,877、5,597,420、5,997,658、6,677,286、6,828,289、6,943,141、6,943,142、6,951,710號,及美國公開案第2004/0063042、2005/0119143、2006/0014656、2006/0016785、2008/0199977及2005/0196974、2006/0003910及2007/0299239號中見到的那些組成物。在一特定具體實施例中,以一包含氧化劑的化學藥品來處理該複合或多孔性OSG膜。例示性氧化劑化學藥品包括,但不限於,氧(O2 )、臭氧(O3 )、經臭氧化的水(O3 /H2 O)、SPM、氧原子、O2 或O3 的自由基、O2 或O3 的帶電物種及其組合。經臭氧化的水可經由使氣態O3 經過水起泡製備而成。SPM溶液常用於半導體產業以移除有機物。這些化學藥品可以其本身或與其他在此所述或此技藝習知的化學藥品一起使用。不欲受到限制,表I提供就包含臭氧、經臭氧化的水及SPM的時間、溫度及壓力方面的數個特定處理條件之實例。
不受理論所限制,似乎包含氧化劑的化學藥品與存在於該複合或多孔性膜內的至少一部分含碳物種反應而形成額外的含碳副產物而不會打斷該共價鍵結的Si-CH3 基團或具有網狀結構末端的碳基團(如FTIR及XPS所證實的)。該額外的含碳副產物可藉由額外的化學處理步驟、曝露於一能量來源或其組合任意加以移除。在在此所說明的方法之一具體實施例中,使該複合膜曝露於一包含紫外光的能量來源以提供一包含含碳物種的多孔性膜,以一或更多包含氧化劑的化學藥品處理該多孔性膜以移除至少一部分該含碳物種但是形成額外的含碳副產物,而且接著以一包含紫外光的能量來源處理該多孔性膜以移除剩餘的含碳物種、該含碳副產物及/或任何有助於該化學處理的缺陷。在此所說明的方法的另一特定具體實施例中,以一或更多包含氧化劑的化學藥品該複合膜以移除至少一部分該含碳物種但是形成額外的含碳副產 物,而且接著以一包含紫外光的能量來源處理該多孔性膜以移除剩餘的含碳物種、該含碳副產物及/或任何有助於該化學處理的缺陷。
在特定的具體實施例中,使該複合或多孔性OSG膜曝露於一包含紫外光的能量來源(UV)。該措辭“紫外光”可包括,但不限於,紅外(IR)光、可見光、近UV、中等UV、遠UV、真空UV、超長UV或其組合。在特定的具體實施例中,該UV光具有一或更多介於10奈米(nm)至400nm的波長。該紫外光可為分散、集中、連續波、脈衝式或遮蔽式。該紫外光的來源包括,但不限於,激光器雷射、阻擋放電燈(barrier discharge lamp)、水銀燈、產生微波的UV燈、例如在IR或可見光區的倍頻或三倍頻的雷射或來自可見光區的雷射之二光子吸收。該紫外光源可被置於離該複合膜介於50毫吋至1,000呎的距離。環境可為惰性(例如,氮、CO2 、貴重氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富含氧的環境、臭氧、一氧化二氮等等)、還原性(稀或濃縮烴類、氫等等)或其組合。電力可介於0至5000瓦(W)或100至5000W。溫度可介於周遭溫度至500℃,或50至400℃。壓力可介於約10毫托耳至大氣壓力。總固化時間可介於0.01分鐘至12小時或0.5分鐘至60分鐘。
在該複合或多孔性OSG膜係曝露於一包含紫外光的能量來源(UV)的具體實施例中,該複合或多孔性OSG膜可曝露於一或更多該來源範圍以內的指定波長或寬廣的波長光譜。舉例來說,該複合膜可曝露於一或更多特定光波長, 例如透過雷射及/或光學聚光源。在後面的具體實施例中,該輻射源可通過例如透鏡(例如,凸面、凹面、圓柱形、橢圓形、方形或拋物面透鏡)、濾波器(例如,RF濾波器)、窗(例如,玻璃、塑膠、熔煉氧化矽、合成氧化矽、矽酸鹽、氟化鈣、氟化鋰或氟化鎂窗)或鏡子的光學裝置以提供指定及聚焦的光波長。在這些具體實施例中,非反應性氣體可於該曝光步驟的至少一部分期間流過該光學裝置以預防於該細孔形成步驟的期間排氣在該光學裝置的表面上形成積沈物。也可以,該輻射源不通過任何光學裝置。
除了紫外光以外,該複合或多孔性OSG膜可曝露於一或更多額外的能量來源,其包括但不限於熱能、α-粒子、β-粒子、γ-射線、x-射線、電子束、可見光、紅外光、微波、射頻波長及其組合。
該曝光步驟可以各式各樣的裝配進行,端視用於形成該複合膜的方法而定。可能有利的是在至少一部分該複合膜形成之後或甚至期間進行該曝光步驟。該曝光步驟可以不同裝配進行,例如,但不限於,石英容器、經修飾的沉積艙、輸送帶處理系統、加熱板、真空艙、集束型工具(cluster tool)、單晶圓儀器、批次處理儀器或旋轉式棚門。
在特定的具體實施例中,對該複合或多孔性OSG膜進行電漿處理。在這些具體實施例中,該電漿處理係在下列條件之下進行。環境可為惰性(氮、CO2 、貴重氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富含氧的環境、臭氧、一氧化二氮等等)或還原性(稀或濃縮氫、 烴類(飽和、不飽和、線性或分支、芳香烴類)等等)。電漿電力可介於0至5000 W。溫度可介於周遭溫度至500℃。壓力可介於10毫托耳至大氣壓力。總固化時間可介於0.01分鐘至12小時。
在特定的具體實施例中,對該複合或多孔性OSG膜進行微波後處理。在這些具體實施例中,在下列條件之下進行微波後處理。環境可為惰性(氮、CO2 、貴重氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富含氧的環境、臭氧、一氧化二氮等等)或還原性(稀或濃縮烴類、氫等等)。溫度可介於周遭溫度至500℃。電力及波長可針對指定的鍵做變化及調整。總固化時間可介於0.01分鐘至12小時。
在特定的具體實施例中,對該複合或多孔性OSG膜進行電子束後處理。利用電子束處理可提供生孔劑移除及透過於基質中的鍵形成方法增進膜機械性質。在這些具體實施例中,在下列條件之下進行電子束後處理。環境可為惰性(氮、CO2 、貴重氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富含氧的環境、臭氧、一氧化二氮等等)或還原性(稀或濃縮烴類、氫等等)。溫度可介於周遭溫度至500℃。電子密度及能量可針對指定的鍵做變化及調整。總固化時間可介於0.001分鐘至12小時,而且可為連續性或脈衝式。下面提供特定電子束處理的實例:S. Chattopadhyay等人,Journal of Materials Science,36(2001)4323-4330;G. Kloster等人,IITC的議程,2002年,6月3 至5日,舊金山,加州;及美國專利案第6,207,555 B1、6,204,201 B1及6,132,814 A1號。
在特定的具體實施例中,在此所說明的膜為多孔性。在這些具體實施例中,該膜的總孔隙率可依據加工條件及想要的最終膜性質為5至75%。該多孔性膜內的平均尺寸介於約1 Å至約500 Å,或約1 Å至約100 Å,或約1 Å至約50 Å。較佳為該膜具有狹窄的尺寸範圍而且該等細孔係均勻分佈於整個膜。然而,該膜的孔隙率不一定均勻遍佈該膜。在特定的具體實施例中,有一孔隙率梯度及/或變化孔隙率的層。此等膜可經由,例如,於該複合膜的形成期間調整形成細孔的前驅物對於形成結構的前驅物的比例而提供。該等膜的孔隙率可具有連續性或非連續性細孔。
在此所說明的方法的特定的具體實施例中,該細孔尺寸可在化學處理、曝露於一能量來源及其組合之後增大尺寸。在此所說明的方法的其他具體實施例中,該細孔尺寸可在化學處理、曝露於一能量來源及其組合之後減小尺寸。此等細孔尺寸的變化可,舉例來說,經由橢圓偏光計測出來。在此所說明的膜可具有於240奈米下藉由橢圓偏光計測量介於0至0.03或0至0.025的消光係數。
在其他具體實施例中,在此所說明的膜並非多孔性。
在此所說明的膜可具有相對於常見的OSG材料較低的介電常數。在特定的具體實施例中,在此所說明的膜具有約3.0或以下,或約2.8或以下,或約2.7或以下的介電 常數。在一特定具體實施例中,該膜的介電常數介於1.2至2.5。
該等膜適用於各式各樣的用途。該等膜特別適用於沉積在半導體基材上,而且特別適用於當作,例如絕緣層、層間介電層及/或金屬間介電層。該等膜可形成保形塗層。這些膜所顯現的性質使彼等特別適用於Al消去技術及Cu金屬鑲嵌或雙重金屬鑲嵌技術。
在此所說明的方法的較佳具體實施例中,將該複合OSG膜或多孔性OSG膜沉積在一基材上。適合的基材包括,但不限於,半導體材料,例如砷化鎵(“GaAs”)、矽及含有矽的組成物,例如結晶矽、多晶矽、非晶矽、磊晶矽、二氧化矽(“SiO2 ”)、矽玻璃、氮化矽、熔煉氧化矽、玻璃、石英、硼矽酸鹽玻璃及其組合者。其他適合的材料包括鉻、鉬及其他常用於半導體、積體電路、平板顯示器及軟性顯示器應用的金屬。該基材可具有額外的層,例如,舉例來說,矽、SiO2 、有機矽酸鹽玻璃(OSG)、氟化矽酸鹽玻璃(FSG)、碳氮化硼、碳化矽、加氫碳化矽、氮化矽、加氫氮化矽、碳氮化矽、加氫碳氮化矽、氮化硼、有機-無機複合材料、光阻劑、有機聚合物、多孔性有機及無機材料及複合材料、金屬氧化物(例如氧化鋁及氧化鍺)。又其他的層也可為鍺矽酸鹽類、鋁矽酸鹽類、銅及鋁,及擴散阻障材料,例如,但不限於,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜能黏附於足以通過習用牽引測試(例如ASTM D3359-95a膠帶牽引測試)的至少一前述材料。若沒有可辨別的膜移除就將樣品視為通過此測試。
藉由使用在此所說明的方法移除至少一部分該OSG膜內的含碳物種,與未以一包含氧化劑的化學藥品、一包含紫外光來源的能量來源及其組合處理的OSG膜相比時該OSG膜的折射率及消光係數明顯降低了。該膜的這些物理性質在以一包含氧化劑的化學藥品處理及曝露於包含UV光的能量來源之後顯著地改善了。在一特別的具體實施例中,該複合OSG膜具有第一介電常數、第一硬度及第一模數。接著以一氧化劑化學藥品處理該複合OSG膜並且曝露於一包含紫外光的能量來源及任意熱能以移除至少一部分該複合OSG膜內的含碳物種而且提供具有第二介電常數、第二硬度及第二模數的多孔性有機矽酸鹽。該多孔性有機矽酸鹽的第二介電常數係實質上與該第一介電常數相同或較小而且該多孔性有機矽酸鹽的第二硬度大於該第一硬度。
儘管在此所說明的方法特別適用於提供膜而且將此方法的產物在此大都被稱為膜,但是本發明並不限於此。咸了解在特定的例子中該等措辭“OSG膜”及“OSG材料”可相互交換使用。在此所說明的方法之產物可以任何能藉由CVD沉積的形態提供,例如塗層、多層式組合及其他不一定是平面或薄的類型的物體或材料,及大量不一定用於積體電路中的物體或材料。
在此所說明的方法將參照下列實施例更詳細地舉例說明,但是應該要了解的是不得認為本發明受限於此。
實施例
儘管在此所說明的方法可在任何介電膜上進行,但是下列實施例使用PDEMSTM 2.5 ATRP膜。如在此所用的,此名稱“PDEMSTM 2.5 ATRP膜”說明具有約2.5的介電常數的低介電膜。該等膜利用由賓州,亞林鎮的Air Products股份有限公公司所提供的二乙氧基甲基矽烷(DEMS)當作該含氧化矽的前驅物來沉積,而且由Air Products股份有限公司所提供的α-萜品烯(ATRP)當作該生孔劑前驅物係經由電漿強化CVD(PECVD)方法利用Applied Materials Precision-5000系統在一裝配Advance Energy 200 rf產生器的200 mm DxZ真空艙內而且利用一未摻雜的TEOS加工組形成。該等PDEMSTM 2.5 ATRP膜係根據美國專利案第6,846,515號中所說明的方法製備而成,在此以引用方式將其全文併入本文。
在下列實施中,除非另行指明,否則性質係由沉積在介質電阻率(8-12 Ωcm)的單晶矽晶圓基材上的樣品膜獲得。
各膜於240 nm下的厚度、膜折射率及消光係數係藉由反射測定法在一SCI Film Tek 2000反射計上測定。
各樣品膜的介電常數係根據ASTM Standard D150-98測定。各膜的電容-電壓係利用Solartron Model SI 1260 Frequency Analyzer及MSI Electronics Model Hg 401單點接觸水銀探針於1 MHz下獲得。電容測量及水銀電極面積(A)的誤差小於1%。在+20與-20伏特之間測量該基材(晶圓)電容(CSi )、背景電容(Cb )及總電容(CT )而且藉由方程式(1)計算該薄膜樣品電容(Cs ): Cs =CSi (CT -Cb )/[CSi -(CT -Cb )] 方程式(1)
各膜的介電常數係由方程式(2)算出來,其中d為該膜厚度,A為水銀電極面積,而且ε0 為真空中的介電常數: 預期該膜的介電常數的總誤差為小於6%。
各膜的彈性模數係由該晶圓中心分割出來而且利用低熔融溫度黏著劑(由紐約州,Valley Cottage的Armco Products股份有限公司所製造的CRYSTALBOND®)裝設於鋁短柱上的1 x 0.4 cm2 樣品測得。在具有ACCUTIPTM Berkovich鑽石尖頭之MTS Systems有限公司所製造的NANOINDENTER® Dynamic Contact Module(DCM)上利用下列參考資料中所說明的連續剛度測量(“CSM”)法進行壓痕試驗,Oliver等人,“An improved technique for Determining Hardness and Elastic Modulus Using Load and Displacement Sensing Indentation Experiments”,J.Material Research,1992,7[6],pp.1564-1583,在此以引用方式將其全文併入本文。有小諧振重疊在主要負載信號上而且藉由頻率專用放大器分析所得的系統回應。整個測試期間均將刺激發頻率保持於75 Hz(DCM)不變而且控制激發振幅使得所得的位移振幅維持於1 nm(DCM)不變。
各壓痕實驗均允許連續測量接觸剛度,S。利用S的動態測量及楊氏模數(Young’s modulus)與硬度的既定公式(氧化矽的泊松比(Poisson’s Ratio)=0.18,低κ膜的0.25),每個個別壓痕實驗產生以表面穿透深度的連續函數表示的楊氏模數與硬度。在各樣品上壓出一4至5個凹痕的陣列而且以將近20至25微米的距離隔開連續凹痕。檢視各壓痕實驗的結果而且排除任何“離群值”。利用將近5 mm的分離位移窗求得各樣品的壓痕實驗的楊氏模數與硬度對比於穿透深度之結果的平均值。利用此窗中的數據,接著算出各樣品的平均值、標準偏差及信賴區間(confidence interval)。同樣計算出其餘分離窗的相同統計數字。獲得硬度結果而且以相同方式求平均。將硬度及楊氏模數記載為硬度曲線最低處(於約30至50 nm處)的硬度測量值及該模數曲線最低處(於約30至50 nm處)的模數測量值。預期該膜的模數及硬度誤差小於10個百分比。
利用一配備DTGS KBR偵測器及KBr分束器的Thermo Nicolet Nexus 470系統在晶圓上收集FTIR數據。在類似的介質電阻率晶圓上收集背景光譜以從該光譜消除CO2 及水。以4 cm-1 的解析度收集32次掃描而獲得在4000至400 cm-1 的範圍中的數據。使用OMNIC軟體包來處理該數據。所有膜均校正基線,將強度統一為500 nm的膜厚度,而且以該OMNIC軟體測定感興趣的峰面積及高度。
利用相對敏感度因子及假設均質層的模型把X-射線光電子光譜(XPS)數據定量。藉由一Phi 5701 Lsci系統利用單色Alkα 1486.6eV X-射線來源、±7°的接受角、65°的脫離 角(take off angle)、800 μm2 的分析面積、86 Å/min的濺鍍速率及Ar+ ,3 keV,4 x 4 mm光域(raster)的離子槍條件獲得該等XPS測量值。分析體積為分析面積(點大小或開口大小)與資訊深度的乘積。在該X-射線穿透深度(通常數微米)範圍以內產生光電子,但是只偵測頂部3個光電子逸出深度(photoelectron逸出深度s)範圍以內的光電子。逸出深度為15至35 Å的等級,其導致約50至100 Å的分析深度。經常地,95%的信號係於此深度的範圍以內引起。利用一Varian 3.2 mm T3DR探針以12至14 kHz的旋轉速度於9.4 T(400 MHz)的場強度下收集29 Si及13 C MAS NMR數據。利用由加州,Livermore的Acorn NMR股份有限公司所發展出來的NUTS軟體程式進行數據分析。
在某些下列實施例中,除非另行指明,否則藉由一10”H+ 燈泡整合於一200 mm Dx1艙內的掃描式Fusion VPS/I-600,該艙附接於一自動型Applied Materials P5000工作檯,進行UV曝光。感知器溫度設在300℃。使樣品曝露於100% UV能量歷經0.5分鐘至10分鐘,較佳為1分鐘至3分鐘。在某些下列實施例中,除了UV固化步驟(例如,或用以從該複合膜移除有機材料以提供該多孔性OSG膜的步驟)之外可使用UV曝光步驟。
在某些下列實施例中,以下列表II所提供的下列化學藥品組成物之一處理示範性晶圓: 詞彙:二甲基乙醯胺(DMAC)
四氫呋喃醇(THFA)
去離子水(DIW)
醋酸銨(Amm.Acetate)
氟化銨(AF)
甘油(Gly.)
羥基胺(50%溶液)(HA)
甲醇胺(MEA)
實施例1:該低介電OSG膜內的含碳物種之偵測
29 Si MAS NMR估計該網狀結構及用13 C MAS NMR估計該膜內的含碳物種。據證實這些膜內可能有多於一類型碳,例如,共價鍵結於Si的CH3 及殘餘的含碳物種,表III總結由200mm晶圓刮下的粉末的29 Si MAS NMR及13 C MAS NMR。表III顯示該膜內存在不同的Si物種及含碳物種。表III也顯示該膜內存在兩種類型的含碳物種:一種與鍵結於一Si原子的甲基或該等具有網狀結構末端的碳基團相關者及烯狀碳相。後面的碳可能有助於提高該膜的介電常數及降低機械性質。在此所說明的方法嘗試移除此烯狀碳物種而不損及該矽酸鹽網狀結構或使該膜變成疏水性的末端基團。若此不想要的含碳物種可從該膜被移除而不會使例如該膜網狀結構的材料顯著劣化,所得的膜的電氣或機械性質將可獲得改善。
表IV中提供各種不同固化的PDEMSTM 2.5 ATRP膜的介電常數及機械性質,該等膜以包含氧化劑的化學藥品處理,曝露於UV光,以額外的化學藥品配方處理及其組合。在表IV的所有實施例中,該等固化的PDEMSTM 2.5 ATRP膜係以氧化性化學藥品臭氧(O3 )處理。在表IV的實施例3及4中,使該等經處理的PDEMSTM 2.5 ATRP膜在下列條件之下曝露於UV光1分鐘:寬帶H+ 燈泡,6000瓦Fusion系統、真空及300℃的感知器溫度。表IV中的實施例1及2顯示該臭氧處理-無論有或沒有後續化學處理步驟-提高該等膜的介電常數及降低該等膜的機械性質。然而,使該經O3 -處理的膜曝露於UV光經過一短暫時間之後,該介電常數返回正常或降低,同時該等膜的機械性質提高。
比較例:以一或更多化學清潔組成物處理
以各種不同化學藥品組成物(以上表II中所提供者)在表V、VI及VII中所提供的各種不同處理條件之下處理固化及未固化的PDEMSTM 2.5 ATRP晶圓。表V、VI及VII另外提供以各種不同清潔組成物處理之後各示範性晶圓經由 反射計所獲得的厚度、折射率及消光係數。使該等PDEMSTM 2.5 ATRP晶圓接觸或浸入含有在介於20℃至80℃的各種不同溫度下的溼式化學藥品之容器內。該基材曝露於各種不同化學藥品組成物的典型時間可介於,舉例來說,1至120分鐘。以該等化學藥品組成物處理之後,以去離子水沖洗該晶圓之後接著乾燥。乾燥在惰性氣氛之下進行。
分別以化學藥品組成物H處理該等固化膜10及30分鐘之後,或比較例1及2,該膜厚度稍微減小,但是與對照組B相比該經處理的PDEMSTM 2.5 ATRP膜並沒有見到折射率及消光係數有改變(參見表V)。處理經過60分鐘之後,該比較例3膜嚴重損毀而且可見到表面粗糙。該等比較例1、2及3膜的FTIR數據顯示Si-O峰不斷降低,表示膜厚減小,但是並沒有見到於1735cm-1 處的羰基峰。這些結果顯示包含羥基胺的化學藥品組成物不能移除含碳物種,但是較長的曝露時間會損及該等固化的PDEMSTM 2.5 ATRP膜。
表VI進一步顯示以化學藥品組成物I處理該等固化膜5分鐘之後,或比較例4,與對照組C相比該PDEMSTM 2.5 ATRP膜並沒有折射率及消光係數的變化。以化學藥品組成物I處理10分鐘之後,或比較例5,該膜厚度與折射率及消光係數一同降低。以化學藥品組成物I處理30分鐘之後,或比較例6,該膜脫層。該等比較例4、5及6膜的FTIR數據顯示Si-O峰不斷降低,表示膜厚減小,但是並沒有見到於1735cm-1 處的羰基峰。這些結果顯示包含羥基胺的化學藥品組成物不能移除含碳物種,但是較長的曝露時間會損及該等 固化的PDEMSTM 2.5 ATRP膜。
表VII顯示以各種不同清潔組成物處理至多30分鐘之後,與對照組D相比該未固化的PDEMSTM 2.5 ATRP沒有厚度、折射率及消光係數的變化。FTIR數據顯示沒有膜性質的變化而且沒有羰基物種的證據。這些結果顯示這些清潔組成物不能從未固化的PDEMSTM 2.5 ATRP膜移除生孔劑及含碳物種,但是較長的曝露時間會損及該等固化的PDEMSTM 2.5 ATRP膜。
實施例2:曝露於臭氧、臭氧與溼式化學處理及臭氧與UV之後對於固化的多孔性OSG膜的各種不同性質的影響
在一UV-臭氧乾洗機或Ultra-Violet臭氧清洗系統,UVOCS股份有限公司,T10X10/OES型,序號1034中處理固化的多孔性PDEMSTM 2.5 ATRP晶圓,其中使該等晶圓曝露於含有臭氧的氣態環境。表VIII提供處理條件及由各示範性晶圓所獲得的厚度、折射率及消光係數。表VIII中提供反射計數據而且顯示經過O3 曝露之後,折射率@632 nm及消光係數@240 nm顯著降低而沒有厚度變化。額外的溼式化學藥品處理,係用以在UV固化之前清潔該經O3 曝露的晶圓。該等溼式化學藥品為中性至酸性的半水性溶液與水的混合物或含有C=O有機溶劑。以上表II中提供各種不同溼式化學性質的配方。使該經O3 處理的基材接觸或浸入含有介於20℃至80℃的溫度下的各種不同化學藥品組成物的容器中。該等化學藥品組成物處理該基材的典型時間可介於,舉例來說,1至120分鐘。與該等化學藥品組成物接觸之後,以去離子水水洗該基材,接著乾燥。乾燥經常在惰性氣氛之下進行。在額外以該等化學藥品組成物處理之後,進一步地降低了折射率及消光係數(參見實施例10)。
表IX提供對於各示範性晶圓所獲得的FTIR數據。如表IX中的數據舉例說明的,在以O3 處理之後,Si-CH3 /SiO的比例基本上顯示沒有變化,那表示共價鍵結於Si的甲基並沒有受到以O3 處理所影響(與對照組E及實施例5 相比)。該FTIR光譜也顯示經過O3 處理之後,分別有強烈的峰出現於約1735cm-1 及寬廣的峰出現在約3500 cm-1 ,可能是因為C=O及-OH拉伸轉變的緣故(參見實施例5)。這表示該含碳物種最有可能被O3 處理轉化為羰基(C=O)或羧酸(COOH)物種或含碳副產物。該等晶圓進一步曝露於清潔組成物完全移除約1735cm-1 處的羰基峰,但是該-OH鍵還在(參見實施例6、7及8)。此-OH鍵可能源於H2 O在表面上產生的氫鍵。額外的UV曝光步驟能完全移除該羰基(C=O)或羧酸(COOH)物種或含碳副產物而且回復該膜結構(參見實施例9及實施例10)。
表X提供關於若干實施例的XPS數據。該XPS數據顯示在原接收(as-received)的固化的PDEMS 2.5(對照組E)及經O3 曝露的固化的PDEMS 2.5表面(實施例5)及經由XPS及ESCA濺鍍之後的表面上觀察到的物種。這些物種包括R-Si、烴類、含氧的有機物、小量的氟化物及C-N。實施例5也含有O-C=O官能性(可能是酯),那與FTIR所發現的結果一致。比較對照組E及實施例5的碳濃度,O3 處理把該原接收到的表面上的碳濃度從24.1%降至15.3%而且在-10 nm表面上從19.3%降至7.1%。這些結果進一步提供了該含碳物種能經由以O3 處理而有效予以移除的證據。
a 將所偵測到的元素統一為100%。XPS並未偵測H或He。
實施例3:以經臭氧化的水處理及曝露於UV之後對於固化的多孔性OSG膜的各種不同性質的影響
將具有2.5的介電常數的固化的多孔性PDEMS晶圓浸於經臭氧化的水(O3 /H2 O),其於將近21.8℃的水中含有每百萬份30份(ppm)的臭氧,歷經表XI及XII中所提供的各種不同時間。反射計數據(表XI)顯示在浸於經臭氧化的水之後,當浸泡時間增加時折射率@632 nm及消光係數@240 nm顯著降低了。該等晶圓的厚度基本上沒有變化。
表XII提供對於各示範性晶圓所獲得的FTIR數據。如表XII中的數據舉例說明的,在浸於經臭氧化的水至多60分鐘之後,該Si-CH3 /SiO的比例顯示基本上沒有改變,那表示共價鍵結於Si的甲基並未受到該經臭氧化的水所影響(比較對照組F及實施例11至14)。該FTIR光譜也顯示浸於經臭氧化的水中5分鐘之後,分別有強烈的峰出現於約1735cm-1 及寬廣的峰出現在約3500 cm-1 ,因為C=O及-OH拉伸轉變的緣故。這表示該含碳物種最有可能在浸於經臭氧化的水中5分鐘之後被轉化為羰基(C=O)或羧酸(COOH)物種或含碳副產物(參見對照組F及實施例11至14)。在更長的浸泡時間時沒有顯著的羰基(C=O)或羧酸(COOH)物種增加。
實施例4:以SPM處理之後對於固化的多孔性OSG膜的各種不同性質的影響
將具有2.5的介電常數的固化的多孔性PDEMS晶圓浸於120°的SPM(莫耳比10:1的98%硫酸:30% H2 O2 )歷經表XIII及XIV中所提供的各種不同時間。反射計數據(表XIII)顯示在浸於SPM之後,當浸泡時間增加時折射率@632 nm稍微降低;消光係數@240 nm顯著降低;而且該等晶圓的厚度稍微改變。
表XIV提供對於各示範性晶圓所獲得的FTIR數據。如表XIV中的數據舉例說明的,在浸於SPM至多30分鐘之後,該Si-CH3 /SiO的比例稍微降低,那表示共價鍵結於Si的甲基稍微受到浸於SPM所影響。該FTIR光譜也顯示浸於SPM中1分鐘之後,分別有強烈的峰出現於約1735cm-1 及寬廣的峰出現在約3500 cm-1 ,因為C=O及-OH拉伸轉變的緣故。這表示該含碳物種最有可能在浸於SPM中1分鐘之後被轉化為羰基(C=O)或羧酸(COOH)物種。羰基(C=O)拉伸的積分面積的持續降低表示該羰基(C=O)或羧酸(COOH)物種傾向在更長的浸泡時間時被SPM移除。
實施例5:以臭氧及各種不同清潔化學作用處理之後對於未固化的多孔性OSG膜的各種不同性質的影響
在一UV-臭氧乾洗機(UVOC)中處理未固化的 PDEMSTM 2.5 ATRP晶圓,其中使該等晶圓曝露於含有臭氧的氣態環境。反射計數據(表XV)顯示在O3 曝露之後,該等折射率@632 nm及消光係數@240 nm隨著厚度的些微減小而明顯降低。使用額外的溼式化學方法在UV固化之前清洗該經O3 曝露的晶圓。該等溼式化學藥品為中性至酸性的半水性溶液與水的混合物或含有C=O有機溶劑。該等化學藥品的實施例為組成物A至C,其係提供於此處的表II中。
FTIR數據(表XVI)顯示曝露於O3 之後,該Si-CH3 /SiO的比例基本上顯示沒有變化,那表示共價鍵結於Si的甲基並沒有受到曝露於O3 所影響。另一方面,該C-H/SiO的比例明顯降低,那表示該生孔劑實質上被該O3 曝露所移除。FTIR光譜也顯示經過O3 曝露之後,分別有強烈的峰出現於約1735cm-1 及寬廣的峰出現在約3500 cm-1 ,可能是因為C=O及-OH拉伸轉變的緣故。這表示該含碳物種最有可能被O3 曝露轉化為羰基(C=O)或羧酸(COOH)物種。溼式化學藥品清潔移除於約1735cm-1 處的一部分羰基峰,但是無法有效到足以完全移除該C=O及-OH物種。
實施例6:以經臭氧化的水處理之後對於未固化的多孔性OSG膜的各種不同性質的影響
將未固化的PDEMSTM 2.5 ATRP晶圓浸於經臭氧化的水(O3 /H2 O),其於將近21.8℃的水中含有每百萬份30份(ppm)的臭氧,歷經表XVII及XVIII中所提供的各種不同時間。反射計數據(表XVII)顯示在浸於經臭氧化的水之後,當浸泡時間增加時該消光係數@240 nm顯著降低了。該等晶圓的折射率及厚度稍微有變化。
FTIR數據(表XVIII)顯示在浸於經臭氧化的水至多60分鐘之後,該Si-CH3 /SiO的比例顯示基本上沒有改變,那表示共價鍵結於Si的甲基並未受到該經臭氧化的水所影響。另一方面,該C-H/SiO的比例明顯降低,那表示該生孔劑實質上被該經臭氧化的水的浸泡所移除。FTIR光譜也顯示經過浸於經臭氧化的水5分鐘之後,分別有強烈的峰出現於 約1735cm-1 及寬廣的峰出現在約3500 cm-1 ,可能是因為C=O及-OH拉伸轉變的緣故。這表示該含碳物種最有可能在浸於經臭氧化的水5分鐘之後被轉化為羰基(C=O)或羧酸(COOH)物種。更長的浸泡時間並沒有羰基(C=O)或羧酸(COOH)物種的明顯增加。
實施例7:經過SPM曝露之後對於未固化的多孔性OSG膜的各種不同性質的影響
將未固化的多孔性PDEMS晶圓浸於120°的SPM(98%硫酸:30% H2 O2 =10:1)歷經各種不同時間。反射計數據(表XVIX)顯示在浸於SPM之後,當浸泡時間增加時折射率@632 nm及消光係數@240 nm稍微降低。當浸泡時間增加時該等晶圓的厚度也稍微滅小。
FTIR數據(表XX)顯示在浸於SPM至多10分鐘之後,該Si-CH3 /SiO的比例稍微降低,那表示共價鍵結於Si的甲基稍微受到SPM所影響。該C-H/SiO比例的顯著變化表示SPM從未固化的PDEMS膜有效地移除生孔劑。FTIR光譜也顯示浸於SPM中1分鐘之後,分別有強烈的峰出現於約1735cm-1 及寬廣的峰出現在約3500 cm-1 ,因為C=O及-OH拉伸轉變的緣故。這表示該含碳物種最有可能在浸於SPM中1 分鐘之後被轉化為羰基(C=O)或羧酸(COOH)物種。該羰基(C=O)或羧酸(COOH)物種傾向在更長的浸泡時間時被SPM移除。在浸於SPM中之後觀察到,該低的C-H/SiO比例接近該等固化的PDEMS 2.5晶圓(參見對照組A至G)的C-H/SiO比例。
預言性質的實施例8:以肼處理之後對於固化的多孔性OSG膜的各種不同性質的影響
將具有2.5的介電常數之固化的多孔性PDEMSTM 2.5 ATRP晶圓浸於一70℃的肼溶液(35重量%含肼的水)歷經1、5、10及30分鐘。反射計數據顯示經過該肼溶液的浸泡之後,該等折射率@632 nm將會降低;該消光係數@240 nm將會降低;而且當浸泡時間增加時該等晶圓的厚度將會稍微變化。
該FTIR數據將顯示該光譜的烴區有明顯減小,亦即該C-H/SiO比例將會降低,但是該CH3 -Si峰面積仍維持不變。這顯示還原性化學處理對於該含碳物種的移除具有選擇性而非該具有網狀結構末端的碳物種。
該膜的介電常數從2.5降至2.3而且機械性質維持與未經處理的PDEMS 2.5膜相等。藉由該經肼處理的樣品的曝露,介電常數將會降至2.2而且該膜的模數將會提高5%。
預言性質的實施例9:以草酸處理之後對於固化的多孔性OSG膜的各種不同性質的影響
將具有2.5的介電常數之固化的多孔性PDEMSTM 2.5 ATRP晶圓浸於一草酸溶液歷經1、5、10及30分鐘。反射計數據顯示經過該草酸溶液的浸泡之後,該等折射率@632 nm將會降低;該消光係數@240 nm將會降低;而且當浸泡時間增加時該等晶圓的厚度將會稍微變化。
在曝露於該草酸溶液之後該介電常數維持不變,但是該膜的機械性質降低5%。當曝露於UV光1分鐘時,該介電常數從2.5降至2.3而且該膜的模數將會提高10%。
實施例10:處理及曝露對於固化的PDEMS 2.5膜的數據的影響
實施例10舉例說明該材料的細孔尺寸隨著該UV-固化的多孔性PDEMS膜的非骨架碳的修飾及移除而變化。這些結果可解釋與該未經處理的PDEMS 2.5膜相比時該等膜的提高的機械性質及同等的介電常數。
藉由法國的SOPRA S.A.所製造的SOPRA EP-12橢圓偏光計進行偏光孔隙度測定法(EP),其利用溶劑甲苯當作被吸附物。EP測量有機溶劑或水任一者於減壓下吸附及脫附期間該等材料的光學性質及厚度的變化。此分析提供該介電材料的孔隙率、微細孔(micropores)及間隙孔(mesopores)的細孔尺寸分佈、累積表面積、細孔網間連結、楊氏模數、厚度及折射率。甲苯係分配至艙內而且測量該膜的折射率。甲苯 的偏壓在0.01與0.97之間變化以獲得該示範性膜的吸附/脫附等溫線。根據該膜的折射率變化,該膜所吸附的甲苯量可由方程式(3)算出來,其中nr1 為該膜有液體在細孔內的折射率,nre 為該多孔性膜曝露於該被吸附物之前的折射率,而且n1 為該液態被吸附物的折射率。
利用SOPRA所研發的WinElli II軟體,該細孔尺寸及細孔尺寸分佈可從該吸附/脫附等溫線任意利用該Kelvin及/或Dubinin/Radushkevitch方程式測定。
表XXI提供沒從該膜移除含碳物種的PDEMS 2.5及以臭氧處理的二PDEMS膜的細孔尺寸及細孔尺寸分佈。該等示範性膜為實施例3及4以及實施例1的對照組樣品A。表XXI顯示該細孔直徑增加25%,該總微細孔體積降低15%,而且該間隙孔體積增加4%。這些細孔尺寸及細孔尺寸分佈的變化暗示利用氧化性或還原性碳修飾技術的細孔結構曾有明顯的變化。這些膜的細孔結構變化將會對多孔性介電膜的機械及絕緣性質二者造成顯著影響。

Claims (25)

  1. 一種用於在一基材上形成有機矽酸鹽膜之方法,其包含:提供一基材;於該基材上提供一複合有機矽酸鹽膜,其中該複合有機矽酸鹽膜係由包含至少一含矽前驅物及至少一含生孔劑前驅物的組成物沉積而且其中該複合有機矽酸鹽膜包含含碳物種,一第一折射率及一第一消光係數;及以一包含一氧化劑的化學藥品處理該複合有機矽酸鹽膜,以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種及提供一具有第二折射率及一第二消光係數的有機矽酸鹽膜,其中該處理後的第二折射率及第二消光係數低於該第一折射率及第一消光係數。
  2. 如申請專利範圍第1項之方法,其進一步包含使該有機矽酸鹽膜曝露於一能量來源。
  3. 如申請專利範圍第2項之方法,其中該處理步驟係於該曝露步驟的至少一部分期間進行。
  4. 如申請專利範圍第2項之方法,其中該曝露步驟係於該處理步驟之前進行。
  5. 如申請專利範圍第2項之方法,其中該處理步驟係於該曝露步驟之前進行。
  6. 如申請專利範圍第2項之方法,其中該能量來源包含紫外光。
  7. 如申請專利範圍第2項之方法,其中該能量來源另外包含至少一選自熱源、α-粒子、β-粒子、γ-射線、x-射線、高能電子、電子束、可見光、紅外光、微波、射頻波長及其組合者。
  8. 如申請專利範圍第1項之方法,其中該氧化劑包含至少一選自氧、臭氧、經臭氧化的水、硫酸過氧化物混合物(SPM)、氧原子、O2 或O3 的自由基、O2 或O3 的帶電物種及其組合者。
  9. 如申請專利範圍第8項之方法,其中該氧化劑包含臭氧。
  10. 如申請專利範圍第8項之方法,其中該氧化劑包含經臭氧化的水。
  11. 如申請專利範圍第8項之方法,其中該氧化劑包含SPM。
  12. 如申請專利範圍第1項之方法,其中該至少一含矽前驅物係選自二乙氧基甲基矽烷、四乙氧基矽烷、二甲基二乙氧基矽烷、二甲基二甲氧基矽烷、二甲基乙氧基矽烷、三乙氧基矽烷、三甲基苯氧基矽烷、苯氧基矽烷、六甲基二矽 氧烷、1,1,2,2-四甲基二矽氧烷、八甲基三矽氧烷、甲基三乙氧基矽烷、甲基三乙醯氧基矽烷、四乙醯氧基矽烷、二甲基矽雜環丁烷、八甲基環四矽氧烷、1,3,5,7-四甲基環四矽氧烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、亞甲基橋聯的烷氧基矽烷類及其組合。
  13. 如申請專利範圍第1項之方法,其中該至少一生孔劑前驅物係選自α-萜品烯、薴烯、環己烷、環辛烷、二環己二烯、γ-萜品烯、茨烯、二甲基己二烯、乙基苯、原冰片二烯、氧化環戊烯、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、茨烯、金剛烷、1,3-丁二烯、經取代的二烯類、十氫萘、甲苯及其組合。
  14. 如申請專利範圍第1項之方法,其中該至少一生孔劑前驅物包含具有1至13個碳原子的氣態烴。
  15. 一種用於在一基材上形成多孔性有機矽酸鹽膜之方法,其包含:提供一基材;於該基材上經由氣相沉積法由包含至少一含矽前驅物及至少一含生孔劑前驅物的組成物形成一複合有機矽酸鹽膜,其中該複合有機矽酸鹽膜包含含碳物種;以一包含一氧化劑的化學藥品處理該複合有機矽酸鹽膜 以移除至少一部分存在於該複合有機矽酸鹽膜內的含碳物種;及使該複合有機矽酸鹽膜曝露於一包含紫外光及任意熱能的能量來源以移除至少一部分存在於該複合有機矽酸鹽膜內的生孔劑及提供該多孔性有機矽酸鹽膜,其中經過該處理後的膜具有一折射率及消光係數其係低於在該處理之前的折射率及消光係數。
  16. 如申請專利範圍第15項之方法,其中該氧化劑包含至少一選自氧、臭氧、經臭氧化的水、SPM、氧原子、O2 或O3 的自由基、O2 或O3 的帶電物種及其組合者。
  17. 如申請專利範圍第15項之方法,其中該處理步驟係於該曝露步驟的至少一部分期間進行。
  18. 如申請專利範圍第15項之方法,其中該曝露步驟係於該處理步驟之前進行。
  19. 如申請專利範圍第15項之方法,其中該處理步驟係於該曝露步驟之前進行。
  20. 一種用於在一基材上形成多孔性有機矽酸鹽膜之方法,其包含:提供一基材; 於該基材上提供一複合有機矽酸鹽膜,其中該複合有機矽酸鹽膜包含生孔劑、含碳物種、第一折射率及第一消光係數;及以一包含一氧化劑的化學藥品處理該複合有機矽酸鹽膜,以移除至少一部分該複合有機矽酸鹽膜內的含碳物種;及使該複合有機矽酸鹽膜曝露於一包含紫外光的能量來源以移除至少一部分存在於該複合有機矽酸鹽膜內的生孔劑及提供包含第二折射率及第二消光係數的多孔性有機矽酸鹽膜,其中該第二折射率及第二消光係數係與該第一折射率及第一消光係數相同或較小。
  21. 一種多孔性有機矽酸鹽膜,其包含:介於1.2至2.5的介電常數及於240nm下藉由橢圓偏光計測得介於0至0.03之該膜的消光係數。
  22. 如申請專利範圍第21項之多孔性有機矽酸鹽膜,其包含多個細孔,其中該等細孔的平均大小為100奈米或更小。
  23. 如申請專利範圍第21項之多孔性有機矽酸鹽膜,其中於240nm下測得的該膜的消光係數介於0至0.025。
  24. 如申請專利範圍第1、15及20項中任一項所述之方法,其中該基材係選自積體電路、平板顯示器及軟性顯示器。
  25. 如申請專利範圍第1、15及20項中任一項所述之方法,其中該基材至少一種選自結晶矽、多晶矽、非晶矽、磊晶矽、二氧化矽(“SiO2 ”)、矽玻璃、氮化矽、熔煉氧化矽、玻璃、石英、硼矽酸鹽玻璃及其組合者。
TW102121246A 2008-12-11 2009-12-09 從有機矽酸鹽材料移除碳的方法 TWI506164B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12166608P 2008-12-11 2008-12-11
US12/575,772 US20100151206A1 (en) 2008-12-11 2009-10-08 Method for Removal of Carbon From An Organosilicate Material

Publications (2)

Publication Number Publication Date
TW201341587A TW201341587A (zh) 2013-10-16
TWI506164B true TWI506164B (zh) 2015-11-01

Family

ID=42078840

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098141994A TWI408251B (zh) 2008-12-11 2009-12-09 從有機矽酸鹽材料移除碳的方法
TW102121246A TWI506164B (zh) 2008-12-11 2009-12-09 從有機矽酸鹽材料移除碳的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098141994A TWI408251B (zh) 2008-12-11 2009-12-09 從有機矽酸鹽材料移除碳的方法

Country Status (5)

Country Link
US (2) US20100151206A1 (zh)
EP (4) EP2657365B1 (zh)
JP (3) JP2010141335A (zh)
KR (3) KR101179167B1 (zh)
TW (2) TWI408251B (zh)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012015379A (ja) * 2010-07-01 2012-01-19 Univ Of Miyazaki シリコン酸化膜からのoh基除去法
BR112013010055A2 (pt) 2010-10-25 2018-05-08 Director General Defence Res & Development Organisation oligossicatos de etila com catalisadores polímeros heterogêneos de ácido forte
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10189712B2 (en) * 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10775304B2 (en) 2014-05-23 2020-09-15 UNIVERSITé LAVAL Fluorescent nanosensors and uses thereof
US9443723B2 (en) * 2014-07-08 2016-09-13 GlobalFoundries, Inc. Integrated circuits with an insultating layer and methods for producing such integrated circuits
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070095366A1 (en) * 2005-11-02 2007-05-03 Applied Materials, Inc. Stripping and cleaning of organic-containing materials from electronic device substrate surfaces
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
UST870012I4 (en) * 1968-10-28 1970-01-13 Defensive publication
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
US5037506A (en) * 1990-09-06 1991-08-06 Subhash Gupta Method of stripping layers of organic materials
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5419779A (en) 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5597420A (en) 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5997658A (en) 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
JP2000273176A (ja) * 1999-03-26 2000-10-03 Fujitsu Ltd 絶縁膜形成方法及び半導体装置
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
JP2001196348A (ja) * 2000-01-12 2001-07-19 Seiko Epson Corp 有機物の分解方法、および半導体素子の製造方法
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
JP3786569B2 (ja) * 2000-08-14 2006-06-14 松下電器産業株式会社 半導体装置の製造方法
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
JP2005510436A (ja) * 2001-11-21 2005-04-21 ユニバーシティー オブ マサチューセッツ メソポーラス材料および方法
US6943142B2 (en) 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6943141B2 (en) 2002-05-13 2005-09-13 O'brien Robert Neville Process for making a liquid evaporation retardant solution
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP2004335847A (ja) * 2003-05-09 2004-11-25 Mitsubishi Electric Corp 半導体集積回路ウエハの製造方法
US6951710B2 (en) 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050196974A1 (en) 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US20060003910A1 (en) 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20070095366A1 (en) * 2005-11-02 2007-05-03 Applied Materials, Inc. Stripping and cleaning of organic-containing materials from electronic device substrate surfaces
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere

Also Published As

Publication number Publication date
KR20120101309A (ko) 2012-09-13
KR101179167B1 (ko) 2012-09-03
KR101603265B1 (ko) 2016-03-14
JP5775116B2 (ja) 2015-09-09
EP3121310A1 (en) 2017-01-25
TWI408251B (zh) 2013-09-11
US20100151206A1 (en) 2010-06-17
EP2657365A2 (en) 2013-10-30
KR20130100252A (ko) 2013-09-10
TW201341587A (zh) 2013-10-16
EP3211121A2 (en) 2017-08-30
EP3121310B1 (en) 2018-02-28
JP2010141335A (ja) 2010-06-24
JP6017935B2 (ja) 2016-11-02
KR101553308B1 (ko) 2015-09-16
JP2013062530A (ja) 2013-04-04
EP2199428A3 (en) 2011-08-17
EP2199428B1 (en) 2018-01-24
TW201022472A (en) 2010-06-16
JP2013211592A (ja) 2013-10-10
EP3211121A3 (en) 2017-11-15
US20130295334A1 (en) 2013-11-07
EP2657365B1 (en) 2017-01-18
EP2199428A2 (en) 2010-06-23
KR20100067640A (ko) 2010-06-21
EP2657365A3 (en) 2014-01-08

Similar Documents

Publication Publication Date Title
TWI506164B (zh) 從有機矽酸鹽材料移除碳的方法
US7332445B2 (en) Porous low dielectric constant compositions and methods for making and using same
TWI597380B (zh) 烷基-烷氧基矽環化合物及使用其的膜沉積方法
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
TWI397606B (zh) 生孔劑、生孔性前驅物及使用其提供具有低介電常數之多孔性有機矽石玻璃膜的方法
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
EP1561841A2 (en) Cleaning CVD Chambers following deposition of porogen-containing materials
WO2009158180A2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
TWI729417B (zh) 矽化合物及使用其沉積膜的方法
JP2006210774A (ja) 低誘電率膜のダメージ回復法
JP2022544951A (ja) ケイ素化合物、及びそのケイ素化合物を使用する膜を堆積するための方法
TWI690614B (zh) 矽環化合物及使用其沉積含矽膜的方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
TW201934562A (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑
JP2022509213A (ja) 1-メチル-1-イソ-プロポキシ-シラシクロアルカン及びそれから製造される緻密有機シリカ膜

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees