JP2011205118A - 単一のプロセスウィンドウモデルを生成するためのシステム - Google Patents

単一のプロセスウィンドウモデルを生成するためのシステム Download PDF

Info

Publication number
JP2011205118A
JP2011205118A JP2011119390A JP2011119390A JP2011205118A JP 2011205118 A JP2011205118 A JP 2011205118A JP 2011119390 A JP2011119390 A JP 2011119390A JP 2011119390 A JP2011119390 A JP 2011119390A JP 2011205118 A JP2011205118 A JP 2011205118A
Authority
JP
Japan
Prior art keywords
model
exposure
conditions
focus
parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011119390A
Other languages
English (en)
Other versions
JP5960953B2 (ja
Inventor
Jun Ye
イェ,ジュン
Yu Cao
カオ,ユ
Chen Luoqi
チェン,ルオキ
Hua-Yu Liu
リウ,ファ−ユ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2011205118A publication Critical patent/JP2011205118A/ja
Application granted granted Critical
Publication of JP5960953B2 publication Critical patent/JP5960953B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】リソグラフィプロセスのフォーカス露光モデルを作成するためのシステムおよび方法を提供する。
【解決手段】システムおよび方法は、特に露光デフォーカスプロセスウィンドウ空間内で、パラメータ変動の複数の次元に沿ってキャリブレーションデータを使用する。システムおよび方法は、公称プロセス条件でのシミュレーションのよりよい精度および堅固性、ならびに、異なる設定での再キャリブレーションの必要なく、完全なプロセスウィンドウ領域全体に連続的にわたるいずれかの点でリソグラフィの性能を予測する能力を結果としてもたらす、統合された1組のモデルパラメータ値を提供する。従来技法の複数モデルキャリブレーションよりも少ない必要とされる測定値数で、フォーカス露光モデルは、プロセスウィンドウ内のいかなる位置でも使用することができる、より予測的でありより堅固なモデルパラメータ値を提供する。
【選択図】図2A

Description

関連出願の相互参照
[0001] 本出願は、"Methodology of Unified, Through-Process Window Lithography Mo
deling"という題名の米国特許仮出願第60/706,144号の利益を主張する。この
関連出願の内容が、参照によってその全体について本明細書に組み込まれる。
[0002] 本発明は一般に、光リソグラフィに関し、より具体的には、リソグラフィプロセ
スのフォーカス露光モデルを作成することに関する。
[0003] 集積回路産業は、その始まりから、より低いコストで高いデバイス機能性を促進
させることによって、顕著な成長速度を維持してきた。最先端のデバイスは今日、わずか
なコストで全体の空間を占有するために使用されるコンピュータの計算能力を提供してい
る。今日の低コストの消費者デバイスの多くは、携帯テレビ電話、ウルトラポータブルメ
ディアプレーヤー、および無線または超広帯域インターネットデバイスなどの、ほんの2
、3年前にはどんなことをしても使用不可能であった機能を備える。この成長を可能にす
る主な要素の1つは、集積回路パターンの一部としてパターニングされることができる最
も小さいフィーチャサイズを着実に減少させる光リソグラフィプロセスの能力であった。
1回路当たりより多くのフィーチャをプリントすると同時に一方、フィーチャサイズおよ
びコストのこのような着実な減少は、通常、「ムーアの法則」("Moore's Law")またはリ
ソグラフィ「ロードマップ」("roadmap")と一般に称される。
[0004] リソグラフィプロセスは、マスク、すなわちレチクル上にマスター画像を作成し
、次に、そのパターンをデバイスウェハ上に忠実に複製することを含む。設計仕様内でマ
スターパターンが成功して複製される回数が多いほど、完成したデバイスすなわち「チッ
プ」1枚あたりのコストが低くなる。近年まで、マスクパターンは、マスクレベルパター
ンがウェハレベルパターンよりも数倍大きいことを除いて、ウェハレベルでの所望のパタ
ーンの厳密な複製であった。このスケールファクタが次に、露光ツールの縮小比によって
ウェハ露光中に修正される。マスクパターンは通常、光吸収性の材料をクォーツまたはそ
の他の透過性の基板上に被着させてパターニングすることによって形成される。マスクは
次に、特定の露光波長の光がマスクを通ってデバイスウェハ上に方向付けられる所の「ス
テッパ」または「スキャナ」として公知の露光ツール内に配置される。光が、マスクの透
明な領域を通って伝達され、吸収層によって覆われた領域内で通常90%から100%の
間の、所望の量だけ減衰される。マスクのいくつかの領域を通る光はまた、通常180度
の整数分の1の所望の位相角だけ位相シフトされてもよい。露光ツールによって収集され
た後、結果として得られる空間像パターンが次に、デバイスウェハ上にフォーカスされる
。ウェハ表面上に被着された感光材料が、ウェハ上に所望のパターンを形成するために光
と相互作用し、次にパターンが、周知のプロセスに従って機能的な電子回路を形成するた
めに、ウェハの下部層内へ転写される。
[0005] 近年では、パターニングされるフィーチャサイズは、パターンを転写するために
使用される光の波長よりもかなり小さくなっている。この「サブ波長リソグラフィ」("su
b-wavelength lithography")に向かう傾向の結果、リソグラフィプロセスで十分なプロセ
スマージンを維持することが困難になっている。マスクおよび露光ツールによって作成さ
れる空間像は、波長に対するフィーチャサイズの比が減少するにつれて、コントラストお
よびシャープネスを失う。この比は、露光ツールの開口数に最小フィーチャサイズをかけ
て波長で割ったものとして定義されるk1係数によって、定量化される。シャープネスの
不足すなわち画像のにじみが、レジスト内の画像形成のための閾値での空間像の勾配、「
エッジスロープ」("edge slopw")、すなわち「NILS」としてしばしば省略される「正
規化画像ログスロープ」("normalized image log slope")として公知である距離関数によ
って定量化されることができる。NILS値が小さいほど、経済的に実行可能な数の機能
的なデバイスにするように十分な制御によって多数のデバイスパターン上に画像を忠実に
複写することがより困難になる。成功する「ローk1リソグラフィ」("low-kq lithograp
hy")プロセスの目標は、k1値の減少にかかわらず、可能な限り最高のNILSを維持し
、それによって結果としてのプロセスの製造能力を可能にすることである。
[0006] ローk1リソグラフィのNILSを増加させるための新しい方法は、最終的なウ
ェハレベルパターンの厳密なコピーではないマスク上のマスターパターンを結果として生
じさせる。マスクパターンはしばしば、パターン密度すなわちピッチの関数としてパター
ンのサイズに関して調節される。他の技法は、マスクパターン上への余分なコーナー部の
追加または減少(「セリフ」("serifs")、「ハンマーヘッド」("hammerheads")、および
その他のパターン)、およびウェハ上に複製されない幾何形状の追加でさえも含む。意図
されたフィーチャのプリント性を強化するために、これらのプリントされていない「補助
フィーチャ」("assist features")は、散乱バー、穴、リング、チェッカーボード、また
は背景光強度(「グレースケーリング」("gray scaling"))を変化させるための「ゼプラ
ストライプ」("zebra stripes")、およびその他の構造を含むことができる。これらは、
文献によく文書化されている。これらの方法のすべてがしばしば、「光近接補正」("Opti
cal Proximity Correction")、すなわち「OPC」と集約的に称される。
[0007] マスクはまた、ウェハ上で複製されることができる、またはできない位相シフテ
ィング領域の追加によって変更されてもよい。代替となるアパーチャシフタ、二重露光マ
スキングプロセス、マルチフェイズ遷移、および減衰位相シフティングマスクを含む、様
々な位相シフティング技法が文献内で詳細に説明されている。これらの方法によって形成
されたマスクは、「位相シフティングマスク」("Phase Shifting Masks")、すなわち「P
SM」として公知である。OPC、PSM、およびその他のものを含むローk1でのNI
LSを増加させるためのこれらの技法のすべては、「解像度強化技術」("Resolution Enh
ancement Technologies")、すなわち「RET」と集約的に称される。様々な組合せでマ
スクにしばしば適用されるこれらのRETのすべての結果は、ウェハレベルで形成される
最終的なパターンは、もはやマスクレベルのパターンの単純な複製ではないことである。
実際、マスクパターンを見て、最終的なウェハパターンが何に似ているように考えられて
いるかを簡単に決定することは、不可能になっている。このことは、マスクが作成されて
ウェハが露光される前に、設計データが正しいことを確認することの、ならびにRETが
正確に付着されたことおよびマスクがその目標とする仕様に適合していることを確認する
ことの困難を大いに増加させる。
[0008] 高度なマスクセットを製造するコストは、着実に増加している。現在、コストは
すでに、高度なデバイス用のマスク1セット当たり百万ドルを超えている。また、ターン
アラウンドタイムは常に重要な関心事である。結果として、コストおよびターンアラウン
ドタイムの両方を減少させることを助けるリソグラフィプロセスのコンピュータシミュレ
ーションが、半導体製造の一体部分になっている。リソグラフィシミュレーションの必要
性に対処するいくつかのコンピュータソフトウェア技法がある。たとえば、物理的なおよ
び化学的プロセスの詳細なシミュレーションを行う、第1原理モデリングベースのシミュ
レーションソフトウェア(first-principle-modeling-based simulation software)がある
。しかし、このようなソフトウェアはしばしば、実行が極めて遅く、したがって極めて小
さい面積のチップデザイン(ほぼ2、3平方ミクロン)に制限される。この分類でのソフ
トウェアツールは、Sigma−C(米国カリフォルニア州サンタクララ)による「SO
LID−C」および、KLA−Tencor(米国カリフォルニア州サンノゼ)による「
Prolith」を含む。より高速にシミュレーション結果を実行および提供するコンピ
ュータソフトウェアがあるが、このようなソフトウェアは、実験データに対してキャリブ
レーションされた経験的なモデルを使用している。(たとえば、米国オレゴン州ウィスル
ソンバレー、Mentor−Graphicsによる「Calibre」)。経験的なモ
デルを使用する「高速な」シミュレーションのためでさえも、フルチップレベルでのシミ
ュレーションはしばしば、数十時間から数日を必要とする。新しい、高速、かつ正確なア
プローチが、"System and Method for Lithography Simulation"という題名の米国特許第
7、003、758号に記載され、その内容が、参照によってその全体について本明細書
に組み込まれ、かつ「リソグラフィシミュレーションシステム」と称される。
[0009] 図1Aに概略的に示されているように、リソグラフィシミュレーションは通常、
いくつかの機能ステップから成り、設計/シミュレーションプロセスは、直線状のフロー
100に似ている。ステップ110で、拡散層、金属トレース、接点およびフィールド効
果トランジスタのゲートなどの半導体デバイスの機能的な要素に対応するパターンの形状
およびサイズを記述するデザインレイアウトが、作成される。これらのパターンは、最終
的なデバイスのある電気的な機能性および仕様を達成するために、リソグラフィプロセス
によって基板上に再生される必要がある物理的な形状およびサイズの「設計意図」("desi
gn intent")を表す。
[0010] 上記で説明されたように、このデザインレイアウトに対する多数の修正が、所望
の構造をプリントするために使用されるマスクまたはレチクル上のパターンを作成するた
めに必要とされる。ステップ120で、様々なRET方法が、実際にプリントされたパタ
ーン内の設計意図を近似するために、デザインレイアウトに適用される。結果として得ら
れる「ポストRET」("post-RET")マスクレイアウトは、ステップ110で作成された「
プレRET」("pre-RET")デザインレイアウトとはかなり異なる。プレおよびポストRE
Tレイアウトの両方が、たとえばGDSまたはOASISフォーマットで、多角形ベース
の階層データファイルでシミュレーションシステムに提供されてもよい。
[0011] 実際のマスクは、基本的な物理的制限ならびにマスク製造プロセスの不完全性の
ために幾何学的な、理想化された多角形ベースのマスクレイアウトとさらに異なる。これ
らの制限および不完全性は、たとえば、マスクライティングツールの有限の空間解像度に
よるコーナー部の丸み、考えられる線幅の偏移またはずれ、およびウェハ基板上への投影
で経験される効果と同様の近接効果を含む。ステップ130で、マスクの真の物理的な特
性が、様々な複雑性の程度までマスクモデル内で近似される。減衰、位相シフト設計など
のマスクタイプ特有の特性が、マスクモデルによって捕捉される。米国特許第7、003
、758号に記載されているリソグラフィシミュレーションシステムは、たとえば、実際
のマスク特性を記載するために画像/ピクセルベースのグレースケール表現を使用しても
よい。
[0012] リソグラフィシミュレーションの中心部分は、露光ツール内の投影および画像形
成プロセスをシミュレートする光学モデルである。ステップ140で、光学モデルが生成
される。光学モデルは、開口数および部分コヒーレンス設定、照射波長、照射源形状、お
よび光学収差またはフレアなどのシステムの考えられる不完全性、などの照射および投影
システムの基準パラメータを組み込む必要がある。投影システムおよび様々な光学効果、
たとえば、高NA回折、スカラーまたはベクトル、偏光、薄膜多反射が、相互透過係数(
transmission cross coefficient TCC)によってモデル化されてもよい。TCCは、
固有数列展開を使用して重畳カーネルに分解されてもよい。速度を計算するために、数列
は、固有値のランク付けに基づいて通常切り捨てられ、有限の組のカーネルの結果となる
。より多くのカーネルが保持されるほど、切り捨てによって導入される誤差が少なくなる
。米国特許第7、003、758号に記載されているリソグラフィシミュレーションシス
テムは、数値計算時間に対する負の影響なしに、極めて多数の重畳カーネルを使用した光
学シミュレーションを可能にし、したがってきわめて正確な光学モデルを可能にする。"O
ptimized Harware and Software for Fast, Full Chip Simulation", Y. Cao他、Proc. S
PIE Vol.5754, 407 (2005)参照。ここではステップ130で生成されたマスクモデルおよ
びステップ140で生成された光学モデルが、別個のモデルであるとみなされているが、
マスクモデルが、一体化された光学モデルの一部と概念上みなされてもよい。
[0013] さらに、基板上に形成される形状およびサイズを予測するために、ステップ16
0で、レジストモデルが、感光性のレジスト層と交差する投影光の効果、および次のポス
ト露光ベーク(post-exposure bake PEB)および現像プロセスをシミュレートするた
めに使用される。レジスト内の三次元配光、ならびにその層内の分子の拡散および反応な
どの微細な、物理的、または化学的効果を評価することによって、三次元のレジスト構造
を予測しようと試みる第1の原理シミュレーションアプローチが区別される。他方では、
フルチップシミュレーションを許すすべての「高速」シミュレーションアプローチが、現
在シミュレータの光学モデル部分によって提供される二次元の空間像を入力として採用す
る、より経験的なレジストモデルをそれ自体制限する。空間像150によって結合される
光学モデルとレジストモデルの間のこの分離が、図1Aに概略的に示されている。簡略化
のために、ここでは、レジストモデルが、たとえば、エッチング、イオン注入、または類
似のステップなどのさらなるプロセスのモデリングによって追従されるという事実は、省
略される。
[0014] 最後に、ステップ170で、シミュレーションプロセスの出力が、予測されるク
リティカルディメンション(CD)および輪郭などのウェハ上にプリントされたフィーチ
ャの予測される形状およびサイズに関する情報を提供する。このような予測は、リソグラ
フィプリントプロセスの量的な評価、およびプロセスが意図された結果を作成するかどう
かについての評価を可能にする。
[0015] 今述べられた予測能力を提供するために、優先的な必要性を知られていないいく
つかのフィッティングパラメータが、キャリブレーションプロセス内で見出されるまたは
調節される。リソグラフィモデルをキャリブレーションする様々な方法が、文献に記載さ
れている。一般に、これらのキャリブレーション方法は、シミュレーションされたテスト
パターンと、実際のウェハ上にプリントされ、かつたとえばCD−SEMまたはスキャト
ロメータツールなどの測定ツールによって測定された対応するテストパターンとの間の最
も良好な全体的な合致を求めている。
[0016] キャリブレーションの精度およびロバスト性が、プリントされたパターン、エッ
ジ配置および線端部配置のCDを予測するために必要とされる。キャリブレーションされ
たモデルは一般に、十分な精度で、一次元ならびに二次元の光学およびプロセス関連の近
接効果を予測することが、期待される。経験的なモデルの予測可能性が、キャリブレーシ
ョン手順で使用される、テスト構造またはゲージ構造の形状およびサイズの変更によって
カバーされるパターン幾何形状空間に対して最も制限されることは、公知である。現在の
実施例および傾向は、実用的に可能な限り広くかつ密な幾何形状空間をカバーするために
、より多くのテスト構造の変動を含むことである。通常、数千の測定点が、モデルキャリ
ブレーションのために使用される。しかし、現在のモデルキャリブレーションは、公称ま
たは「最良の」("best")光学的設定で行われており、したがって二次元の幾何形状空間を
カバーすることしかしない。光学パラメータまたはリソグラフィプロセスパラメータなど
の任意の非幾何形状パラメータを使用するとき、使用のためにこれらのモデルが変更され
ると推定することは、困難である。
[0017] 他方では、リソグラフィのプロセスが、それらのプロセスウィンドウによって、
または、より正確には、すべての当該構造の共通のプロセスウィンドウによって評価され
る必要があることが公知である。プロセスウィンドウ(PW)のサイズは通常、その上で
はCDまたはエッジ配置の変動が許容可能な範囲内にある、露光−デフォーカス(exposu
re-defocus E−D)空間内の面積によって測定される。"The Exposure-Defocus Forest"
, B.J.Lin, Jpn.J.Appl.Phys. 33, 6756 (1994)参照。プロセスウィンドウ解析は、実際
の製造プロセスが、露光ドーズおよびリソグラフィ投影システムのフォーカス設定などの
実際のパラメータ値の回避されない変更を受けることを考慮している。デバイス設計上の
すべての構造の、共通プロセスウィンドウが、プロセスマージン、すなわち、プロセスパ
ラメータ変動に対する耐性を定義する。
[0018] レジストモデルのOPCモデルのプロセスウィンドウ全体での挙動を、レジスト
モデルを「最良の」設定でキャリブレーションすること、およびドーズおよびデフォーカ
スの変動に向かって外挿入することによって、予測するためのいくつかの最近の試みは、
別個分かれた個別のモデルキャリブレーションが異なるデフォーカス設定で行われなけれ
ば、さほど成功しなかった。"High accuracy 65nm OPC verification:full process wind
ow model vs.critical failure ORC", A.Borjon他, Proc. SPIE Vol.5754, 1190 (2005)
参照。図1Bは、プロセスウィンドウ空間をカバーする複数の位置を示している。ここで
、別個のモデルキャリブレーションは、各位置で行われた。他の業績では、モデルをいく
つかのフォーカス露光マトリクスデータセットへ、しかし一次元線幅データのみについて
キャリブレーションするという試みが行われた。"Do we need complex resist models fo
r predictive simulation of lithographic process performance?", B.Tollkuhn他, Pro
c. SPIE Vol.5376, 983 (2004)参照。
[0019] また、「集合された」("lumped")パラメータモデルが存在し、レジスト現像効果
に対するシステムの応答が、光学モデルパラメータを人工的に変更することによって近似
される。このようなモデル、1つの単一プロセスウィンドウ条件での1組のテストパター
ンに対してよくキャリブレーションされることができる。例示のための別の例として、投
影システムの球面収差が、フォーカスシフトに依存するパターンピッチを生じさせること
が公知である。したがって、単フォーカス設定で測定される場合、ピッチを通る「OPC
」曲線(CD対ピッチをプロットする)は、球面収差の光学効果によるある変調を体験す
る。十分多くの調節可能なパラメータを有する十分に複雑なレジストモデルはまた、OP
C曲線を再生し、かつ実際に、構成のために使用されるのとまさに同じフォーカス設定で
ピッチを通ってプリントされるCDを予測することができる。しかし、モデルが、キャリ
ブレーションによってカバーされたパラメータ空間のすぐ外側のどこかに外挿することが
できることは、厳しく制限されることになる。
[0020] リソグラフィモデリングの精度および堅固性の増加に対する一定の必要性がある
。明らかに、シミュレーションによって高度な半導体設計の製造可能性を確認するために
、幾何形状の変更だけでなくPW関連のプロセス変更を超えて、多次元パラメータ空間内
での予測可能なモデリングを可能にする、モデルキャリブレーション方法論に対する必要
性もある。
米国特許第7、003、758号明細書
"Optimized Harware and Software for Fast, Full Chip Simulation", Y. Cao他、Proc. SPIE Vol.5754, 407 (2005) "The Exposure-Defocus Forest", B.J.Lin, Jpn.J.Appl.Phys. 33, 6756 (1994) "High accuracy 65nm OPC verification:full process window model vs.critical failure ORC", A.Borjon他, Proc. SPIE Vol.5754, 1190 (2005) "Do we need complex resist models for predictive simulation of lithographic process performance?", B.Tollkuhn他, Proc. SPIE Vol.5376, 983 (2004)
[0021] フォーカス露光モデルを作成するためのシステムおよび方法が、リソグラフィシ
ミュレーションモデルのキャリブレーションのために導入される。システムおよび方法は
、特に露光−デフォーカスプロセスウィンドウ空間内の、パラメータ変動の複数の次元に
沿ったキャリブレーションデータを使用する。システムおよび方法は、公称プロセス条件
でのシミュレーションのよりよい精度および堅固性、ならびに、再キャリブレーションの
必要なく、完全なプロセスウィンドウ領域全体を通じたいずれかの点で、リソグラフィの
性能を予測するために可能性を結果としてもたらす、モデルパラメータ値の統合された組
を提供する。
[0022] 一実施形態では、リソグラフィプロセスのフォーカス露光モデルを作成するため
の方法は、リソグラフィプロセスのモデルを選択するステップであって、モデルが光学モ
デルモジュールを含み、モデルがフォーカスと、露光と、可変値を有する1組のフィッテ
ィングパラメータとを含む1組のモデルパラメータを有するステップと、フォーカス露光
空間内でリソグラフィプロセスのためのプロセスウィンドウを定義するステップと、モデ
ルに対する1組の初期フィッティングパラメータ値を選択するステップと、プロセスウィ
ンドウ内の複数のサンプリング位置を選択するステップであって、複数のサンプリング位
置が、公称条件を含み、かつプロセスウィンドウ内の考えられるすべてのプロセス条件の
部分集合である、ステップと、初期フィッティングパラメータ値を一体に保ちながら、プ
ロセスウィンドウ内の複数のサンプリング位置に対応するフォーカスおよび露光の変更値
を用いてリソグラフィプロセスをシミュレーションすることによって、1組の初期フィッ
ティングパラメータ値を有するモデルを使用して、プロセスウィンドウ内の複数のサンプ
リング位置のそれぞれでのリソグラフィプロセスのシミュレーション結果を生成するステ
ップと、プロセスウィンドウ内の複数のサンプリング位置のそれぞれでシミュレーション
結果を実結果と比較して、複数のサンプリング位置のすべてでシミュレーション結果とリ
ソグラフィプロセスの実結果との間の合計差分測定値を作成するステップと、プロセスウ
ィンドウ内の複数のサンプリング位置のそれぞれで前記1組のフィッティングパラメータ
値を修正し、追加のシミュレーション結果を生成して、実結果と最適フィッティングパラ
メータ値を使用して作成されたシミュレーション結果との間の合計差分測定値が最小化さ
れる、または所定の閾値未満となるような最適フィッティングパラメータ値を識別するス
テップと、フォーカス露光モデルを最適フィッティングパラメータ値を含むモデルとして
定義するステップであって、フォーカス露光モデルが、全プロセスウィンドウ内のいずれ
かの位置でリソグラフィプロセスをシミュレートすることが可能である、ステップとを含
む。
[0023] 一実施形態では、フォトリソグラフィプロセスの能力を予測するための単一のプ
ロセスウィンドウモデルを生成するためのシステムは、情報を記憶するための記憶領域と
、入力デバイスと、出力デバイスと、記憶領域内に記憶された物理的なモデル情報と、モ
デルキャリブレーションモジュールとを備える。記憶領域は、選択された物理的なモデル
情報がモデルキャリブレーションモジュールによってアクセスされることができるように
、モデルキャリブレーションモジュールと連絡する。入力デバイスは、プロセスウィンド
ウを定義するプロセスウィンドウ定義情報が、モデルキャリブレーションモジュールによ
って使用可能であるように、および定義されたプロセスウィンドウ内の異なるテスト条件
の下でウェハの測定値から得られた個別の測定値情報が、モデルキャリブレーションモジ
ュールによってアクセスされることができるように、モデルキャリブレーションモジュー
ルと連絡する。また、モデルキャリブレーションモジュールは、プロセスウィンドウ定義
情報および個別の測定値情報を使用することによって単一のプロセスウィンドウモデルを
生成し、選択された物理的なモデル情報をキャリブレーションして、定義されたプロセス
ウィンドウにわたるフォトリソグラフィシステムの性能が2つの連続的に調節可能な光学
パラメータで記載されることができるように、構成されている。単一のプロセスウィンド
ウモデルを生成するステップは、個別の測定値情報をシミュレーションされた測定値と比
較することを含み、シミュレーションされた測定値が、物理的なモデル情報内の他のすべ
てのパラメータを一定に保ちながら、2つの連続的に調節可能な光学パラメータを変更す
ることによって、選択された物理的なモデル情報を使用して、リソグラフィプロセスをシ
ミュレーションすることによって作成される。
[0036] プロセスウィンドウの中心での公称条件だけでなく、中心からある距離にあるプ
ロセスウィンドウ内の様々な位置でのデータ点を組み込むことに頼っている、モデル作成
およびモデルキャリブレーションに基づくシステムおよび方法が、開示される。最小値で
は、キャリブレーションデータが、少なくとも1つのプロセスパラメータ(たとえば、デ
フォーカスパラメータ)を変更しながら収集され、かつ変更されたプロセスパラメータの
様々な値によるすべての収集されたキャリブレーションデータが、モデルのフィッティン
グパラメータのキャリブレーションのために使用される。リソグラフィプロセスのモデル
は、光学モデルモジュールを備え、かつレジストモデルモジュール、マスクモデルモジュ
ール、およびその他の適切なモデルモジュールをオプションで備えてもよい。リソグラフ
ィプロセスのモデルのモデルモジュールは、簡略化のために、モデル、たとえば光学モデ
ルおよびレジストモデルと本明細書で称される。
[0037] 好ましい実施例では、プロセスウィンドウ空間を形成するために、露光ドーズお
よびデフォーカスのパラメータを変更しながら、キャリブレーションデータが収集される
。方法は、プロセスウィンドウ内のいずれかの位置でのリソグラフィプリントプロセスを
予測することができる、1組のキャリブレーションされたフィッティングパラメータを見
つけるために、露光ドーズおよびデフォーカスのすべての値でのテスト構造のジョイント
フィッティングを提供する。キャリブレーション方法は、フォーカスおよび露光パラメー
タおよび1組のフィッティングパラメータを含むリソグラフィプロセスのモデルを使用し
て、プロセスウィンドウ内の1組のサンプリング位置のそれぞれでのリソグラフィプロセ
スの性能をシミュレートすることを含む。ここでは、光学モデルのフォーカスおよび露光
パラメータは、第1の原理に従って変更されるが、一方、フィッティングパラメータ(す
なわち、他のすべてのモデルパラメータ)は変更されない。キャリブレーションされた1
組のフィッティングパラメータ値を有するモデルを本明細書でフォーカス露光モデル(fo
cus-exposure model FEM)と称する。フォーカスは、露光ツールの光学パラメータの
設定であり、かつときどきデフォーカスとも称される。フォーカスおよびデフォーカスと
言う用語は、本明細書で互換的に使用される。
[0038] 全プロセスウィンドウ全体を通じたいくつかの位置でキャリブレーションされた
フォーカス露光モデルは、物理的な現実性をより正確に反映し、ある公称プロセス条件で
のみキャリブレーションされたモデルよりも、より予測可能、正確、かつ堅固である。リ
ソグラフィシミュレーションは、多次元モデルキャリブレーションから有利である。1)
プロセスウィンドウ内の良くフィーチャ付けられたサンプリング位置の間の補間に頼るこ
とによる、公称または最良な設定でのより良好なモデル精度、予測可能性、堅固性、2)
プロセスウィンドウ内のいずれかの補間された位置でのパターン挙動を予測する可能性、
および3)フォーカス露光モデルキャリブレーションが、プロセスウィンドウ内の異なる
個別の位置での複数の別個分かれたモデルのキャリブレーションよりも、少ない測定値の
全体数によって達成されることができる。
[0039] フォーカス露光モデルをキャリブレーションする方法のキーとなるフィーチャは
、光学モデルが実際に真の光学効果を正確に捕捉する一方、レジスト関連パラメータが、
光学設定によって、たとえばフォーカス設定を通じて変化しないという意味での、光学お
よびレジストモデルの良好な分離可能性である。プリントされたパターンのデフォーカス
挙動が、いくつかの物理的なレジスト効果、たとえば酸拡散によって、デフォーカスデー
タを含むジョイントキャリブレーションなしで、部分的に補償されるため、デフォーカス
レジストパラメータ空間内に複数の二乗平均平方根(RIMS)最小値があることになる
。これらの最小値のうちの1つのみが、物理的であり、正しい。プロセスウィンドウ全体
を通したジョイントキャリブレーションは、デフォーカスによる傾向挙動が、レジスト挙
動に直交するため、モデルを正しい最小値点に自動的に拘束する。したがって、偽の「パ
ラメータ集合化」効果("parameter lumping" effects)が回避され、かつ、結果としての
キャリブレーションされたモデルが、公称露光条件においてさえもより正確かつ堅固にな
る。言い換えれば、公称プロセス条件においてさえも、キャリブレーションされたフォー
カス露光モデルが、公称プロセス条件でのみキャリブレーションされたモデルよりも良好
なパターン挙動を予測することができる。
[0040] 「プロセスウィンドウ」が、二次元露光デフォーカス空間内で最も頻繁に定義さ
れるが、本明細書で説明される方法は、この定義に制限されない。この定義は、フォーカ
スおよびドーズの変化が、通常、リソグラフィプロセス性能に支配的な影響を有すること
を反映している。しかし、調節または変更されることができるより多くの、または異なる
パラメータ次元を使用することによって、プロセスウィンドウ概念を一般化することが可
能である。このような一般化は、これらの追加のパラメータ変更に対するプロセスマージ
ンを捕捉することを助け、また、モデルフィッティングにさらなる拘束を追加する。追加
の拘束は、キャリブレーションされたモデルをより物理的に、およびしたがってより正確
かつ予測可能にすることを助ける。たとえば、現代の露光ツールでは、たとえば、照射波
長または線幅、レンズ設定、およびそれによる、光学収差、および広範囲のイルミネータ
調節を含む、多くの光学設定が、ある程度まで調節可能である。同様に、レジスト層特性
に直接関連するパラメータが、変更または調節されることができる。これらのまたは類似
のパラメータのいずれかが、モデルの堅固性または精度の改善のためにモデルキャリブレ
ーションに含まれてもよい。キャリブレーションプロセスはまた、生産環境内で1組の公
称上同一な露光ツールからテストデータを使用してもよい。
[0041] 図2Aは、本発明の一実施形態による、リソグラフィプロセスのフォーカス露光
モデルを作成するための方法ステップ200のフローチャートである。ステップ212で
、テストマスク上に製造され、かつリソグラフィ露光ツールを使用してテストウェハ上に
プリントされることになる1組のテストパターンが、定義される。これらのテストパター
ンは、問題になっているリソグラフィプロセスのフィーチャである近接相互作用の全範囲
をカバーする必要がある。様々なピッチ(疎から密へ)を有する広範囲のライン/スペー
スパターン、および様々なギャップサイズを有するライン/スペース端部などの二次元の
パターンが含まれるべきである。ライン/スペースパターンは、一次元の空間的な周波数
空間にわたるが、一方線端部パターンは、ラインエンドプルバック、ピンチングなどの二
次元の効果をカバーする。「パターン曲率」によって二次元の空間を定義し、それに応じ
てテストパターンを作成すること、またはリソグラフィモデルが使用されるデザインで見
いだされる典型的な形状を表す、より複雑な二次元のテストパターンを使用することも可
能である。
[0042] 上記で議論されたように、光学およびレジスト効果を分離することが重要である
とき、他のものよりもある効果に対してより感受性の強いパターンタイプを含むことによ
ってキャリブレーションを強化することも可能である。考えられる例は特定の光学収差ま
たは迷光(フレア)などの光学効果に対して、これらの効果が、シミュレーションツール
の光学モデル内に組み込まれている場合、特に感受性の強いテストパターンである。たと
えば、コマ収差に対しての線の対、および三つ葉模様に対してのレンガ壁パターンなど、
あるパターンタイプが、光学効果に対して特定の感受性を示すことは周知である。このよ
うな光学収差またはフレアテストパターンは、おそらく対応するプロセス変更と相俟って
、モデルの分離およびキャリブレーション性能をさらに改善する。一般に特定のパターン
タイプが、特定のモデルパラメータと特に相関する。これらのパターンタイプは、たとえ
ば、感受性解析によって識別されることができ、モデル最適化中、対応する重みを与えら
れることができる。
[0043] モデルキャリブレーションにおいて光学収差を考慮する別の方法は、光学収差測
定用に特に設計されたツールを使用して別々に測定された光学収差を直接使用することで
ある。光学収差測定ツールのいくつかの例は、ASMLによるILIAS、およびLit
el Corpによるその他のツールなど、スキャナ供給メーカーによって提供される、
オンスキャナステージ自己測定ツールを含む。この場合、モデルキャリブレーションに光
学収差感受性のテストパターンを含む必要がない。光学収差パラメータは、モデルキャリ
ブレーション中にキャリブレーションされるように調節可能なパラメータではなく、光学
モデル内で既知のパラメータとして固定される。固定された光学パラメータの典型的な例
は、ソースマップ、すなわち、イルミネータの厳密なグレースケール形状および値であり
、これは、モデルキャリブレーション中に調節されない既知の存在として測定され、提供
されることがある。いかなる既知の光学パラメータ(たとえば、ソースマップ、光学収差
および瞳孔形状)に対しても、これらは、モデルキャリブレーション中の光学モデル内で
、固定された光学パラメータとして処理される。
[0044] 1組のテストパターンが定義された後、ステップ214で、プロセスウィンドウ
が定義され、プロセスウィンドウ内の位置が、キャリブレーション値として選択される。
プロセスウィンドウは、モデルキャリブレーションのために変更されることになるプロセ
ス条件、およびこれらの変更の範囲を選択することによって定義される。典型的な用途に
対して、期待されるプロセスウィンドウに適切に適合する、またはそれを超える露光デフ
ォーカス空間が、サンプリング位置によってカバーされることになる。このカバー範囲が
、露光−デフォーカス空間300内でのサンプリング位置の5つの領域312、314、
316、318、および320を示す図3Aに示されている。図3Bは、露光−デフォー
カス(E−D)空間320内での期待されるプロセスウィンドウ322および5つのサン
プリング位置324、326、328、330、および332の一実施形態を示している
。これらは、プロセスウィンドウ322の中央332ならびにそれらの境界の近くの4つ
の追加のサンプリング位置324、326、328、および330での公称または最良の
条件を示している。実際、5個よりも多いまたは少ないサンプリング位置が使用されても
よい。
[0045] 各サンプリング位置324〜332に対して、ステップ212で定義されたテス
トパターンの組が、フィッティングパラメータを生成するために使用される。サンプリン
グ位置のいくつかでパターンタイプの数を減らすことで良好なフィッティングを、得るこ
とができる。通常約数千であるテストパターンの完全な組が、プロセスウィンドウ322
の中央332で測定される一方、たとえば、全体の10〜20パーセントの、かなり少な
い数のパターンが、プロセスウィンドウ322の周縁でのサンプリング位置324〜33
0で使用される。結果として、フォーカス露光モデルキャリブレーションのために必要と
されるテストパターン測定値の総数は、他の既存のキャリブレーション方法によって現在
必要とされる、個々のサンプリング位置に対して別々に行われる複数のモデルキャリブレ
ーションよりもかなり少ない。
[0046] 前に述べられたように、図3Aおよび3Bに示されている露光デフォーカス空間
が通常、多次元キャリブレーションのための基礎として使用されるが、代替となるおよび
追加のパラメータ次元が、等価な方式で同様に使用されてもよい。
[0047] 図2Aに戻ると、ステップ216では、テストパターンおよびプロセス条件の定
義された組が、当該パラメータ空間が十分良くカバーされているかを確認するために解析
される。ステップ216の実施は、テストパターンの二次元周波数空間解析、公称の、最
善の、すなわちデフォルトのシミュレーションパラメータを使用した算定されたプロセス
ウィンドウの解析、またはその他の方法を含んでもよい。定義されたテストパターンおよ
びプロセス条件がパラメータ空間の十分なカバー範囲を提供しない場合、ステップ218
で、追加のテストパターンまたはプロセスウィンドウ内の位置が定義される。方法は次に
、ステップ216へ戻る。ステップ216の解析は、パターンおよびパラメータ選択の整
数部分とみなされているが、図2Aではこの問題の重要性をハイライトするために別個の
ステップとして示されている。追加のデータ点が、より完全な当該パラメータ空間のより
完全なカバー範囲を提供しなければ、より多くのテストパターンをキャリブレーションに
追加することが自動的には精度を改善しないことが、文献に示されている。
[0048] 定義されたテストパターンおよびプロセス条件がパラメータ空間の十分なカバー
範囲を提供する場合、方法が、ステップ220へと継続する。ステップ220では、半導
体製造における現在の最新技法である光学投影リソグラフィでの適用例に対して、キャリ
ブレーションされたフォーカス露光モデルによって説明されるリソグラフィプロセスで使
用されるものと同じマスク技法および製造方法を使用してテストマスクが製造される。テ
ストマスクは前に定義されたテストパターンの全組を含んでいる。これは、問題となって
いるリソグラフィプロセスに応じて、たとえばバイナリマスク、減衰型(attenuated)位相
シフトマスク、またはレベンソン型(alternating)位相シフト、またはクロムレス位相シ
フトマスクとすることができる。将来のリソグラフィについては、光マスクレス技術が、
固定された、可変でないテンプレートレチクルの代わりに、たとえば空間的な光モジュレ
ータを使用して導入されてもよい。フォーカス露光モデルキャリブレーションが、マスク
製造ステップが仮想的なものとみなされることができるところのこれらの技術に対して等
しく適用可能であり、かつ有用である。光マスクレスリソグラフィ(Optical Maskless L
ithography OML)では、選択されたテストパターン(OMLシステムのためのいずれ
かの選択された削除アルゴリズムによって計算される)に対応する空間的な光モジュレー
タ設定が、テストマスクの代わりに使用される。
[0049] ステップ222では、テストマスクを使用して、テストウェハが、デバイス製造
プロセスと同一のレジストパラメータおよびプロセス条件を使用して、問題となっている
リソグラフィプロセスを代表する露光ツール内でプリントされる。このプリントプロセス
は、1つまたは複数の追加の反射防止層を通常有するウェハ上のレジスト層、プレ露光ベ
ークステップ、テストマスクからレジスト被覆されたウェハ上へ画像を投影することによ
るスキャナまたはステッパ内での露光、ポスト露光ベークステップ、およびレジスト現像
を含む。プリンティングプロセスはまた、このようなものがシミュレーションモデルの一
部である場合、ウェハをエッチングする追加のステップを含む。テストパターンのプリン
ティングは、プロセスウィンドウ内でサンプリング位置として前に定義されたすべてのプ
ロセス設定を使用して行われる。サンプリング位置のすべてに対してのテストパターンの
プリンティングは、単一の基板上で繰り返される露光の間で段階的にパラメータを変更す
ることによって、またはいくつかのテストウェハを別々に露光させることによって行うこ
とができる。
[0050] ステップ224では、完全に処理されたウェハ上のテストパターンが、実結果を
生成するために適切なメトロロジーツールを使用して測定される。ステップ224は、C
D−SEMまたはCD−AFMを使用した線幅および線端部プルバック測定(pullback me
asurements)、二次元のSEM画像データの生成および解析、CDの光散乱解析、または
フォーカス露光モデルから派生した予測されるパターンパラメータと相互に関連すること
ができるその他の測定値を含んでもよい。
[0051] プリントされたテストパターンから派生した実結果は、選択されたリソグラフィ
プロセスのモデルからのシミュレーションされたテストパターンによってマッチングされ
ることを必要とする。リソグラフィプロセスのモデルは、リソグラフィプロセスを表す1
つまたは複数のモデルモジュールを含む。モデルは、少なくとも1つの光学モデルを含み
、レジストモデル、マスクモデル、適用可能な場合、たとえばレジストモデルの後のエッ
チングモデルなどの他のいずれかの適切なモデルをオプションで含んでもよい。図2Aの
方法では、モデルは、例示を容易にするために、光学モデルおよびレジストモデルのみを
含む。ステップ226では、光学モデルに対する、初期フィッティングパラメータ値が選
択され、ステップ228で、レジストモデルに対する初期フィッティングパラメータ値が
、選択される。光学モデルおよびレジストモデルに対する初期フィッティングパラメータ
値は、フィッティングパラメータに対する公称、デフォルトまたは最善値であってよい。
光学モデルに対して、フィッティングパラメータは、光学モデルのすべての調節可能なパ
ラメータである。露光ドーズおよびデフォーカスは、調節可能なパラメータとしてみなさ
れないが、プロセスウィンドウ内の選択されたサンプリング位置に対応するように第1の
原理に従って変更されることになる。ステップ230では、プリントされたテストパター
ンが、光学モデルおよびレジストモデルを使用してシミュレートされる。好ましい実施形
態では、ステップ230のシミュレーションが、米国特許第7,003,758号に開示
されているシステムおよび方法を使用して実施される。一実施形態では、図8と相俟って
以下で説明される、リソグラフィシミュレーションシステム800が、ステップ230を
行うために使用される。ステップ230では、シミュレーションが、すべてのテストパタ
ーンに対して、およびステップ212〜216で定義されたプロセスウィンドウ内のすべ
ての位置に対して、シミュレーション結果を作成するために行われる。シミュレーション
中、モデルの露光ドーズおよびデフォーカスパラメータが、第1の原理に従って変更され
、レジストモデルのフィッティングパラメータのすべてを含むモデルのフィッティングパ
ラメータの値は、変化しないままである。
[0052] 次に、ステップ232では、シミュレーション結果のパターンパラメータが、た
とえばシミュレーションされたライン/スペースまたはギャップ幅を対応するCD−SE
M測定値と比較することによって、実結果に対して比較される。別法として、「測定」が
、予測される二次元プリントパターンを表す、シミュレーションされたレジスト(または
エッチングされた)輪郭線上で行われ、シミュレーションされたパターン上でのこれらの
測定値が、プリントされたパターンの等価な測定値と比較される。測定値は、CDまたは
線端部プルバック、エッジ配置誤差、対応する二次元の形状のより複雑な評価などのスカ
ラー値を含む。CD測定値が、例示の目的のために以下の議論で使用され、かつ他のいず
れのパターンパラメータの測定値が、同様の方式で使用されてもよく、本発明の範囲内に
ある。
[0053] シミュレーション結果と実結果の間の一致を定量化するために、ステップ232
で、プロセスウィンドウ内の各サンプリング位置に対してプリントされたテストパターン
とシミュレーションされたテストパターンの間の差の測定値が計算される。一実施形態で
は、式1で以下で定義されるような、二乗平均平方根(RMS)でシミュレーションされ
た値と測定された値の間の「距離」を反映するために計算されることができるコスト関数
によって差が表される。式1では、RMS(k)は、コスト関数のk番目の反復の後のシ
ミュレーションされたCD値と測定されたCD値の間の「距離」である。Mは、プロセス
ウィンドウ内でのサンプリング位置の総数、Nは、プロセスウィンドウ内の各サンプリン
グ位置での測定される予定のテストパターンの数、CDmeans(E、F、TP)は
、プロセスウィンドウ内のi番目のサンプリング位置でフォーカス値および露光値によっ
て作成されたj番目のテストパターン(TP)での実際のCD測定値(E、F)、こ
こで、Eは露光ドーズ値、Fはフォーカス値、および
Figure 2011205118

は、プロセスウィンドウのi番目のサンプリング位置でのフォーカス値および露光値を使
用した、対応するテストパターンのシミュレーションされたCD測定値である。ここで、
Figure 2011205118

は1組のフィッティングパラメータ
Figure 2011205118

であり、Lは、光学モデルおよびレジストモデルのフィッティングパラメータの総数、お
よびkは、k番目の反復の後の調節されたフィッティングパラメータを示す。コスト関数
の定義は、データ点の変更またはその他の調節のための異なる重み計数、Wijを含んで
もよい。
Figure 2011205118

[0054] 式1によって計算されたコスト関数値は、シミュレーション結果と実結果の間の
RMS差と呼ばれ、一実施形態では、ステップ232での差の測定値として使用される。
コスト関数の大きさは、シミュレーション結果と実結果の間のフィッティングの品質の測
定値である。キャリブレーションプロセスの目標は、コスト関数、たとえば、式2に示さ
れているようなRMS(k)を最小化するように調節可能なフィッティングパラメータを
変更することによって、フォーカス露光モデルを最適化することである。
Figure 2011205118

[0055] ステップ234では、計算された差の測定値が所定の閾値未満であるかどうかの
決定が行われる。別法として、差分測定値の全体的な最小値が探求される。差分測定値が
最小化されていない、すなわち所定の閾値未満でない場合、方法がステップ236で継続
し、このステップで、光学モデルモジュールおよびレジストモデルモジュールのフィッテ
ィングパラメータ値が、ある順序で調節または調整される。方法は次に、光学モデルおよ
びレジストモデルに対する調節されたフィッティングパラメータ値を使用して、プリント
されたテストパターンをシミュレートするために、ステップ230に戻る。次に、ステッ
プ232で、新しいシミュレーションされたテストパターンとプリントされたテストパタ
ーンの間の差の測定値が計算され、差分測定値が、ステップ234で評価される。ステッ
プ236、230、232、および234が、現在の差分測定値が最小化されるまたは所
定の閾値未満になるまで繰り返される。
[0056] 次に、ステップ238で、光学モデルおよびレジストモデルに対する現在のフィ
ッティングパラメータ値が、キャリブレーションされたフォーカス露光モデルに対するフ
ィッティングパラメータ値として指定される。キャリブレーションされたフォーカス露光
モデルが次に、プロセスウィンドウ内のいずれかの位置でのリソグラフィプロセスをシミ
ュレートするために使用されることができる。
[0057] フォーカス露光モデルのキャリブレーションのキー特性は、対応する制限(const
raints)をキャリブレーション手順中にフィッティングパラメータ値上に位置させながら
、露光デフォーカスプロセスウィンドウ空間内でのいくつかのプロセス設定を通常含む、
テストパターンのシミュレーション中にプロセスパラメータのいくつかの次元に沿ったデ
ータ点を含むことである。このことは、サンプリング位置間のテストウェハプリンティン
グプロセス内で実際に調節された光学モデル内のプロセス条件のみが、サンプリング位置
でのテストパターンのシミュレーションの第1の原理、たとえば図2Aの方法におけるフ
ォーカスおよび露光ドーズに、従って変化することを許され、そして、モデルの他のすべ
てのフィッティングパラメータが、プロセスウィンドウ内のサンプリング位置間で一定に
保たれることを、単に意味している。単一の、普遍的な、モデルパラメータ値の組が、こ
のようにして、キャリブレーションプロセスから導出され、キャリブレーションのために
使用される厳密な位置ではなく、プロセスウィンドウの初期サンプリング領域の妥当な近
傍でのいずれかの露光−ドーズ設定で、「新しい」モデル(すなわち、予測されるパター
ン)を生成するために使用されることができる。リソグラフィプロセスが公称条件でシミ
ュレーションされるのみである場合であっても、プロセスウィンドウの中央だけでなくプ
ロセスウィンドウの中央からある距離の複数の位置にて収集されたデータを使用してフォ
ーカス露光モデルが構成されるときより、良い性能が達成される。
[0058] 図2Bは、本発明の一実施形態による、プロセスウィンドウ内のいずれかの位置
でモデルを生成するための方法ステップのフローチャートである。ステップ252で、フ
ォーカス露光モデルが、図2Aと相俟って上記で説明された方法に従ってキャリブレーシ
ョンされる。ステップ254で、リソグラフィプロセスがシミュレーションされるところ
のプロセスウィンドウ内の位置が選択される。選択された位置はプロセスウィンドウ内の
どこであってもよい、すなわち、選択される位置は、フォーカス露光モデルのキャリブレ
ーション中に使用されたサンプリング位置の1つであってよいが、そうである必要はない
。次に、ステップ256で、第1の原理に従ってキャリブレーションされたフォーカス露
光モデルに対するプロセスウィンドウ内の選択された位置に対応する、変更されたモデル
パラメータ(たとえば、露光およびフォーカス)に対する値の組を提供することによって
モデルが生成されるが、他のキャリブレーションされたモデルパラメータ(すなわち、フ
ィッティングパラメータ)のすべては、FEMの最終的にフィッティングされた値に保持
される。モデルが次に、プロセスウィンドウ内の選択された位置でリソグラフィプロセス
の性能をシミュレートするために使用されてもよい。
[0059] 65nmリソグラフィプロセスに対するフォーカス露光モデルの例示的なキャリ
ブレーションが行われた。約2000個の一次元および二次元のテストパターンの全組が
、この65nmプロセスでのモデルキャリブレーションのために定義された。プロセスウ
ィンドウ内の11個の位置が、キャリブレーションに対して選択される。これらの位置は
、図4Aに概略的に示されている。テストウェハが、プロセスウィンドウ内のこれらの1
1個の位置に対してプリントされた。図4Bに示されているように、これらの位置は、+
/−100nmおよび+/−150nmのフォーカスずれ、+/−2.41%から+/−
4.82%の公称値からの露光の変更を含む。いくつかのキャリブレーション実行が、図
4Bに示されている11個の位置の様々な部分集合(サブセットsubset)に対して行われ
た。11個すべてよりも少ない位置がキャリブレーションのために使用される場合、残り
のデータが、測定されたテストパラメータ値からシミュレーションされたものの偏差を決
定することによってモデル確認のために使用された。約2000テストパターンのフルセ
ットが、公称条件(プロセスウィンドウの中央)で使用され、300、すなわちテストパ
ターンの15%の他のすべてのサンプリング位置のみが、含まれていた。すべての測定値
は、スカラーCD測定値であり、モデルの精度が、シミュレーションされたCD値と測定
されたCD値の間のRMS偏差によって定量化された。
[0060] 図5は、キャリブレーション実行の結果を示している。第2列は、キャリブレー
ションのために使用されたプロセスウィンドウ内のサンプリング位置の図形的な表示を与
えている。すべての残りの場所からのデータが、モデル確認のために使用された。第2列
の各セルに示されている点は、図4Bに示されている等価な位置に対応する。キャリブレ
ーションおよび確認場所の数が、それぞれ、図5の列3および4に示されている。列5は
すべての部位およびパターンにわたるnm単位での全RMSを列挙しており、一方単一の
サンプリング位置での最大RMSが、最終列に示されている。数は、11個すべてのサン
プリング位置からのデータを使用するとき、最良の全体フィッティングが得られるが、サ
ンプリング位置の数をデフォーカス方向に沿って3だけ減少させた後でさえもフィッティ
ング品質の極めて小さい偏差しかないことを示している。したがって、プロセスウィンド
ウ内の3個、公称条件、公称露光での正のデフォーカス条件、および公称露光での負のデ
フォーカス条件のみのサンプリング位置で収集されたデータを使用してフォーカス露光モ
デルをキャリブレーションすることが好ましい最良の実行である。また、露光変更による
サンプリング位置のみが選択される、露光のみの場合を除いて、他のすべての結果は、モ
デル精度が、プロセスウィンドウ内でのサンプリング位置の実際の選択に対して感受性が
ないことを示している。キャリブレーションされたフォーカス露光モデルを作成するため
の方法はさらに、キャリブレーションデータによってカバーされるパラメータ範囲外への
外挿を修正することが可能である。
[0061] デフォーカスデータがキャリブレーションに含まれない露光のみの場合では、ド
ーズの小さな変更でさえも、パラメータフィッティングプロセスが、悪い光学パラメータ
を結果として生じさせる。結果は、さほど驚くべきものではない。前に議論されたように
、デフォーカス効果が、レジストパラメータ、たとえ拡散定数によって模倣されてもよい
。フォーカスを通るデータなしでは、フィッティングは、正確なモデルパラメータ値を生
成するために十分拘束されない。したがって、モデルキャリブレーションのために公称か
ら外れたサンプリング位置を選択するための基準は、フィッティングパラメータに対する
正確な最終値を得るために公称フォーカスから外れた少なくとも1つのサンプリング位置
を含むことである。
[0062] 本発明の方法は、プロセスウィンドウ内の異なる個別の点に対して別個にモデル
をキャリブレーションすることの現在の実施に対してかなり利益を提供する。図6は、2
つのキャリブレーションアプローチ、すなわちそれぞれフォーカス露光モデルのキャリブ
レーションと複数の個別のモデルのキャリブレーションの間の比較をそれぞれ提供する。
図6では、サンプリング位置のそれぞれがN個の測定値を必要とすること、および過度の
サンプリング位置の数と公称サンプリング位置の和がxであることを仮定している。従来
技法の複数の個別のモデルでは、すべての(1+x)位置に対して必要とされる測定値の
総数は、したがって(1+x)Nである。逆に、上記で述べたように、フォーカス露光モ
デルが、余分な(すなわち、公称でない)サンプリング位置では測定値の15%しか必要
としないため、すべての(1+x)位置に対して必要とされる測定値の総数は、(1+0
.15x)Nにしかならない。また、従来技法の複数の個別のモデルは、(1+x)位置
のそれぞれでの別個のキャリブレーションを必要とするが、フォーカス露光モデルは、同
時に考慮されるプロセスウィンドウ内のすべての位置での測定値に対して1つのキャリブ
レーションのみしか必要としない。また、従来技法の複数の個別のモデルとは違い、フォ
ーカス露光モデルは、分離可能な共通のマスク項、光項、レジスト項を有する。さらに、
フォーカス露光モデルは、図2Bに示すように、サンプリング位置によって定義された全
境界内で、制限されない追加のモデルを生成することが可能である(すなわち、プロセス
ウィンドウ内の制限されない位置で正確な予測を作成する)が、従来技法の複数の個別の
モデルは、別個のキャリブレーションが行われた(1+x)位置でしか正確であり得ない
[0063] 図7は、本発明によるリソグラフィプロセスのフォーカス露光モデルを作成する
ためのシステム700の一実施形態のブロック図である。システム700は入力デバイス
712、モデルキャリブレーションモジュール714、出力デバイス716および記憶領
域718を含むが、それに限定されない。記憶領域718は、物理的なモデル情報720
を含むが、それに限定されない。光学モデル情報720は、光学モデル情報722および
レジストモデル情報724を含むが、それに限定されない。光学モデル情報722は、光
学モデルおよび各光学モデルパラメータに対する1組の考えられる値を含み、レジストモ
デル情報724は、レジストモデルおよび各レジストモデルパラメータに対する1組の考
えられる値を含む。モデルキャリブレーションモジュール714が、入力デバイス712
を介してプロセスウィンドウ定義情報およびプリントされたテストパターン測定値を受信
する。モデルキャリブレーションモジュール714が、キャリブレーションされたフォー
カス露光モデルを生成するために、プロセスウィンドウ定義情報およびプリントされたテ
ストパターン測定値を、光学モデル情報722およびレジストモデル情報724と相俟っ
て使用する。キャリブレーションされたフォーカス露光モデルは、少なくとも2つの連続
的に調節可能な光学パラメータによって記述されたプロセスウィンドウ上にリソグラフィ
プロセスの性能を記述することが可能である。
[0064] 図8は、本発明によるリソグラフィシミュレーションシステム800の一実施形
態の図である。システム800は、アプリケーション処理システム814aおよびフロン
トエンド処理システム814bを含むが、それに限定されない1つまたは複数の凡用型の
数値計算システムを含むが、それに限定されない。アプリケーション処理システム814
aは、システム800の全体動作のジョブ管理を扱うように適切に構成されている。特に
、一実施形態では、アプリケーション処理システム814aは、アプリケーション処理デ
バイス836およびアプリケーションSCSI RAID838aを含む。アプリケーシ
ョン処理デバイス836は、システム800の様々な構成要素の動作の管理を提供するよ
うに適切にプログラムされている。この点で、たとえば、アプリケーション処理デバイス
836は、アクセラレータシステム816の様々な構成要素のための設計データベースを
分割するようにプログラムされ、それによって、アクセラレータシステム816の構成要
素によって行われる個々のジョブ、機能またはプロセスを特定する。アプリケーションS
CSI RAIDハードディスクアレイ838aは、アプリケーション処理デバイス83
6によって使用されるプログラムおよびデータ(たとえば、設計データベース)のための
記憶を提供する。
[0065] フロントエンド処理システム814bは、ユーザまたはオペレータ(すなわち「
外部世界」)に、その直接の相互作用を扱うまたは行うように適切にプログラムされたフ
ロントエンド処理デバイス840、たとえば、ジョブ設定および/または結果報告/解析
のためのシステム800へのオペレータまたはユーザのアクセスを提供するクライアント
コンピュータ(図示せず)を含む。フロントエンドSCSI RAID838bは多数の
シミュレーションジョブの結果および画像を記憶するために使用されるため、フロントエ
ンド処理デバイス840に関連付けられた、フロントエンドSCSI RAIDハードデ
ィスクアレイ838bは、大容量記憶デバイスであるべきである。フロントエンド処理シ
ステム814bはまた、アプリケーションSCSI RAID838a(たとえば、設計
データベース)からデータを提供する、またはそこからデータを引き出すためにアプリケ
ーション処理システム814aと通信し、かつユーザまたはオペレータによって命令され
たとき、ジョブを開始するようにアプリケーション処理システム814aに命令する。
[0066] アプリケーション処理システム814aおよびフロントエンド処理システム81
4bは、たとえば、高速スイッチ(たとえば、ギガビットイーサネット(登録商標)スイ
ッチ842aおよび842bを通じて、アクセラレータシステム816と接続している。
スイッチ842aおよび842bはDell Computer(米国テキサス州、オー
スチン)によって製造および提供されている、Dell 5224 PowerConn
ectであってよい。Dell 5224 PowerConnectの実装および動作
は、アプリケーションノート、技術/雑誌記事およびデータシートに詳細に記載されてお
り、それらのすべてが、参照によって本明細書に組み込まれる。
[0067] 一実施形態では、リソグラフィシミュレーションの実際にコンピュータ強化タス
クのすべてまたはほとんどすべてが、アクセラレータシステム816によって、および特
に、1つまたは複数のアクセラレータ成分816a〜nによって行われてもよい、この構
造は、アクセラレータハードウェア構成要素816a〜nの数を変更することによって拡
張可能な数値計算容量を可能にする。さらに、この構造はまた、システム800の全体的
な耐故障性を可能にするまたは強化することを可能にする。たとえば、所与のアクセラレ
ータハードウェア構成要素816a〜nが故障した場合、そのジョブが、他のアクセラレ
ータハードウェア構成要素816a〜nに再割当されることができ、このようにして、シ
ステム800がその動作条件/状態を維持する。
[0068] 特に、アクセラレータシステム816は、マイクロプロセッササブシステム84
4a〜n(1つまたは複数のマイクロプロセッサを備える)、1つまたは複数のアクセラ
レータサブシステム846a〜n、および関連するマイクロプロセッササブシステム84
4a〜nと結合された、ローカルまたはレジデントメモリストレージ848a〜nのうち
の1つをそれぞれ備える、1つまたは複数のアクセラレータ構成要素816a〜nを備え
てもよい。ハードウェア加速能力の程度または量は、実行される数値計算の程度または量
に応じて、マイクロプロセッササブシステム844a〜nと釣り合わされる。
[0069] 一実施形態では、マイクロプロセッササブシステム844a〜nはそれぞれ、I
ntel(米国カリフォルニア州、サンタクララ)によって製造された2つのXeonマ
イクロプロセッサを含む。アクセレータサブシステム846a〜nはそれぞれ、ASIC
(Application-Specific Integrated Circuit )、Special-Purpose DSP Integrated Cir
cuit、および/またはプログラマブルゲートアレイ(たとえば、フィールドプログラムマ
ブルゲートアレイ(“FPGA”))を含む。実際、アクセラレータサブシステム846
a〜nはそれぞれ、複数のアクセラレータサブシステムを含んでよく、たとえば、アクセ
ラレータサブシステム846aは、図8に示されているように、アクセラレータサブシス
テム846a1〜6axのすべてを含んでもよい。このようにして、完全に使用されると
き、アクセラレータサブシステム846a〜nはそれぞれ、約25個のXeonマイクロ
プロセッサの数値計算容量を含む。
[0070] バス850a〜nが、マイクロプロセッササブシステム844a〜nと関連付け
られたアクセラレータサブシステム846a〜nの間の高速通信を容易にする。バス85
0a〜n上の通信プロトコルおよび技法は、PCI、PCIX、または高速通信プロトコ
ルおよび技法であってよい。実際、現在公知であるまたは最近開発された、いかなる高速
技法もバス850a〜nに実装されてもよい。特に、一実施形態では、バスインターフェ
イスが、International Business Machines Corp
oration(米国、ニューヨーク市アーモンク)による21P100BGC PCI
−Xブリッジ(64ビット/133MHz)を使用して実装されてもよい。21P100
BGCの実装および動作は、アプリケーションノート、技術/雑誌記事およびデータシー
トに詳細に記載されており、それらのすべてが、参照によって本明細書に組み込まれる。
[0071] 本発明が、特定の実施形態を参照にして上記で説明された。しかし、様々な修正
および変更が、添付の特許請求の範囲で説明されたような本発明の広義の精神および範囲
を逸脱することなく、本発明に対して行われてもよい。前の説明および図面はしたがって
、限定的な意味でなく例示的な意味で考慮されるべきである。
[0024]従来技法リソグラフィシミュレーションのための方法ステップのフローチャートである。 [0025]従来技法の方法論による、複数のリソグラフィシミュレーションモデルのキャリブレーションのための位置の図である。 [0026]本発明の一実施形態による、リソグラフィプロセスのフォーカス露光モデルを作成するための方法ステップのフローチャートである。 [0027]本発明の一実施形態による、プロセスウィンドウ内のいずれかの任意位置でのフォーカス露光モデルを生成するための方法ステップのフローチャートである。 [0028]本発明による、リソグラフィプロセスのプロセスウィンドウ内のサンプリング位置の領域の一実施形態を示す図である。 [0029]本発明によるリソグラフィプロセスのプロセスウィンドウ内のサンプリング位置の一実施形態を示す図である。 [0030]本発明による、リソグラフィプロセスのプロセスウィンドウ内のサンプリング位置の別の実施形態を示す図である。 [0031]本発明の一実施形態によるフォーカス露光モデルをキャリブレーションするためのサンプリング位置を示すチャートである。 [0032]本発明の一実施形態による、異なるサンプリングスキームを使用したフォーカス露光モデルのキャリブレーションの結果を示すチャートである。 [0033]フォーカス露光モデルキャリブレーションの一実施形態と従来技法の複数のモデルキャリブレーションとの間の比較をまとめたチャートである。 [0034]本発明によるフォーカス露光モデルを作成するためのシステムの一実施形態のブロック図である。 [0035]本発明によるリソグラフィシミュレーションシステムの一実施形態のブロック図である。

Claims (61)

  1. リソグラフィプロセスのフォーカス露光モデルを作成するための方法であって、
    リソグラフィプロセスのモデルを選択することであって、前記モデルが、光学モデルモ
    ジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッテ
    ィングパラメータとを含む1組のモデルパラメータを有する、該選択すること、
    フォーカス露光空間内で前記リソグラフィプロセスのためのプロセスウィンドウを定義
    すること、
    前記モデルに対する1組の初期フィッティングパラメータ値を選択すること、
    前記プロセスウィンドウ内の複数のサンプリング位置を選択することであって、前記複
    数のサンプリング位置が、公称条件を含み、かつ前記プロセスウィンドウ内の考えられる
    すべてのプロセス条件の部分集合である、該選択すること、
    前記初期フィッティングパラメータ値を一定に保ちながら、前記プロセスウィンドウ内
    の前記複数のサンプリング位置に対応するフォーカスおよび露光の変更値を用いて前記リ
    ソグラフィプロセスをシミュレーションすることによって、前記1組の初期フィッティン
    グパラメータ値を有する前記モデルを使用して、前記プロセスウィンドウ内の前記複数の
    サンプリング位置のそれぞれでの前記リソグラフィプロセスのシミュレーション結果を生
    成すること、
    前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれで前記シミュレー
    ション結果を前記リソグラフィプロセスの実結果と比較して、前記複数のサンプリング位
    置のすべてでの前記シミュレーション結果と前記実結果の間の合計差分測定値を作成する
    こと、
    前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞれで前記1組のフィ
    ッティングパラメータ値を修正し、追加のシミュレーション結果を生成して、前記実結果
    と最適フィッティングパラメータ値を使用して作成されたシミュレーション結果との間の
    前記合計差分測定値が最小化される、または所定の閾値未満となるような前記最適フィッ
    ティングパラメータ値を識別すること、および
    前記フォーカス露光モデルを前記最適フィッティングパラメータ値を含むモデルとして
    定義することであって、前記フォーカス露光モデルが、全プロセスウィンドウ内のいずれ
    の位置でも前記リソグラフィプロセスをシミュレーションすることが可能である、該定義
    すること
    を含む、方法。
  2. 前記フォーカス露光モデルが、前記プロセスウィンドウ内の単一の位置で前記リソグラ
    フィプロセスをシミュレーションするために使用される、請求項1に記載の方法。
  3. 前記フォーカス露光モデルが、前記最適フィッティングパラメータ値を変更することな
    く、第1の原理に従って、前記プロセスウィンドウ内の前記位置に対応するフォーカス値
    および露光値を前記フォーカス露光モデルに適用することによって、前記複数のサンプリ
    ング位置の1つではない前記プロセスウィンドウ内のある位置で前記リソグラフィプロセ
    スをシミュレーションするために使用される、請求項1に記載の方法。
  4. 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1
    の原理パラメータをさらに含む、請求項1に記載の方法。
  5. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のう
    ちの1つまたは複数を含む、請求項4に記載の方法。
  6. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求
    項1に記載の方法。
  7. 前記リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項
    1に記載の方法。
  8. 前記複数のサンプリング位置が、公称露光およびフォーカスの変更値でのサンプリング
    位置のみを含む、請求項1に記載の方法。
  9. 前記複数のサンプリング位置が、公称条件、公称露光条件での正のデフォーカス条件、
    および公称露光条件での負のデフォーカス条件のみを含む、請求項1に記載の方法。
  10. テストマスクのための1組のテストパターンを選択することであって、前記1組のテス
    トパターンが、前記リソグラフィプロセスの特性である近接相互作用の全範囲をカバーし
    ている、該選択すること、
    ウェハ上の前記1組のテストパターンをプリントして、1組のテスト構造を形成するこ
    と、および
    前記1組のテスト構造を使用して、前記実結果を作成すること
    をさらに含む、請求項1に記載の方法。
  11. 前記シミュレーション結果および前記実結果が、クリティカルディメンション測定値で
    ある、請求項1に記載の方法。
  12. 前記合計差分測定値が、二乗平均平方根差である、請求項1に記載の方法。
  13. リソグラフィプロセスのフォーカス露光モデルを作成するための方法であって、
    リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択する
    ことであって、前記1組のプロセス条件が、前記プロセスウィンドウ内の考えられるすべ
    てのプロセス条件の部分集合であり、各プロセス条件が、露光値およびデフォーカス値で
    ある、該選択すること、
    前記リソグラフィプロセスのモデルを選択することであって、前記モデルが、光学モデ
    ルモジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィ
    ッティングパラメータとを含む1組のモデルパラメータを有する、該選択すること、
    前記モデルを使用する前記1組のプロセス条件のそれぞれで前記リソグラフィプロセス
    をシミュレーションして、シミュレーション結果を作成することであって、前記フォーカ
    スおよび露光パラメータの値が前記1組のプロセス条件に対応するように変更され、かつ
    前記フィッティングパラメータ値が一定に保持される、該シミュレーション及び作成する
    こと、および
    前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロ
    セスの実結果と比較することによって前記モデルをキャリブレーションして、前記所定の
    プロセスウィンドウ内の考えられるすべてのプロセス条件で前記リソグラフィプロセスを
    シミュレーションすることが可能である単一のフォーカス露光モデルを作成すること
    を含む、方法。
  14. 前記フォーカス露光モデルが、前記1組のプロセス条件の1つではない所定のプロセス
    ウィンドウ内のプロセス条件で、前記リソグラフィプロセスをシミュレーションするため
    に使用される、請求項13に記載の方法。
  15. 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1
    の原理パラメータをさらに含む、請求項13に記載の方法。
  16. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のう
    ちの1つまたは複数を含む、請求項15に記載の方法。
  17. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求
    項13に記載の方法。
  18. リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項13
    に記載の方法。
  19. 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみ
    を含む、請求項13に記載の方法。
  20. 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、およ
    び公称露光条件での負のデフォーカス条件のみを含む、請求項13に記載の方法。
  21. テストマスクのため1組のテストパターンを選択することであって、前記1組のテスト
    パターンが、リソグラフィプロセスの特性である近接相互作用の全範囲をカバーする、該
    選択すること、
    前記1組のテストパターンをウェハ上にプリントして、1組のテスト構造を形成するこ
    と、および
    前記1組のテスト構造を使用して、実結果を作成すること
    をさらに含む、請求項13に記載の方法。
  22. 前記シミュレーション結果および前記実結果が、クリティカルディメンション測定値で
    ある請求項13に記載の方法。
  23. 全プロセスウィンドウにわたってリソグラフィプロセスをシミュレーションすることが
    可能な前記リソグラフィプロセスのフォーカス露光モデルを生成するための方法であって

    1組のプロセス条件のそれぞれでリソグラフィプロセスを使用してウェハ上にプリント
    された1組のテスト構造の測定値を得ることであって、前記1組のプロセス条件が、露光
    デフォーカス空間内のプロセスウィンドウ内の考えられるすべてのプロセス条件の部分集
    合である、該得ること、
    前記リソグラフィプロセスのモデルを使用して前記1組のプロセス条件のそれぞれで前
    記リソグラフィプロセスをシミュレーションして、シミュレーション結果を作成すること
    であって、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッティング
    パラメータとを含むモデルパラメータを含む、該シミュレーション及び作成すること、
    前記1組のプロセス条件のすべてで前記1組のテスト構造の前記測定値に最良にフィッ
    トするシミュレーション結果を作成する前記フィッティングパラメータの最適値を決定す
    ること、および
    前記フォーカス露光モデルを前記フィッティングパラメータの前記最適値を有するモデ
    ルとして定義すること
    を含む、方法。
  24. 前記フォーカス露光モデルが、フィッティングパラメータの最適値を変更することなく
    、第1の原理に従って、前記プロセスウィンドウ内の前記プロセス条件に対応するフォー
    カス値および露光値を前記フォーカス露光モデルに適用することによって、前記1組のプ
    ロセス条件の1つではない前記プロセスウィンドウ内のあるプロセス条件でリソグラフィ
    プロセスをシミュレーションするために使用される、請求項23に記載の方法。
  25. 前記モデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1の原理
    パラメータを含む、請求項23に記載の方法。
  26. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のう
    ちの1つまたは複数を含む、請求項25に記載の方法。
  27. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールを含む、請求項23
    に記載の方法。
  28. 前記リソグラフィプロセスのモデルが、マスクモデルモジュールを含む、請求項23に
    記載の方法。
  29. 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみ
    を含む、請求項23に記載の方法。
  30. 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、およ
    び公称露光条件での負のデフォーカス条件のみを含む、請求項23に記載の方法。
  31. テストマスクのため1組のテストパターンを選択することであって、前記1組のテスト
    パターンが、リソグラフィプロセスの特性である近接相互作用の全範囲をカバーする、該
    選択すること、および
    ウェハ上に前記1組のテストパターンをプリントして、1組のテスト構造を形成するこ

    をさらに含む、請求項23に記載の方法。
  32. 前記1組のテスト構造の前記測定値および前記シミュレーション結果が、クリティカル
    ディメンション測定値である、請求項23に記載の方法。
  33. リソグラフィプロセスの能力を予測するための単一のプロセスウィンドウモデルを生成
    するためのシステムであって、
    情報を記憶するための記憶領域、
    入力デバイス、
    出力デバイス、
    前記記憶領域内に記憶された物理的なモデル情報、および
    モデルキャリブレーションモジュールを備え、
    前記記憶領域が、選択された物理的なモデル情報が前記モデルキャリブレーションモジ
    ュールによってアクセスされることができるように、前記モデルキャリブレーションモジ
    ュールと連絡し、
    前記入力デバイスが、プロセスウィンドウを定義するプロセスウィンドウ定義情報が、
    前記モデルキャリブレーションモジュールにとって使用可能にされることができるように
    、かつ、前記定義されたプロセスウィンドウ内の異なるテスト条件の下でのウェハの測定
    値から得られた個別の測定値情報が、前記モデルキャリブレーションモジュールによって
    アクセスされることができるように、前記モデルキャリブレーションモジュールと連絡し

    前記モデルキャリブレーションモジュールが、前記プロセスウィンドウ定義情報および
    前記個別の測定値情報を使用することによって単一のプロセスウィンドウモデルを生成し
    、前記選択された物理的なモデル情報をキャリブレーションして、前記定義されたプロセ
    スウィンドウにわたるリソグラフィプロセスの性能が2つの連続的に調節可能な光学パラ
    メータで記載されることができるように、構成され、
    前記単一のプロセスウィンドウモデルの生成が、前記個別の測定値情報をシミュレーシ
    ョンされた測定値と比較することを含み、前記シミュレーションされた測定値が、前記物
    理的なモデル情報内のすべての他のパラメータを一定に保ちながら、前記2つの連続的に
    調節可能な光学パラメータを変更することによって、前記選択された物理的なモデル情報
    を使用して前記リソグラフィプロセスをシミュレーションすることによって作成される、
    システム。
  34. 前記2つの連続的に調節可能な光学パラメータが、フォーカスおよび露光である、請求
    項33に記載のシステム。
  35. 前記シミュレーションされた測定値が、公称露光およびフォーカスの変更値でのみ、前
    記2つの連続的に調節可能な光学パラメータを使用して作成される、請求項34に記載の
    システム。
  36. 前記シミュレーションされた測定値が、公称条件、公称露光条件での正のデフォーカス
    条件、および公称露光条件での負のデフォーカス条件でのみ、前記2つの連続的に調節可
    能な光学パラメータを使用して作成される、請求項34に記載のシステム。
  37. 前記物理的なモデル情報が、照射源、開口数、および光学収差のうちの1つまたは複数
    を含む、請求項33に記載のシステム。
  38. 前記物理的なモデル情報が、レジストモデル情報を含む、請求項33に記載のシステム
  39. 前記物理的なモデル情報が、マスクモデル情報を含む、請求項33に記載のシステム。
  40. リソグラフィプロセスのモデルを作成するための方法であって、
    リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択する
    ことであって、前記1組のプロセス条件が、前記プロセスウィンドウ内の考えられるすべ
    てのプロセス条件の部分集合であり、各プロセス条件が、少なくとも1つのパラメータに
    対する値である、該選択すること、
    前記リソグラフィプロセスのモデルを選択することであって、前記モデルが、前記プロ
    セス条件の少なくとも1つのパラメータおよび1組のフィッティングパラメータを含む1
    組のモデルパラメータを有する、該選択すること、
    前記モデルを使用して前記1組のプロセス条件のそれぞれでリソグラフィプロセスをシ
    ミュレーションして、シミュレーション結果を作成することであって、前記少なくとも1
    つのパラメータの前記値が、前記フィッティングパラメータ値を一定に保ちながら前記1
    組のプロセス条件に対応するように変更される、該シミュレーション及び作成すること、
    および
    前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロ
    セスの実結果と比較することによって、前記モデルをキャリブレーションして、所定のプ
    ロセスウィンドウ内の考えられるすべてのプロセス条件で前記リソグラフィプロセスをシ
    ミュレーションすることを可能にする単一のモデルを作成すること
    を含む、リソグラフィプロセスのモデルを作成するための方法。
  41. 前記少なくとも1つのパラメータが、光学パラメータである、請求項40に記載の方法
  42. 前記光学パラメータが、フォーカスである、請求項41に記載の方法。
  43. 前記光学パラメータが、リソグラフィ露光ツールの開口数である、請求項41に記載の
    方法。
  44. 前記少なくとも1つのパラメータが、レジストパラメータである、請求項40に記載の
    方法。
  45. 前記少なくとも1つのパラメータが、光学パラメータおよびレジストパラメータを含む
    、請求項40に記載の方法。
  46. 公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法
    であって、
    リソグラフィプロセスの所定のプロセスウィンドウ内の1組のプロセス条件を選択する
    ことであって、前記1組のプロセス条件が、前記所定のプロセスウィンドウ内の考えられ
    るすべてのプロセス条件の部分集合であり、前記1組のプロセス条件が、公称条件を含み
    、各プロセス条件が、少なくとも1つのパラメータに対する値である、該選択すること、
    前記プロセス条件の前記少なくとも1つのパラメータおよび1組のフィッティングパラ
    メータを含むモデルパラメータを有する前記リソグラフィプロセスのモデルを選択するこ
    と、
    前記モデルを使用して前記1組のプロセス条件のそれぞれで前記リソグラフィプロセス
    をシミュレーションして、シミュレーション結果を作成することであって、前記少なくと
    も1つのパラメータの前記値が、前記フィッティングパラメータ値を一定に保ちながら前
    記1組のプロセス条件に対応するように変更される、該シミュレーション及び作成するこ
    と、および
    前記1組のプロセス条件のすべてで前記シミュレーション結果と前記リソグラフィプロ
    セスの実結果との間の合計差分測定値を最小化することによって前記モデルをキャリブレ
    ーションして、単一のモデルを作成すること
    を含み、
    前記単一のモデルが、前記公称条件で前記リソグラフィプロセスをモデリングするため
    に使用される、方法。
  47. 前記少なくとも1つのパラメータが、フォーカスである、請求項46に記載の方法。
  48. 前記少なくとも1つのパラメータが、照射源、開口数、および光学収差のうちの1つま
    たは複数を含む、請求項46に記載の方法。
  49. テストマスクに対して1組のテストパターンを選択すること、
    ウェハ上に前記1組のテストパターンをプリントして、1組のテスト構造を形成するこ
    と、および
    前記1組のテスト構造を使用して、実結果を作成すること
    をさらに含む、請求項46に記載の方法。
  50. 前記モデルのキャリブレーションが、
    前記1組のプロセス条件のすべてで前記シミュレーション結果を前記リソグラフィプロ
    セスの実結果と比較して、前記シミュレーション結果と前記実結果の間の合計差分測定値
    を作成すること、
    前記1組のプロセス条件で前記フィッティングパラメータの値を修正し、追加のシミュ
    レーション結果を生成して、前記実結果と最適フィッティングパラメータ値を使用して作
    成された前記シミュレーション結果との間の合計差分測定値が最小化される、または所定
    の閾値未満となるような前記最適フィッティングパラメータ値を識別すること、および
    前記単一のモデルを前記最適フィッティングパラメータ値を含むモデルとして定義する
    こと
    をさらに含む、請求項46に記載の方法。
  51. 前記合計差分測定値が、二乗平均平方根差である、請求項46に記載の方法。
  52. 前記1組のプロセス条件が、公称露光およびフォーカスの変更値でのプロセス条件のみ
    を含む、請求項46に記載の方法。
  53. 前記1組のプロセス条件が、公称条件、公称露光条件での正のデフォーカス条件、およ
    び公称露光条件での負のデフォーカス条件のみを含む、請求項46に記載の方法。
  54. コンピュータに以下の実行によりリソグラフィプロセスのフォーカス露光モデルを作成
    させるための命令を記憶するコンピュータ読取可能媒体であって、
    リソグラフィプロセスのモデルを記憶することであって、前記モデルが、光学モデルモ
    ジュールを含み、前記モデルが、フォーカスと、露光と、可変値を有する1組のフィッテ
    ィングパラメータとを含む1組のモデルパラメータを有する、該記憶すること、
    前記モデルのための1組の初期フィッティングパラメータ値を記憶すること、
    フォーカス露光空間内のプロセスウィンドウ内の複数のサンプリング位置を記憶するこ
    とであって、前記複数のサンプリング位置が、公称条件を含み、かつ前記プロセスウィン
    ドウ内の考えられるすべてのプロセス条件の部分集合である、該記憶すること、
    前記初期フィッティングパラメータ値を一定に保ち保ながら、前記複数のサンプリング
    位置に対応するフォーカスおよび露光の変更値を用いて前記リソグラフィプロセスをシミ
    ュレーションすることによって、前記1組の初期フィッティングパラメータ値を有する前
    記モデルを使用して、前記プロセスウィンドウ内の前記複数のサンプリング位置のそれぞ
    れでの前記リソグラフィプロセスのシミュレーション結果を生成すること、
    前記複数のサンプリング位置のそれぞれで前記シミュレーション結果を前記リソグラフ
    ィプロセスの実結果と比較して、前記複数のサンプリング位置のすべてでの前記シミュレ
    ーション結果と前記実結果との間の合計差分測定値を作成すること、
    最適フィッティングパラメータ値を使用して作成された前記実結果の間の前記合計差分
    測定値が最小化される、または所定の閾値未満となるように、前記複数のサンプリング位
    置のそれぞれで前記1組のフィッティングパラメータ値を修正し、追加のシミュレーショ
    ン結果を生成すること、および
    前記フォーカス露光モデルを前記最適フィッティングパラメータ値を含むモデルとして
    定義することであって、前記フォーカス露光モデルが、前記プロセスウィンドウ内のいか
    なる位置でも前記リソグラフィプロセスをシミュレーションすることが可能である、該定
    義すること、
    コンピュータ読取可能媒体。
  55. 前記1組のモデルパラメータが、フォーカスおよび露光に加えて1つまたは複数の第1
    の原理パラメータをさらに備える、請求項54に記載のコンピュータ読取可能媒体。
  56. 前記1つまたは複数の第1の原理パラメータが、照射源、開口数、および光学収差のう
    ちの1つまたは複数を含む、請求項55に記載のコンピュータ読取可能媒体。
  57. 前記リソグラフィプロセスのモデルが、レジストモデルモジュールをさらに含む、請求
    項54に記載のコンピュータ読取可能媒体。
  58. 前記リソグラフィプロセスのモデルが、マスクモデルモジュールをさらに含む、請求項
    54に記載のコンピュータ読取可能媒体。
  59. 前記複数のサンプリング位置が、公称露光でのプロセス条件およびフォーカスの変更値
    でのサンプリング位置のみを含む、請求項54に記載のコンピュータ読取可能媒体。
  60. 前記複数のサンプリング位置が、公称条件、公称露光条件での正のデフォーカス条件、
    および公称露光条件での負のデフォーカス条件のみを含む、請求項54に記載のコンピュ
    ータ読取可能媒体。
  61. 合計差分測定値が、平方根二乗平均差である、請求項54に記載のコンピュータ読取可
    能媒体。
JP2011119390A 2005-08-08 2011-05-27 単一のプロセスウィンドウモデルを生成するためのシステム Active JP5960953B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70614405P 2005-08-08 2005-08-08
US60/706,144 2005-08-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008526083A Division JP4806020B2 (ja) 2005-08-08 2006-08-02 リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体

Publications (2)

Publication Number Publication Date
JP2011205118A true JP2011205118A (ja) 2011-10-13
JP5960953B2 JP5960953B2 (ja) 2016-08-02

Family

ID=37727903

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008526083A Active JP4806020B2 (ja) 2005-08-08 2006-08-02 リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
JP2011119390A Active JP5960953B2 (ja) 2005-08-08 2011-05-27 単一のプロセスウィンドウモデルを生成するためのシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008526083A Active JP4806020B2 (ja) 2005-08-08 2006-08-02 リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体

Country Status (6)

Country Link
US (3) US7747978B2 (ja)
EP (1) EP1920369A2 (ja)
JP (2) JP4806020B2 (ja)
KR (1) KR100958714B1 (ja)
CN (1) CN101258498B (ja)
WO (1) WO2007019269A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013120290A (ja) * 2011-12-07 2013-06-17 Canon Inc パターン決定方法およびパターン決定装置ならびにプログラム
KR20210151237A (ko) * 2016-10-21 2021-12-13 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스용 보정 결정 방법

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
CN1910516B (zh) * 2004-01-29 2011-01-12 克拉-坦科技术股份有限公司 用于检测标线设计数据中的缺陷的计算机实现方法
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
EP1920369A2 (en) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7617477B2 (en) * 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
WO2007048442A1 (en) * 2005-10-28 2007-05-03 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1804119A1 (en) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8370773B2 (en) * 2006-08-16 2013-02-05 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit using inverse lithography technology
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US7856612B1 (en) 2006-09-28 2010-12-21 Gauda, Inc. Lithography mask design through mask functional optimization and spatial frequency analysis
WO2008077100A2 (en) * 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
WO2008086282A2 (en) * 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
KR101591100B1 (ko) * 2007-01-18 2016-02-02 가부시키가이샤 니콘 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
US20080180696A1 (en) * 2007-01-30 2008-07-31 Sony Corporation Process window for EUV lithography
JP4328811B2 (ja) 2007-02-27 2009-09-09 キヤノン株式会社 レジストパターン形状予測方法、プログラム及びコンピュータ
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US8001492B2 (en) * 2007-06-28 2011-08-16 Linden Design Technologies, Inc. Evaluation method for interconnects interacted with integrated-circuit manufacture
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US7975245B2 (en) * 2007-08-20 2011-07-05 Kla-Tencor Corp. Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP4568341B2 (ja) * 2008-03-19 2010-10-27 株式会社東芝 シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法
JP2009231767A (ja) * 2008-03-25 2009-10-08 Toshiba Corp リソグラフィープロセスウィンドー解析方法およびその解析プログラム
DE102008015806B4 (de) * 2008-03-27 2015-07-16 Infineon Technologies Ag Verfahren zum Kalibrieren eines Simulations- oder Entwurfsverfahrens, zum Entwerfen oder Herstellen einer Maske oder zum Herstellen eines Bauelements
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
CN102037550B (zh) * 2008-05-21 2012-08-15 恪纳腾公司 使工具与工艺效果分离的衬底矩阵
US8015513B2 (en) * 2008-05-30 2011-09-06 Texas Instruments Incorporated OPC models generated from 2D high frequency test patterns
CN102057330B (zh) 2008-06-03 2013-07-17 Asml荷兰有限公司 基于模型的扫描器调节方法
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
NL2003143A1 (nl) 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
KR100990880B1 (ko) 2008-11-12 2010-11-01 주식회사 동부하이텍 핫 스팟 라이브러리 생성 방법
US8516401B2 (en) * 2008-11-19 2013-08-20 Mentor Graphics Corporation Mask model calibration technologies involving etch effect and exposure effect
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8255838B2 (en) * 2010-01-15 2012-08-28 Synopsys, Inc. Etch-aware OPC model calibration by using an etch bias filter
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
US8276102B2 (en) * 2010-03-05 2012-09-25 International Business Machines Corporation Spatial correlation-based estimation of yield of integrated circuits
US8285030B2 (en) * 2010-03-15 2012-10-09 Synopsys, Inc. Determining calibration parameters for a lithographic process
US8234601B2 (en) * 2010-05-14 2012-07-31 International Business Machines Corporation Test pattern for contour calibration in OPC model build
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
KR20120090362A (ko) * 2011-02-07 2012-08-17 삼성전자주식회사 마스크 레이아웃 보정 방법 및 장치
US8443309B2 (en) * 2011-03-04 2013-05-14 International Business Machines Corporation Multifeature test pattern for optical proximity correction model verification
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP2013004672A (ja) * 2011-06-15 2013-01-07 Toshiba Corp シミュレーションモデル作成方法
US8572518B2 (en) 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103472672B (zh) * 2012-06-06 2016-01-06 中芯国际集成电路制造(上海)有限公司 校正光学邻近校正模型的方法
US9424372B1 (en) * 2012-06-11 2016-08-23 D2S, Inc. System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process
US8631359B1 (en) * 2012-08-07 2014-01-14 Synopsys, Inc. System and technique for modeling resist profile change sensitivity at different heights
JP5917337B2 (ja) 2012-08-24 2016-05-11 株式会社東芝 パターンデータ作成方法
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9404743B2 (en) * 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US8741511B1 (en) 2012-12-19 2014-06-03 Globalfoundries Singapore Pte. Ltd. Determination of lithography tool process condition
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US10274839B2 (en) * 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US8782572B1 (en) 2013-03-13 2014-07-15 United Microelectronics Corp. Method of optical proximity correction
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US9317632B2 (en) 2013-03-14 2016-04-19 Coventor, Inc. System and method for modeling epitaxial growth in a 3-D virtual fabrication environment
AU2013382989B2 (en) 2013-03-22 2018-06-07 Eth Zurich Laser ablation cell
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102238708B1 (ko) 2014-08-19 2021-04-12 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US10866523B2 (en) 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10394136B2 (en) 2015-09-30 2019-08-27 Asml Netherlands B.V. Metrology method for process window definition
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
KR102160217B1 (ko) * 2015-12-22 2020-09-28 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
WO2017210153A1 (en) 2016-05-30 2017-12-07 Coventor, Inc. System and method for electrical behavior modeling in a 3d virtual fabrication environment
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
CN106094423B (zh) * 2016-08-22 2019-11-22 上海华力微电子有限公司 一种光刻工艺优化方法
CN110121681B (zh) * 2016-12-28 2022-04-01 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN110325921B (zh) * 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
US11144701B2 (en) 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment
WO2019048506A1 (en) 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP
WO2019063245A1 (en) 2017-09-28 2019-04-04 Asml Netherlands B.V. LITHOGRAPHIC METHOD
KR102440220B1 (ko) 2017-10-11 2022-09-06 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
CN111492317B (zh) * 2017-12-22 2023-01-10 Asml荷兰有限公司 用于减少抗蚀剂模型预测误差的***和方法
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
CN117170173A (zh) 2018-02-18 2023-12-05 Asml荷兰有限公司 二元化方法和自由形式的掩模优化流程
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
US11314172B2 (en) * 2018-03-20 2022-04-26 Asml Netherlands B.V. Instant tuning method for accelerating resist and etch model calibration
US10572697B2 (en) * 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
CN116841129A (zh) 2018-05-07 2023-10-03 Asml荷兰有限公司 用于确定与计算光刻掩模模型相关联的电磁场的方法
KR20230141951A (ko) 2018-06-04 2023-10-10 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
US11544440B2 (en) * 2018-06-15 2023-01-03 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
CN112424694B (zh) 2018-07-12 2023-10-20 Asml荷兰有限公司 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
CN112602020A (zh) 2018-08-15 2021-04-02 Asml荷兰有限公司 利用机器学***均扫描电镜图像
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
WO2020078844A1 (en) 2018-10-19 2020-04-23 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
CN112969966A (zh) 2018-11-05 2021-06-15 Asml控股股份有限公司 在硬陶瓷涂层中制造纳米脊的方法
CN112969968B (zh) 2018-11-08 2024-06-11 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
CN113168556A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于降低机器学习模型预测中的不确定性的方法
WO2020108902A1 (en) 2018-11-30 2020-06-04 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
CN113227899A (zh) 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
WO2020141051A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
NL2024815A (en) 2019-02-19 2020-08-27 Asml Holding Nv Laser roughening: engineering the roughness of the burl top
WO2020173687A1 (en) 2019-02-27 2020-09-03 Asml Netherlands B.V. Improve gauge selection for model calibration
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
KR20210127984A (ko) 2019-03-21 2021-10-25 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3953765B1 (en) 2019-04-09 2023-01-11 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
CN118068659A (zh) 2019-04-30 2024-05-24 Asml荷兰有限公司 用于光刻成像的方法和设备
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
WO2021023602A1 (en) 2019-08-08 2021-02-11 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
CN114402262A (zh) 2019-08-30 2022-04-26 Asml荷兰有限公司 半导体器件几何方法和***
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
KR20220038501A (ko) * 2019-09-06 2022-03-28 에이에스엠엘 네델란즈 비.브이. 매개변수화된 모델 예측에서의 확실성을 증가시키는 방법
CN114787713A (zh) 2019-11-01 2022-07-22 Asml荷兰有限公司 用于模型基础对准的基于机器学习的图像产生
US20220390832A1 (en) 2019-11-19 2022-12-08 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和***
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
CN115087925A (zh) 2020-02-12 2022-09-20 Asml荷兰有限公司 包括使用经训练机器学习模型的光学邻近效应校正的用于确定掩模图案的方法
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
TWI791216B (zh) 2020-05-09 2023-02-01 荷蘭商Asml荷蘭公司 判定用於基板上之圖案的部分之度量
CN115668203A (zh) 2020-06-02 2023-01-31 Asml荷兰有限公司 验证掩模设计的自由曲线特征
KR20230005381A (ko) 2020-06-03 2023-01-09 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 및 이에 대한 패턴을 생성하는 시스템, 제품, 및 방법
EP4165471A1 (en) 2020-06-10 2023-04-19 ASML Netherlands B.V. Aberration impact systems, models, and manufacturing processes
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
CN115917438A (zh) 2020-08-19 2023-04-04 Asml荷兰有限公司 用于从原始图像自动选择高品质图像的设备和方法
EP4200671A1 (en) 2020-08-19 2023-06-28 ASML Netherlands B.V. Systems, products, and methods for image-based pattern selection
KR20230070230A (ko) 2020-09-25 2023-05-22 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 스캐너 스루풋 및 이미징 품질의 최적화
TWI834063B (zh) 2020-09-30 2024-03-01 荷蘭商Asml荷蘭公司 減輕幫浦故障造成之損害之真空系統
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
KR20230117366A (ko) 2020-12-18 2023-08-08 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
CN116635785A (zh) 2020-12-21 2023-08-22 Asml荷兰有限公司 用于图案区域的基于特征的单元提取
US20240119582A1 (en) 2021-02-23 2024-04-11 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
WO2022189180A1 (en) 2021-03-08 2022-09-15 Asml Netherlands B.V. Method of pattern selection for a semiconductor manufacturing related process
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
WO2023280511A1 (en) 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
WO2023285071A1 (en) 2021-07-13 2023-01-19 Asml Netherlands B.V. Pattern selection for source mask optimization and target optimization
WO2023001459A1 (en) 2021-07-21 2023-01-26 Asml Netherlands B.V. Systems and methods for thermally stable mounting of optical columns
KR20240036674A (ko) 2021-07-30 2024-03-20 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 생성하는 방법
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
CN113985705B (zh) * 2021-10-18 2022-11-11 中国科学院微电子研究所 一种快速实现光刻***精密校准的光学方法及装置
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
CN118235087A (zh) 2021-11-17 2024-06-21 Asml荷兰有限公司 基于蚀刻偏差方向确定蚀刻效果
CN118265950A (zh) 2021-11-19 2024-06-28 Asml荷兰有限公司 模拟模型稳定性确定方法
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact
WO2024110141A1 (en) 2022-11-22 2024-05-30 Asml Netherlands B.V. Curvilinear polygon recovery for opc mask design

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243291A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
JP2004157160A (ja) * 2002-11-01 2004-06-03 Sony Corp プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
WO2004066028A2 (en) * 2003-01-17 2004-08-05 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
JP2004247737A (ja) * 2003-02-11 2004-09-02 Asml Netherlands Bv リソグラフ装置および光リソグラフシミュレーションを用いて照明源を最適化する方法
WO2005040917A2 (en) * 2003-10-07 2005-05-06 Brion Technologies, Inc. System and method for lithography simulation
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
JP2005217378A (ja) * 2004-02-02 2005-08-11 Toshiba Corp 露光投影像予測システム及び露光投影像予測方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3339174B2 (ja) * 1993-11-08 2002-10-28 ソニー株式会社 フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JP2000232057A (ja) * 1999-02-10 2000-08-22 Hitachi Ltd レジストパターンのシミュレーション方法およびパターン形成方法
DE60214506T2 (de) * 2001-10-09 2007-05-16 Asml Masktools B.V. Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
JP2003164797A (ja) * 2001-11-30 2003-06-10 Japan Science & Technology Corp 高分子溶液膜の塗布・乾燥方法
US6749972B2 (en) * 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6954911B2 (en) * 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
KR20050088238A (ko) * 2002-12-30 2005-09-02 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 최선 공정 변수와 최적 공정 윈도우 결정 방법과 컴퓨터프로그램 및 이를 이용한 리소그래피 공정, 디바이스,리소그래피 마스크
JP4364524B2 (ja) * 2003-02-20 2009-11-18 株式会社日立製作所 パターン検査方法
JP2005099765A (ja) * 2003-08-28 2005-04-14 Toshiba Corp プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
US7042551B2 (en) * 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7470492B2 (en) * 2004-10-29 2008-12-30 Intel Corporation Process window-based correction for photolithography masks
US7444615B2 (en) * 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7224437B2 (en) * 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
EP1920369A2 (en) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
JP2003243291A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
JP2004157160A (ja) * 2002-11-01 2004-06-03 Sony Corp プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
WO2004066028A2 (en) * 2003-01-17 2004-08-05 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
JP2004247737A (ja) * 2003-02-11 2004-09-02 Asml Netherlands Bv リソグラフ装置および光リソグラフシミュレーションを用いて照明源を最適化する方法
WO2005040917A2 (en) * 2003-10-07 2005-05-06 Brion Technologies, Inc. System and method for lithography simulation
JP2005217378A (ja) * 2004-02-02 2005-08-11 Toshiba Corp 露光投影像予測システム及び露光投影像予測方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013120290A (ja) * 2011-12-07 2013-06-17 Canon Inc パターン決定方法およびパターン決定装置ならびにプログラム
KR20210151237A (ko) * 2016-10-21 2021-12-13 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스용 보정 결정 방법
KR102450492B1 (ko) 2016-10-21 2022-09-30 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스용 보정 결정 방법

Also Published As

Publication number Publication date
WO2007019269A3 (en) 2007-11-08
WO2007019269A2 (en) 2007-02-15
KR20080043335A (ko) 2008-05-16
CN101258498B (zh) 2011-04-13
KR100958714B1 (ko) 2010-05-18
US8245160B2 (en) 2012-08-14
EP1920369A2 (en) 2008-05-14
US20100229147A1 (en) 2010-09-09
JP2009505400A (ja) 2009-02-05
US8065636B2 (en) 2011-11-22
JP4806020B2 (ja) 2011-11-02
US20070031745A1 (en) 2007-02-08
CN101258498A (zh) 2008-09-03
US7747978B2 (en) 2010-06-29
JP5960953B2 (ja) 2016-08-02
US20120017183A1 (en) 2012-01-19

Similar Documents

Publication Publication Date Title
JP5960953B2 (ja) 単一のプロセスウィンドウモデルを生成するためのシステム
KR102644214B1 (ko) 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
KR101096145B1 (ko) 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
JP5008681B2 (ja) リソグラフィシミュレーションのための装置
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
US7488933B2 (en) Method for lithography model calibration
US8200468B2 (en) Methods and system for lithography process window simulation
JP5033860B2 (ja) 高速感度モデル計算のためのデルタtcc
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
US8279409B1 (en) System and method for calibrating a lithography model
CN111512237A (zh) 基于缺陷概率的过程窗口
JP2004133426A (ja) 自動光近接補正(opc)ルール作成
TWI836350B (zh) 用於判定對光罩之光學接近校正的非暫時性電腦可讀媒體
US9779186B2 (en) Methods for performing model-based lithography guided layout design
KR20190026887A (ko) 디자인 레이아웃들의 컴퓨터 분석의 성능 메트릭 시각화
KR20190039579A (ko) 노광후 공정들의 모델링
CN111512236A (zh) 涉及光学像差的图案化过程改进
CN116324617A (zh) 用于掩模综合的随机感知光刻模型

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130426

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140723

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141216

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141224

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160624

R150 Certificate of patent or registration of utility model

Ref document number: 5960953

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250