JP4904034B2 - レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 - Google Patents

レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 Download PDF

Info

Publication number
JP4904034B2
JP4904034B2 JP2005266020A JP2005266020A JP4904034B2 JP 4904034 B2 JP4904034 B2 JP 4904034B2 JP 2005266020 A JP2005266020 A JP 2005266020A JP 2005266020 A JP2005266020 A JP 2005266020A JP 4904034 B2 JP4904034 B2 JP 4904034B2
Authority
JP
Japan
Prior art keywords
reticle
layout data
data
features
simulation image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005266020A
Other languages
English (en)
Other versions
JP2006085175A (ja
Inventor
ゴーラヴ・ヴェルマ
ランス・グラッサー
モッシェ・イー・プレイル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2006085175A publication Critical patent/JP2006085175A/ja
Application granted granted Critical
Publication of JP4904034B2 publication Critical patent/JP4904034B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

本発明は一般にレチクル・レイアウト・データを評価するための方法、システム、搬送媒体に関する。特定の実施態様は、レチクル・レイアウト・データの製造可能性、検査可能性及び/又は印刷可能性を決定するステップを含むコンピュータ実施方法に関する。
本出願は、参照によりそのすべてを示したものとして本明細書に組み込まれている、2004年9月14日に出願した「Methods,systems,and Carrier Media for Evaluating Reticle Layout Data」という名称の米国仮出願第60/609,670号の優先権を主張するものである。
以下の説明及び例は、本節におけるそれらの内容により、従来技術とは見なされない。
集積回路を設計するためには、特定の機能を実行するように配置され、かつ、結合された個々のデバイスを含んだ概略設計が必要である。集積回路が複雑になるにつれて集積回路の設計も複雑になる。例えば、集積回路は、通常、集積回路の速度や他の特性を改善するために、寸法がより短くなり、かつ、回路密度がより高くなるように設計されている。
集積回路の設計は、電子自動設計(EDA)、計算機援用設計(CAD)、その他の集積回路設計ソフトウェアなどの当分野で知られている任意の方法やシステムを使用して開発することができる。このような方法とシステムを使用することにより、集積回路設計から回路パターン・データベースを生成することができる。この回路パターン・データベースには、集積回路の様々な層のための複数のレイアウトを表すデータが含まれている。回路パターン・データベースのデータを使用して複数のレチクルのレイアウトを決定することができる。レチクルすなわち「マスク」は、パターンを基板上のレジストへ転写するときリソグラフィ・プロセスで使用されている。「レチクル」と「マスク」という用語は、本明細書においては互換可能に使用されている。
通常、レチクルのレイアウトには、レチクル上のパターン中にフィーチャを形成する複数の多角形が含まれている。これらの多角形は、通常、多角形のサイズとレチクルの配置によって決めることができる。個々のレチクルを使用して集積回路の様々なレイアウトの1つを製造することができる。集積回路の層は、例えば半導体基板中の接合パターン、ゲート誘電体パターン、ゲート電極パターン、層間絶縁膜中のコンタクト・パターン、メタライゼーション層上の相互接続パターンを含むことができる。
詳細には、レチクルを使用してリソグラフィ・プロセス・ステップの中でレジストがパターン化され、次にパターン化されたレジストを使用して集積回路のフィーチャがウェハ上に形成される。したがって、レチクル上に形成される、ウェハに転写すべきパターン化フィーチャは、集積回路設計に含まれるフィーチャの特性を反映している。例えば、レチクル上に形成されるフィーチャに基づいて、かつ、それらを使用して、上で説明したような集積回路の個々のコンポーネントが形成される。したがって集積回路設計の複雑性は、レチクルの製造及び検査に直接影響している。
したがって、集積回路の設計が複雑になるほど首尾良くレチクルを製造することが困難になる。例えば、集積回路のフィーチャの寸法が短くなり、フィーチャとフィーチャの間の間隔が狭くなるにつれて、レチクル上のフィーチャの寸法が短くなり、間隔が狭くなる。したがって、例えばレチクル製造プロセスの限界のため、このようなフィーチャをレチクル上に形成することがますます困難になる。また、レチクル検査プロセスの限界のため、このようなフィーチャの検査がますます困難になる。さらに、当分野で知られているように、寸法が短くなり、間隔が狭くなるほど、このようなフィーチャを首尾良くウェハ上に再現することが困難になる。
また、集積回路フィーチャの寸法が、レチクル・パターンをウェハ上に印刷するために使用されるエネルギー源の波長に近づくと、ウェハへのレチクル・フィーチャの転写精度を改善するために、光近接修正(optical proximity correction:OPC)フィーチャなどのレチクル改良技法(reticle enhancement techmiques:RET)がますます利用されることになる。詳細には、RETフィーチャにより、レチクル上に物理的に形成されているパターンとは著しく異なるパターンがウェハ上に印刷されることになる。レチクル改良技法の例には、それらに限定されないが、OPCフィーチャ、移相領域、偏光レチクル、多重露光、オフアクシス照明、照明形状、ダイポール照明がある。
OPCフィーチャは、通常、レチクル上に形成されるが、ウェハ上には印刷しないサブ解像度フィーチャの態様を取っている。OPCフィーチャは、ウェハ上への印刷に代わって、フィーチャのコーナなどの特定の部分に最も近いウェハ上に入射する光の量を増減するように設計されている。このOPCフィーチャは、レチクルの設計、製造、検査をさらに困難にしているが、フィーチャを印刷する際の許容可能な特性の提供がこれらのフィーチャによって促進されるため、OPCフィーチャもしくは他のタイプのRETフィーチャは、現在、ほとんどすべてのレチクルに含まれている。また、マスク・エラー改良係数(mask error enhancement factor:MEEF)などの光効果は、ウェハ・レベルにおける最終画像にひずみが追加される原因になっている。MEEFは、一般に、レジスト中に印刷されるフィーチャの臨界寸法と、レチクル上に形成される構造の臨界寸法の比率として定義することができる。
通常、レチクルの製造に先立って、集積回路の設計から生成されるレチクル・レイアウト・データがチェックされる。このレチクル・レイアウト・データのチェックには、通常、設計ルール・チェック(DRC)技法及び/又は光学ルール・チェック(ORC)技法が使用されている。DRCツールは、マスク・レイアウト・ファイルの設計ルール違反をチェックし、かつ、出力ファイル中のあらゆる違反を識別している。設計ルールには、例えば最小ライン間隔、最小ライン幅、最小ゲート幅あるいは他の幾何学レイアウト・パラメータが含まれている。設計ルールは、例えば、得られた設計レイアウトを製造するために使用される製造プロセスに基づいている。ORCツールは、通常、ウェハに期待される性能をシミュレートし、ウェハの構造が1組の製造公差に違反しているかどうかを決定することによってエッジ・コレクションを解析している。したがって、光学ルールは、レチクルを使用してウェハを製造するために使用されるリソグラフィ・プロセスに基づいている。
当分野においては多くのDRC技法とORC技法が知られており、DRCやORCの結果を使用して設計ルール違反もしくは光学ルール違反が修正されている。例えば、参照によりそのすべてを示したものとして本明細書に組み込まれている、Rittmanによる米国特許出願公告第2002/0144230号に、マスク・レイアウト・ファイル中の設計ルール違反を修正するためのシステムと方法が示されている。Rittmannによる方法の1つには、マスク・レイアウト・ファイル中のフィーチャ寸法と技術ファイル中の設計ルールを比較することによってマスク・レイアウト・ファイル中の設計ルール違反を修正するステップが含まれている。フィーチャ寸法が設計ルールより短い場合、設計ルール違反として識別され、マスク・レイアウト・ファイル中で自動的に修正される。マスク・レイアウト・ファイル中の設計ルール違反は、技術ファイル中の設計ルールに概ね等しくなるか、あるいはそれより長くなるまでフィーチャ寸法を調整することによって自動的に修正することができる。上記方法には、マスク・レイアウト・ファイルを自動的に修正し、それによりレイアウト設計者が手動でマスク・レイアウト・ファイルを修正した場合に生じ得る新しい設計ルール違反を除去することが意図されている。
当分野で知られている、マスク・レイアウト・ファイル中の設計ルール違反を修正するための上記方法や他の方法は、ある程度成功しているが、現在使用されているDRCやORCシステムにはいくつかの限界がある。例えば、典型的なシステムは、通常、レイアウトとRETデコレーション・プログラムによって引き出されるデータ上でもっぱら動作しており、マスク製造プロセスやウェハ印刷プロセスの間にデータに生じる変化は考慮されていない。多くの場合、マスク・メーカすなわち「ショップ」は、設計者に知らせることなくデータのサイズを修正している。これらのプロセス・バイアスによって、設計に含まれているフィーチャの一部、とりわけ散乱バー、セリフあるいは微小エッジ拡張などのサブ解像度OPC構造が変化し、それらが非常に小さくなってデータから完全に消えてしまうか、あるいは逆に非常に大きくなってレチクル・プロセスもしくはウェハ印刷プロセスのいずれかにおけるブリッジ形成などの問題の原因になることがある。
他の例では、プロセスが複雑になるにつれて設計ルールのリストが非現実的に長くなる。数年前は、設計ルールの作成は1枚の用紙で足りたが、現在のプロセスはチェックしなければならない設計ルールが優に1,000を超えることがある。設計ルールをソフトウェア中に符号化し、デバイスの歩留りを小さくすることになるすべての可能なパターン化エラーをそれらが完全に包含していることを保証することがますます困難になっている。設計ルール開発者がデバイスの性能に影響するあらゆる可能違反を予想することができない場合、これらの違反は、検出されることなくDRCシステムを通過することになる。ORCシステムであっても、より詳細なシミュレーションを利用している間、依然として、コンポーネントをチェックするための長いルール・リストを手動で入力しなければならない。
また、DRCやORCシミュレーションでは、マスク製造プロセスの詳細が得られず、また、実際にレチクル上に作成されることになるパターンを反映するためのパターン較正手段が提供されない。他の例では、既存のチェッカには、設計プログラムによってレイアウトされたパターンをレチクル処理ツールを使用して実際に作成することができるかどうかの基本的な問題が考慮されていない。例えば、現在の最新技術の集積回路設計は、現在のルール・チェックに合格する複雑な設計やレイアウトを作成することができるが、いずれにしても、レチクル上に正しく作成し、レチクル上で検査し、かつ/又はウェハ・レベルで印刷するにはRETパターンが複雑すぎるため、製造することは不可能である。いわゆる「構造による修正」手法でさえ、元の予備OPCレイアウトを最適化して設計ルール違反を有するパターンを除去しているだけである。しかし、OPC構造が追加されると、依然として多数の設計ルール違反が存在する可能性がある。したがって、既存のルール・チェッカは、理想化されたデータに対して作用しており、設計からレチクルとウェハ・レベルに至る製造可能なプロセスをもたらす設計を作成し、かつ、検査することができるか否かの基本的な問題が対処されていない。
多くの設計データベースは、初期物理設計を利用し、設計データを10倍以上に拡張する非常に複雑なRETを追加している。これらの巨大なレイアウト・ファイルには、微小ジョグ、エッジ拡張、場合によってはマスク作成ツールでは再現できない微小臨界寸法(CD)変動が含まれている。得られる大規模データ・ファイルによって極めて長く、かつ、高価なレチクル作成時間がもたらされるが、このレチクル作成時間は、微小CD変動やサブ解像度ジョグのすべてを使用してパターンを再現することができないため、基本的には無駄になる。
さらに他の例では、現在利用可能なDRCやORCシステムには、パターンを適切に検査することができるか否かが考慮されていない。例えば、パターンを作成することができたとしても、このようなパターンが適切に作成されたことを検証することができる検査ツールを利用することができず、また、このようなパターンを印刷することができる露光ツールの利用が可能であるとも思われない。したがってその努力は無駄である。微小RET実施態様の中には、レチクル上にパターンを作成する試行の中で決めることができるパターンがそのパターンの一部でしかなく、最終的にはレチクル上に、延いてはウェハ上に欠陥を生成する可能性があるため、実際に意図する効果とは逆効果の実施態様もある。レチクル上へのこのような大規模データ・ファイルの作成は比較的高価である。したがって、マスク作成プロセスのための設計に専念する前に、データ中のこのような問題を検出することが大いに望ましく、それにより時間と金が節約される。
米国仮出願第60/609,670号 米国特許出願公告第2002/0144230号 米国特許第6,691,052号 米国特許出願第10/679,857号 米国特許出願第10/793,599号 米国特許第6,529,621号 PCT出願第WO 00/36525号
したがって、レチクル・レイアウト・データを評価するために使用することができ、それによりレチクル・レイアウト・データの製造可能性、検査可能性及び/又は印刷可能性を決定することができ、かつ、上で説明した欠点の少なくとも一部を除去することができる方法、システム及び搬送媒体が開発されることが有利である。
コンピュータ実施方法、搬送媒体、システムの様々な実施態様についての以下の説明は、特許請求の範囲の主題を何ら制限するものではない。
一実施態様は、レチクル・レイアウト・データを評価するためのコンピュータ実施方法に関している。この方法には、レチクル・レイアウト・データをレチクル製造プロセスのモデルに対する入力として使用してシミュレーション画像を生成するステップが含まれている。このシミュレーション画像は、レチクル・レイアウト・データのフィーチャをレチクル製造プロセスを使用してレチクル上に形成する方法を示している。また、上記方法には、シミュレーション画像を使用してレチクル・レイアウト・データの製造可能性を決定するステップが含まれている。この製造可能性は、レチクル上にいかに正確にフィーチャを形成することができるかを示す程度である。
一実施態様では、シミュレーション画像に設計ルール・チェックを適用することによって製造可能性が決定される。他の実施態様では、シミュレーション画像とレチクル・レイアウト・データを比較することによって製造可能性が決定される。さらに他の実施態様では、シミュレーション画像の様々な部分を、設計者が意図するその様々な部分に関連するデータに基づいて解析することによって製造可能性が決定される。いくつかの実施態様では、上記方法には、シミュレーション画像の生成に先立って、レチクル処理バイアスを使用してレチクル・レイアウトを変更するステップが含まれている。また、上記方法は、レチクル製造プロセスを使用して製造されたレチクル上で測定されたデータを使用してモデルを変更するステップを含むことができる。
いくつかの実施態様では、上記方法には、さらに、シミュレーション画像を使用してレチクル・レイアウト・データの検査可能性を決定するステップが含まれている。検査可能性を決定するステップは、レチクルの検査によって生成されるデータが有することになる近似信号対雑音比を決定するステップを含むことができる。また、あるいは別法として、検査可能性を決定するステップは、検査ツールが検出することができるレチクルの最も小さい欠陥のサイズを決定するステップを含むことができる。一実施態様では、検査可能性を決定するステップには、シミュレーション画像の様々な部分を、設計者が意図するその様々な部分に関連するデータに基づいて解析するステップが含まれている。
他の実施態様では、上記方法には、パラメータが異なるレチクル製造プロセスのシミュレーション画像の生成を実行するステップが含まれている。このような実施態様では、異なるパラメータを使用して生成される異なるシミュレーション画像の検査可能性を決定することができる。このような実施態様の1つでは、異なるパラメータには異なるレチクル作成ツールが含まれている。他のこのような実施態様では、上記方法には、他の異なるレチクル作成ツールより高い検査可能性でレチクルを製造することができるレチクル作成ツールを複数の異なるレチクル作成ツールの1つを選択するステップが含まれている。同様に、上記方法は、他のレチクル作成ツールより製造可能性の高いレチクルを製造することができるレチクル作成ツールを選択するステップを含むことができる。
上記方法のいくつかの実施態様には、シミュレーション画像を使用してレチクル・レイアウト・データの印刷可能性を決定するステップが含まれている。このような実施態様の1つでは、印刷可能性を決定するステップには、レチクルのフィーチャをリソグラフィ・プロセスによってウェハ上に印刷する方法を示す追加シミュレーション画像を生成するステップが含まれている。いくつかの実施態様では、印刷可能性を決定するステップには、追加シミュレーション画像に設計ルール・チェックを適用するステップが含まれている。また、レチクル・レイアウト・データの印刷可能性は、追加シミュレーション画像に光学ルール・チェックを適用することによって決定することも可能である。また、印刷可能性を決定するステップは、追加シミュレーション画像とフィーチャの理想画像を比較するステップ、及び追加シミュレーション画像中の個々のフィーチャのエッジ配置と理想画像中の対応するフィーチャのエッジ配置とを比較することによって追加シミュレーション画像中のエラーを突き止めるステップを含むことができる。他の実施態様では、印刷可能性を決定するステップには、追加シミュレーション画像の様々な部分を、設計者が意図するその様々な部分に関連するデータに基づいて解析するステップが含まれている。
上記方法のいくつかの実施態様には、レチクルのフィーチャをリソグラフィ・プロセスによってウェハ上に印刷する方法を示す追加シミュレーション画像を異なるプロセス・パラメータで生成することによって印刷可能性を決定するステップが含まれている。このような実施態様の1つでは、異なるプロセス・パラメータには、タイプが異なるリソグラフィ露光ツールが含まれている。追加の実施態様では、印刷可能性を決定するステップには、レチクルのフィーチャをリソグラフィ・プロセスによってウェハ上に印刷する方法を示す追加シミュレーション画像を、シミュレーション画像をリソグラフィ・プロセス・モデルの入力として使用して生成するステップが含まれている。また、このような方法は、リソグラフィ・プロセスを使用して印刷されたウェハ上で測定されたデータを使用してリソグラフィ・プロセス・モデルを変更するステップを含むことができる。
一実施態様では、シミュレーション画像は、レチクル・レイアウト・データのすべてのフィーチャをレチクル上に形成する方法を示している。レチクル・レイアウト・データは、光近接修正(OPC)フィーチャ・データ、収差修正フィーチャ・データ、移相フィーチャ・データもしくはそれらの組合せを含むことができる。いくつかの実施態様では、上記方法には、1つ又は複数の改訂レチクル製造プロセスを生成するために、レチクル製造プロセスの1つ又は複数のパラメータを変更するステップが含まれている。また、このような方法は、1つ又は複数の改訂レチクル製造プロセスのシミュレーション画像を生成するステップ、及び1つ又は複数の改訂レチクル製造プロセスのためのレチクル・レイアウト・データの製造可能性を決定するステップを含むことができる。また、上記方法は、1つ又は複数の改訂レチクル製造プロセスの中から、レチクル・レイアウト・データの製造可能性を最も著しく改善する改訂レチクル製造プロセスを決定するステップを含むことができる。このような実施態様の1つでは、1つ又は複数のパラメータは、タイプが異なるマスク作成ツールを含むことができる。
いくつかの実施態様では、レチクル・レイアウト・データの製造可能性を決定するステップには、シミュレーション画像に基づいてレチクル・レイアウト・データ中の欠陥を識別するステップが含まれている。このような実施態様の1つには、ユーザによる欠陥の調査とその欠陥を修正するためのレチクル・レイアウト・データの変更を許容するステップが含まれている。この方法によれば、上記方法を使用して「手動」でレチクル・レイアウト・データを修正することができる。異なる実施態様では、上記方法には、欠陥を修正するためにレチクル・レイアウト・データを変更するステップが含まれている。したがって、上記方法は、「自動」すなわちコンピュータ実施レチクル・レイアウト・データ修正を含むことができる。上で説明した方法の実施態様の各々は、本明細書において説明されている1つ又は複数の他の任意のステップを含むことができる。
他の実施態様は搬送媒体に関している。搬送媒体は、レチクル・レイアウト・データを評価するための方法を実行するコンピュータ・システム上で実行可能なプログラム命令を備えている。上記方法には、レチクル・レイアウト・データをレチクル製造プロセスのモデルに対する入力として使用してシミュレーション画像を生成するステップが含まれている。このシミュレーション画像は、レチクル・レイアウト・データのフィーチャをレチクル製造プロセスを使用してレチクル上に形成する方法を示している。また、上記方法には、シミュレーション画像を使用してレチクル・レイアウト・データの製造可能性を決定するステップが含まれている。この製造可能性は、レチクル上にいかに正確にフィーチャを形成することができるかを示す程度である。上記方法は、本明細書において説明されている1つ又は複数の他の任意のステップを含むことができる。搬送媒体は、本明細書において説明されている他の構成にすることも可能である。
他の実施態様は、レチクル・レイアウト・データを評価するようになされたシステムに関している。このシステムはコンピュータ・システムを備えている。また、システムは、レチクル・レイアウト・データをレチクル製造プロセスのモデルに対する入力として使用してシミュレーション画像を生成するためのコンピュータ・システム上で実行可能なプログラム命令を備えた搬送媒体を備えている。このシミュレーション画像は、レチクル・レイアウト・データのフィーチャをレチクル製造プロセスを使用してレチクル上に形成する方法を示している。また、プログラム命令は、シミュレーション画像を使用してレチクル・レイアウト・データの製造可能性を決定するためのコンピュータ・システム上でも実行可能である。この製造可能性は、レチクル上にいかに正確にフィーチャを形成することができるかを示す測度である。このシステムは、本明細書において説明されている他の構成にすることも可能である。
添付の図面を参照して行う好ましい実施形態についての以下の詳細な説明の恩恵を受ける当業者には、本発明の他の利点が明らかになるであろう。
本発明には様々な改変及び代替形態が可能であるが、添付の図面は、一例として本発明の特定の実施形態を示したものであり、本明細書においては、その特定の実施形態について詳細に説明する。添付の図面はスケール通りには描かれていない。添付の図面と添付の図面についての詳細な説明は、開示する特定の形態への本発明の制限を意図したものではなく、逆に、特許請求の範囲で定義されている本発明の精神及び範囲の範疇であるあらゆる改変、均等物、代替形態を包含することを意図したものであることを理解されたい。
「レチクル」と「マスク」という用語は、本明細書においては互換可能に使用されている。レチクルは、通常、ガラス、ホウケイ酸ガラス、石英ガラスなどの透明基板を備えており、透明基板には、不透明領域がパターンの形態で形成されている。不透明領域は、透明基板中にエッチングされた領域に置き換えることも可能である。当分野においては異なる多くのタイプのレチクルが知られており、したがって本明細書に使用されているレチクルという用語には、すべてのタイプのレチクルが包含されている。
レチクルを製造するためのプロセスは、ウェハをパターン化するプロセスに類似している。例えば、レチクルを製造する目的は、通常、ガラスなどの実質的に透明な基板上の、例えば比較的薄いクロム層などの不透明材料中にパターンを形成することである。また、レチクルの製造に使用することができる他の適切な不透明材料には、それらに限定されないが、クロムや酸化クロム、窒化クロム、モリブデン/ケイ素がある。クロム層の適切な厚さは約1000Åであり、スパッタリングによってガラス基板に付着させることができる。レチクルの製造に使用することができる他の適切な透明材料には、露光システムの波長に対して比較的安定した寸法及び透過率特性を有するホウケイ酸ガラスあるいは石英ガラス(SiO2すなわち「水晶」)がある。また、追加材料をレチクルの製造に使用することも可能である。例えば、不透明材料の下を覆う膜は、粘着層として作用させることができる。このような粘着層は、例えば、クロム、窒素、酸化物の混合物を含むことができる。また、不透明材料の頂部に形成された膜は、反射防止層として作用させることができる。適切な反射防止層は、例えば比較的薄いCr23層から形成することができる。
レチクルの製造には、パターン生成などの多数の異なるステップを含む。このパターン生成には、シャッタが移動して開き、精密に形状化された光のパターンがレジストを照射し、それにより所望のパターンの生成が可能になると、クロム層とレジスト層が形成されたガラス基板を光源の下へ移動させるステップを含む。別法としては、レーザ直接描画露光もしくは電子ビーム直接描画露光を使用してレチクルを製造することができる。レーザ露光は、標準光レジストの使用を可能にし、また、電子ビーム直接描画露光より高速である。また、レーザ・システムは、購入と運用がより安価である。直接描画レーザ源は、音響光学変調器(AOM)を使用してターン・オン及びターン・オフされる。California州Hayward在所のETEC Systems社から入手することができるALTA3000(登録商標)レーザ・ライタは、商用的に入手可能な直接描画レーザ・システムの一例である。直接描画電子ビーム・システムは、レーザ・システムより微細なライン解像度を生成するため、複雑なレチクルの製造にしばしば使用されている。また、直接描画電子ビーム・システムは、レーザ・システムより大きいダイ・サイズを作成することも可能である。商用的に入手可能な直接描画電子ビーム・システムの例には、ETEC Systems社から入手することができるMEBES4500システム及び5000システムがある。
本明細書において使用されているように、「ウェハ」という用語は、一般に、半導体材料もしくは非半導体材料から形成された基板を意味している。このような半導体材料もしくは非半導体材料の例には、それらに限定されないが、単結晶シリコン、ヒ素ガリウム、リン化インジウムがある。このような基板は広く見出すことができ、かつ/又は半導体製造設備で処理することができる。ウェハは、基板上に形成された1つ又は複数の層を備えることができ、例えばこのような層は、それらに限定されないが、レジスト、誘電体材料、導電材料を含む。当分野においてはタイプが異なる多くのこのような層が知られており、したがって本明細書に使用されているウェハという用語には、すべてのタイプのこのような層を備えたウェハが包含されている。
ウェハ上に形成された1つ又は複数の層は、パターン化することも、あるいはパターン化しない状態で維持することも可能である。例えば、ウェハは、反復可能パターン・フィーチャを個々に有する複数のダイを含むことができる。このような材料の層を形成し、かつ、処理することにより、完成したデバイスを最後に得ることができる。タイプが異なる多数のデバイスをウェハ上に形成することができ、したがって本明細書に使用されているウェハという用語には、当分野で知られているあらゆるタイプのデバイスが製造されているウェハが包含されている。本明細書においては、集積回路に関する実施形態が説明されているが、本明細書において説明されている実施形態は、当分野で知られているあらゆるデバイスのためのレチクル・レイアウト・データに使用することができることを理解されたい。
本明細書において使用されているように、「リソグラフィ・システム」という用語は、一般に、光を使用してレチクルの画像をウェハ上に印刷するあらゆるリソグラフィ・システムを意味している。リソグラフィ・システムは、走査投影システムもしくはステップ及び走査システムを備えることができる。これらはいずれも、一般に「スキャナ」もしくはステップ・アンド・リピート・システムと呼ばれており、ステップ・アンド・リピート・システムは「ステッパ」とも呼ばれている。リソグラフィ・システムは、当分野で知られている、Nikon、ASM Lithography、CanonあるいはIntegrated Solution社から商用的に入手することができるシステムなどの任意の露光システムを備えることができる。「リソグラフィ・システム」、「スキャナ」、「ステッパ」という用語は、本明細書においては互換可能に使用されている。
OPCと移相マスク(PSM)などの解像度改良技術(RET)は、露光源として使用される光の波長より短いフィーチャをデバイス・ウェハ上に印刷するために、集積回路設計への適用が増加している。これらのRETには、しばしば、サブ解像度補助フィーチャ(SRAF)及びセリフを始めとする余分のフィーチャを設計に追加する必要があり、そのために、フォトマスクすなわちレチクルに対する設計のレイアウトが極端に複雑になっている。RETフィーチャを適切にウェハ上に印刷することができること、また、SRAFを印刷することなくメイン・フィーチャが適切に印刷されることを検証することは、設計ルール・チェック(DRC)ソフトウェアあるいは光学ルール・チェック(ORC)ソフトウェアによって実行される単純な論理試験を超えた困難なタスクになっている。
以下の説明には、個別に実行することができ、あるいはいくつかを組み合わせて実行することができる3つの汎用部分を有する方法が含まれている。本明細書において説明されている方法は、1)すべてのRETフィーチャを含んだ設計を、設計者の意図をレチクル平面に模写する方法で実際にフォトマスク上に作成することができることを保証するために使用することができること、2)すべてのRETフィーチャを含んだ設計を、検査可能な方法(つまり、パターン充実度のエラーを検出することができるよう、レチクル上に印刷されたフィーチャを、所望するフィーチャのデータベースもしくは設計内における所望フィーチャの他の例のいずれかと十分な差別で比較することができる方法)でレチクル上に作成することができることを保証するために使用することができること、3)すべてのRETフィーチャを含んだレチクル・パターンを、設計者の意図を模写し、かつ、ウェハ上に適切なパターンをもたらす方法でウェハ・レベルに印刷することができることを保証するために使用することができる点で有利である。したがって、本明細書において説明されている方法を使用して、RET改良レチクル・レイアウト・データもしくは他の任意のレチクル・レイアウト・データが製造可能であるかどうか、検査可能であるかどうか、及び/又はウェハ・レベルへの転写が可能であるかどうかを決定することができる。
次に図面を参照すると、レチクルの検査に既に使用されている方法が図1に示されている。この方法は、レチクル上に形成すべき回路レイアウトを決めるレチクル・レイアウト・データ10で開始される。レチクル・レイアウト・データは、レチクル作成ツールのための標準ファイル・タイプであるMEBESジョブデック、GDSIIファイルもしくはOASISデータの形態であっても良い。また、上記方法には、ステップ12に示すように、マスクを製造するステップが含まれている。マスクを製造するステップは、上で説明したように実行することができる。
ステップ14に示すように、上記方法には、マスクを検査するステップ、すなわちマスクを検証するステップが含まれている。この方法の場合、マスクを検査するステップは、当分野で知られている任意のレチクル検査ツールを使用して実行することができる。例えば、検査ツールは、レチクルの画像を生成するように構成することができる。レチクル上の欠陥を検出するステップには、レチクルの検査によって生成されるデータとシミュレーション・マスク・データ16とを比較するステップが含まれている。シミュレーション・マスク・データ16は、入力としてレチクル・レイアウト・データ10と共にマスク製造モデル18を使用して生成することができる。このようなマスク検査は、検査によって生成されるデータとデータベースから生成されるデータが比較されるため、一般に「ダイ・ツー・データベース検査」と呼ばれている。
レチクル上に印刷されるフィーチャは、レチクル・レイアウト・データの中で決められているフィーチャとは異なるため、マスク製造モデルを使用して、レチクル・レイアウト・データの中で決められているレチクルのパターン化フィーチャと実際にレチクル上に印刷されることになるフィーチャの差を考慮するために、レチクル・レイアウト・データが修正される。特定の一例では、レチクル上に印刷されるフィーチャは、とりわけレチクル・レイアウト・データのフィーチャと比較すると、通常、若干丸まったコーナを有することになる。この例では、マスク製造モデルは、フィーチャのコーナの丸みを考慮することができる。したがって、検査データに対する比較としてシミュレーション画像を使用して、レチクル上で検出されることになる厄介な欠陥の数を少なくし、それにより検査の精度を高くしている。
任意の適切な技法を使用して、レチクル・レイアウト・データに対する特定のプロセスの影響をシミュレーションすることができる。例えばCalifornia州San Jose在所のKLA−Tencorから商用的に入手することができるProBEAMを始めとする、特定のレチクル生成プロセスの影響をシミュレートするいくつかのソフトウェア・ツールが存在している。参照によりそのすべてを示したものとして本明細書に組み込まれている、Maurerに対する米国特許第6,691,052号に、検査基準パターンを生成するための装置及び方法の例が示されている。また、参照によりそのすべてを示したものとして本明細書に組み込まれている、Stokowskiらによる米国特許出願第10/679,857号に、空中画像及びダイ・ツー・データベース検出を使用してレチクルを検査するための方法とシステムの他の例が示されている。さらに、参照によりそのすべてを示したものとして本明細書に組み込まれている、Howardらによる米国特許出願第10/793,599号に、レチクルの基準画像を生成するための方法の例が示されている。
図1にさらに示すように、本方法には、ステップ20に示す、レチクルが認定に合格するか、あるいは不合格になるかをレチクルの検査結果に基づいて判定するステップを含めることができる。レチクルが認定に合格するか、あるいは不合格になるかを判定するステップには、通常、レチクル上で検出された欠陥を、その欠陥の特性を決定し、例えばどれだけの欠陥がウェハ上で検出されるか、どれだけの特定サイズの欠陥がウェハ上で検出されるか、ウェハ上の欠陥の位置、ウェハ上の「キラー」欠陥の数などを決定するために評価するステップが含まれている。認定基準は、通常、顧客もしくは集積回路設計者によって定義される。
レチクルが認定に合格すると、ステップ22に示すようにレチクルを本番にリリースすることができる。また、レチクルが認定に不合格の場合、同じレチクル・レイアウト・データを使用して他のマスクが製造されることになる。
上記方法には、例えばレチクル上で検出される厄介な欠陥の数を少なくするなどのいくつかの利点があるが、この方法には、いくつかの点で欠けているものがある。例えば、上記方法には、レチクル・レイアウト・データを再現可能に製造することができるかどうかを決定するためのレチクル・レイアウト・データ評価ステップが含まれていない。当然、例えばフィーチャ全体が単純に紛失するような場合、検査データからレチクル・レイアウト・データの製造可能性を大まかに評価することは可能であるが、この方法の場合、レチクルを実際に製造しない限り、レチクル・レイアウト・データの製造可能性を大まかに予測することさえ不可能である。したがって上記方法の場合、比較的高価なレチクルを実際に製造する必要があり、その場合においても提供される製造可能性の予測は大まかなものでしかない。
また、マスク製造モデルは、マスク製造プロセスによるフィーチャの変化を考慮するために単純にレチクル・レイアウト・データを変更するだけであるため、適切に製造することができないレチクル・レイアウト・データ部分は、基準画像中では「欠陥」として出現することになる。したがって、製造が不可能なマスク部分は、検査と基準画像中で実質的に同じ特性を有することになる。したがって、製造済みマスク中のレチクル・レイアウト・データの非製造可能性による欠陥は、レチクルを検査しても検出することはできない。
また、図1に示す方法には、レチクルのあらゆる非検査可能フィーチャが考慮されていない。例えば、検査システムを使用して画像化するにはレチクル上に製造済みの特定のフィーチャが小さすぎる場合があり、したがってレチクル・レイアウト・データの特定のフィーチャを検査することは不可能である。したがってこれらのフィーチャがレチクル上に実際に印刷されたかどうか、これらのフィーチャが設計限界内で印刷されたかどうか、また、これらのフィーチャがレチクルの認定状態に影響するかどうかを確認することは不可能である。
さらに、図1に示す方法には、レチクルのあらゆる非印刷可能フィーチャ、つまり適切な精度でウェハ上に印刷することができないフィーチャが考慮されていない。詳細には、上記方法には、レチクル・レイアウト・データをウェハ上に印刷する方法を決定するためのレチクル・レイアウト・データ評価ステップが含まれていない。また、レチクルが認定基準に合格するかどうかの判定に際して、上記方法には、レチクル上のフィーチャをウェハ上に印刷する方法が考察されていない。上で説明したように、検査が非検査可能フィーチャのために実質的に不正確であるため、レチクルのフィーチャを集積回路設計者が意図したようにウェハ上に印刷することができないことが考えられる。最悪シナリオの場合、レチクルが誤って認定され、ウェハがそのレチクルを使用して生産印刷され、集積回路中の欠陥が最終試験で検出されることになる。
図2〜5は、図1に示す方法を著しく改善した、レチクル・レイアウト・データを評価するためのコンピュータ実施方法の様々な実施形態を示したものである。詳細には、図2〜5に示す方法を使用して、レチクル・レイアウト・データの製造可能性、検査可能性及び/又は印刷可能性を決定することができる。図2〜5に示すステップは、上記方法を実践するための本質ではないことに留意されたい。図2〜5に示す方法に対して1つ又は複数のステップを省略し、あるいは追加することが可能であり、図2〜5に示す方法は、依然としてこれらの実施形態の範囲内で実践することができる。
図2に示すように、上記方法の一実施形態には、レチクル・レイアウト・データ26をレチクル製造プロセスのモデル28に対する入力として使用してマスクのシミュレーション画像24を生成するステップが含まれている。レチクル・レイアウト・データは、レチクル作成ツールのための標準ファイル・タイプであるMEBESジョブデック、GDSIIファイルもしくはOASISデータの形態であっても良い。レチクル・レイアウト・データは、RETフィーチャに関連するデータを含むことができる。例えば、レチクル・レイアウト・データは、OPCフィーチャ・データ、収差修正フィーチャ・データ、移相フィーチャ・データもしくはそれらの組合せを含むことができる。レチクル・レイアウト・データは、さらに、当分野で知られている他の任意のRETフィーチャのためのデータを含むことができる。モデル28は、当分野で知られている任意の適切なモデルを含むことができる。モデルには、レチクル製造プロセスの多数のプロセス・パラメータ、例えばレチクルの製造に使用することができるマスク作成ツールのタイプ、マスク作成ツールの特性、レチクル製造プロセスに使用されるレジストの特性などが考慮されていることが好ましい。
シミュレーション画像は、レチクル・レイアウト・データのフィーチャをレチクル製造プロセスを使用してレチクル上に形成する方法を示している。一実施形態では、レチクル・レイアウト・データ中のフィーチャをレチクル上に印刷する方法をシミュレートするために、RETフィーチャを含んだレチクル・レイアウト・データがソフトウェアによって描写される。また、シミュレーション画像は、レチクル・レイアウト・データのすべてのフィーチャをレチクル上に形成する方法を示すことも可能である。したがって、本明細書において説明されている方法は、このような方法が欠陥に最も近いレチクル部分のみのシミュレーション画像を生成する点で、レチクル上で検出された欠陥を分類し、解析するための、レチクル欠陥をウェハ上に印刷する方法をシミュレートするステップを含んだ方法とは異なっている。しかしながら、本明細書において説明されている方法は、レチクル・レイアウト・データの製造可能性を危うくし得るあらゆるレチクル・フィーチャに関しているため、本明細書において説明されている方法は、実質的に異なるシミュレーション画像を生成している。
また、本明細書において説明されている方法は、レチクル・レイアウト・データの製造可能性を決定するステップがこのような方法に含まれていない点で、レチクル検査のための基準画像として使用することができるレチクル・レイアウト・データのシミュレーション画像を生成する方法とは異なっている。逆に、ステップ30に示すように、本明細書において説明されている方法には、シミュレーション画像を使用してレチクル・レイアウト・データの製造可能性を決定するステップが含まれている。製造可能性は、レチクル上にいかに正確にフィーチャを形成することができるかを示す測度である。例えば、シミュレーション画像とレチクル・レイアウト・データを比較することができ、レチクル・レイアウト・データ中のあらゆる欠陥(例えばレチクル上に適切に作成することができない領域)が識別される。他の例では、シミュレーション画像に設計ルール・チェックを適用することによって製造可能性を決定することができる。
他の例では、シミュレーション画像の様々な部分を、設計者が意図するその様々な部分に関連するデータに基づいて解析することによってレチクル・レイアウト・データの製造可能性を決定することができる。設計者が意図するデータは、通常、レチクル・レイアウト・データの様々なポイントに関連する臨界として定義することができる。例えば、集積回路のゲート電極の形成に使用されるフィーチャに臨界としてフラグを立て、一方、集積回路のフィールド酸化膜領域の形成に使用されるフィーチャに非臨界のフラグを立てることができる。設計者が意図するデータは、タイプが異なるレチクル領域、レチクル上のタイプが異なるフィーチャ及び/又はレチクル上の異なるフィーチャ部分を識別する称号を含むことができる。タイプが異なる領域、フィーチャもしくはフィーチャ部分には、例えば本明細書においてより詳細に説明する臨界もしくは非臨界領域、フィーチャもしくはフィーチャ部分が含まれている。称号は、IC設計から生成される回路パターン・データベースに応じて変えることができる。
回路パターン・データベースは、上で説明したように称号を含むことができる。この称号は、例えば、レチクル上のタイプが異なる領域、フィーチャもしくはフィーチャ部分に関連するフラグもしくはラベルを含むことができるが、あるタイプの領域、フィーチャもしくはフィーチャ部分を他のタイプの領域、フィーチャもしくはフィーチャ部分と区別するための適切な任意のしるしを含むことができる。レチクル上の領域、フィーチャもしくはフィーチャ部分の各々、もしくはレチクル上の領域、フィーチャもしくはフィーチャ部分のごく一部のみを称号と関連させることができる。レチクルのレイアウトを表す回路パターン・データベースのデータは、称号を表す回路パターン・データベースのデータとは別にすることができる。また、タイプが異なる称号を回路パターン・データベース中で分離することができる。例えば、回路パターン・データベースは、レチクル上の臨界領域、フィーチャもしくはフィーチャ部分のための称号を含んだ第1のセットのデータ、及びレチクル上の非臨界領域、フィーチャもしくはフィーチャ部分のための称号を含んだ第2のセットのデータを含むことができる。別法としては、異なる称号を結合して単一セットのデータにすることも可能である。
それぞれ参照によりそのすべてを示したものとして本明細書に組み込まれている、Glasserらに対する米国特許第6,529,621号及びGlasserらによるPCT出願第WO 00/36525号に、設計者が意図するデータの他の例及びレチクル検査のための使用方法が示されている。本明細書において説明されているシステムもしくは方法にも、Glasserらによって示されているエレメントもしくはステップのうちの任意のエレメントもしくはステップを含むことができる。設計者が意図するデータは、本明細書においてさらに説明するようにシステムに直接提供することができる。
追加ステップ(図示せず)で、上記方法は、シミュレーション画像の生成と製造可能性の決定に先立って、レチクル・レイアウト・データに任意のマスク処理バイアスを適用することによってレチクル・レイアウト・データを変更するステップを含むことができる。マスク処理バイアスは、一般的にはマスク製造者によって実行される、レチクル・フィーチャの特性に対するレチクル製造プロセスの既知の影響を考慮するためのレチクル・レイアウト・データの変更である。例えば、マスク製造者は、レチクル上に形成されるフィーチャ・サイズのエッチング・プロセスによる減少を考慮するために、しばしば、製造に先立ってレチクル・レイアウト・データ中のフィーチャのサイズを大きくしている。したがって、サイズ化されたデータ上でレチクル製造可能性解析を実行することができる。
ステップ32に示すように、上記方法は、レチクル・レイアウト・データが認定基準に合致しているか否かを判定するステップを含むことができる。認定基準は、許容可能な欠陥の数、許容可能な欠陥のタイプ、許容可能な欠陥のサイズ等を決めることができる。この方法によれば、認定基準は、レチクル・レイアウト・データに対する許容可能な製造可能性基準を決めることも可能である。認定基準は、設計者か顧客が設定することができる。データが認定基準に合致している場合、ステップ34に示すように、レチクル・レイアウト・データ及びレチクル製造プロセスを使用してマスクを製造することができる。レチクルは、上で説明したように製造することができる。
レチクル・レイアウト・データが認定基準に合致していない場合、上記方法は、レチクル・レイアウト・データ26を変更するステップを含むことができる。レチクル・レイアウト・データは、レチクル・レイアウト・データの製造可能性を改善するように変更されることが好ましい。一実施形態では、上記方法は、ステップ32で検出される欠陥の調査と欠陥を修正するためのレチクル・レイアウト・データの変更をユーザに許容するステップを含むことができる。この方法によれば、コンピュータ実施方法は、欠陥を「手動」で修正するように構成することができる。例えば、本明細書において説明されている複数のコンピュータ実施方法のうちの1つ又は複数を実行するようになされたシステムを、OPCパターンの生成に使用される複数のEDAツールのうちの1つ又は複数に結合することができ、それにより、マスクの製造に先立って直ちにフィードバックし、修正することができる。製造可能性、検査可能性及び/又は印刷可能性によるエラーは、フラグを立て、かつ、EDAスクリーンに表示することができる。ユーザは、レイアウトを変更し、あるいはOPCを修正し、かつ、データを再チェックして、マスク製造プロセスの設計に専念する前にパターンの合格を保証することができる。
他の実施形態では、コンピュータ実施方法には、欠陥を修正するためにレチクル・レイアウト・データを変更するステップが含まれている。したがってコンピュータ実施方法のこの実施形態は、欠陥を「自動的に」修正するようになされている。一実施形態では、この修正は、ユーザの介在なしに、ソフトウェアによって閉ループ方式で自動的に実施することができる。一実施形態では、データ変更後、上で説明したように他のシミュレーション画像を生成することができ、変更後のレチクル・レイアウト・データの製造可能性を決定することができる。
いくつかの実施形態では、上記方法には、ステップ30で、ステップ24で生成されるシミュレーション画像を使用してレチクル・レイアウト・データの検査可能性を決定するステップが含まれている。例えば、上記方法に使用されるソフトウェアは、検査ツールがレチクルを「検査する」方法をシミュレートする検査モデル(図示せず)を含むことができる。一実施形態では、検査可能性を決定するステップには、レチクルの検査によって生成されるデータが有することになる近似信号対雑音比を決定するステップが含まれている。詳細には、上記方法は、比較的微小な欠陥を適切に処理するための適切な信号対雑音比が存在しているかどうかを判定するステップを含むことができる。他の実施形態では、検査ツールがレチクル上で検出することができる最小サイズの欠陥を決定することによって検査可能性が決定される。いくつかの実施形態では、検査可能性を決定するステップには、シミュレーション画像の様々な部分を、設計者が意図するその様々な部分に関連するデータに基づいて解析するステップが含まれている。
一実施形態では、レチクル製造プロセスの異なるパラメータに対するシミュレーション画像が生成され、検査可能性を決定するステップは、異なるパラメータを使用して生成される異なるシミュレーション画像上で実行される。例えば、検査モデルは、期待する範囲のレチクル・プロセス条件に対して検査可能なパターンをその設計がもたらすかどうかを決定するために使用することができる一定の範囲の期待レチクル・プロセス変動を含むことができる。また、異なるパラメータは、異なるレチクル作成ツールを含むことができる。したがってこの手順は、ユーザが利用することができる異なるレチクル作成ツールの各々に対して(あるいは1つ又は複数のレチクル作成ツールに対して)、異なるモデルを使用して実行することも可能である。上記方法は、この検査可能性チェックの結果に基づいて、他の異なるレチクル作成ツールより高い検査可能性でレチクルを生成することができるレチクル作成ツールを複数の異なるレチクル作成ツールの1つを選択するステップを含むことができる。いくつかの実施形態では、検査可能なパターンを所与の設計に対して生成するための最良の公差を有する作成ツールをユーザが選択することができるが、レチクル作成ツールは、自動的に選択することも可能である。
レチクル・レイアウト・データの検査可能性は、生成されるレチクル・レイアウト・データのバージョン毎に決定することができる。例えば、データの製造可能性を改善するためにレチクル・レイアウト・データが上で説明したように変更される毎に検査可能性を決定することができる。別法としては、製造可能性認定基準に合格するレチクル・レイアウト・データに対してレチクル・レイアウト・データの検査可能性を決定することができる。したがって複数回に渡ってレチクル・レイアウト・データを変更し、検査可能性の決定に先立って製造可能性認定基準に合致させることができる。
追加もしくは別法として、上記方法は、ステップ30で、ステップ24で生成されるシミュレーション画像を使用してレチクル・レイアウト・データの印刷可能性を決定するステップを含むことができる。例えば、上記方法は、ウェハ・シミュレーション・モデル36、すなわちウェハ・レベルに印刷される際にレチクル・レイアウト・データがどのように出現するかを予測するようになされた「リソグラフィ・プロセス・モデル」を備えたソフトウェアを使用することができる。ウェハ・シミュレーション・モデルは、リソグラフィ・プロセスの変動とレジストの変動を考慮したパラメータを含むことができる。レジスト・モデルは、当分野で知られている任意の適切なレジスト・モデルを含むことができ、また、当分野で知られている任意の方法を使用して特化レジスト・モデルを生成することができる。
詳細には、上記方法は、リソグラフィ・プロセスを使用してレチクルのフィーチャをウェハ上に印刷する方法を示す追加シミュレーション画像を生成するための入力として、レチクル上にレチクル・レイアウト・データを形成する方法を示すシミュレーション画像を使用することができる。したがって、レチクル・レイアウト・データの印刷可能性は、レチクルのフィーチャをレチクル上に実際に製造し、かつ、ウェハ上で「観察」する方法に基づいているため、本明細書において説明されているように実行される印刷可能性評価は、他の任意の方法を使用して実行される印刷可能性評価より正確である。
レチクル・レイアウト・データの印刷可能性を決定するステップは、追加シミュレーション画像にDRC及び/又はORCを適用するステップを含んでもよい。例えば、一般的にはレチクル・レベルで実行される従来のDRCチェックとORCチェックをウェハ・レベルで適用し、それにより、あらゆる設計ルール違反の発生を決定することができる。他の実施形態では、レチクル・レイアウト・データの印刷可能性を決定するステップには、追加シミュレーション画像とフィーチャの理想画像を比較するステップが含まれている。理想画像は、レチクル・レイアウト・データから直接生成することができ、通常、ウェハ上への集積回路設計者が意図するフィーチャ形成方法を表すことができる。しかしながら、理想画像にはRETフィーチャの画像が含まれていないため、理想画像の生成に先立ってレチクル・レイアウト・データからRETフィーチャが除去されることになる。また、このような実施形態は、追加シミュレーション画像中の個々のフィーチャのエッジ配置と理想画像中の対応するフィーチャのエッジ配置とを比較することによって追加シミュレーション画像中のエラーを突き止めるステップを含むことができる。いくつかの実施形態では、追加シミュレーション画像の様々な部分を、設計者が意図するその様々な部分に関連するデータに基づいて解析することによって印刷可能性が決定される。
印刷可能性チェックは、最良の焦点及び露光条件で実行されるか、あるいは一般に「プロセス・ウィンドウ」と呼ばれている期待放射線量/焦点条件の全範囲に渡って実行され、あらゆるルール違反の発生が調査されることが可能である。例えば、一実施形態では、レチクル・レイアウト・データの印刷可能性を決定するステップには、レチクルのフィーチャをリソグラフィ・プロセスを使用してウェハ上に印刷する方法を示す追加シミュレーション画像を異なるプロセス・パラメータで生成するステップが含まれている。このような実施形態の1つでは、異なるプロセス・パラメータには、タイプが異なるリソグラフィ露光ツールが含まれている。したがって、いくつかの実施形態では、上記方法は、レチクル・レイアウト・データの最良の印刷可能性を提供するリソグラフィ露光ツールを決定するステップを含むことができる。
レチクル・レイアウト・データの印刷可能性は、生成されるレチクル・レイアウト・データのバージョン毎に決定することができる。例えば、データの製造可能性及び/又は検査可能性を改善するためにレチクル・レイアウト・データが上で説明したように変更される毎に印刷可能性を決定することができる。別法としては、製造可能性認定基準と任意選択で検査可能性認定基準に合格するレチクル・レイアウト・データに対してその印刷可能性を決定することができる。したがって複数回に渡ってレチクル・レイアウト・データを変更し、印刷可能性の決定に先立って製造可能性認定基準及び/又は検査可能性認定基準に合致させることができる。
図2に示すように、上記方法は、ステップ38に示すように、さらに、製造済みのレチクルを検査すなわち検証するステップを含むことができる。この方法の場合、マスクを検査するステップは、当分野で知られている任意のレチクル検査ツールを使用して実行することができる。例えば、検査ツールは、レチクルの画像を生成するように構成することができる。検査ツールは、さらに、本明細書において説明されているように構成することができる。また、上記方法は、マスク製造モデル28からシミュレーション・マスク40を生成するステップを含むことができる。このシミュレーション・マスクを使用して、レチクル検査によって生成されるデータと比較することによってレチクル上の欠陥を検出することができる。このようなマスク検査は、検査によって生成されるデータとデータベースから生成されるデータが比較されるため、一般に「ダイ・ツー・データベース検査」と呼ばれている。シミュレーション・マスク40は、入力としてレチクル・レイアウト・データを使用したマスク製造モデル28を使用して生成することができる。詳細には、マスク製造モデルを使用することにより、レチクルの製造に実際に使用されるレチクル・レイアウト・データを入力として使用してシミュレーション・マスク40を生成することができる。この方法によれば、シミュレーション・マスクの生成に使用されるレチクル・レイアウト・データを変更することにより、レチクルの製造可能性基準に合致させることができる。
マスク製造モデルを使用して、レチクル・レイアウト・データの中で決められているレチクル上にパターン化されたフィーチャと実際にレチクル上に印刷されることになるフィーチャの差を考慮するべくレチクル・レイアウト・データが修正される。例えば、レチクル上に印刷されるフィーチャは、レチクル・レイアウト・データの中で決められているフィーチャとは異なっている。特定の一例では、レチクル上に印刷されるフィーチャは、とりわけレチクル・レイアウト・データのフィーチャと比較すると、若干丸まったコーナを有することになる。この例では、マスク製造モデルは、フィーチャのコーナの丸みを考慮することができる。したがって、検査データに対する比較としてシミュレーション画像を使用して、レチクル上で検出されることになる厄介な欠陥の数を少なくし、それにより検査の精度を高くしている。
図2にさらに示すように、上記方法は、ステップ42に示すように、レチクルが認定に合格するか、あるいは不合格になるかをレチクルの検査結果に基づいて判定するステップを含むことができる。レチクルが認定に合格するか、あるいは不合格になるかを判定するステップには、通常、レチクル上で検出された欠陥を、その欠陥の特性を決定し、例えばどれだけの欠陥がウェハ上で検出されるか、どれだけの特定サイズの欠陥がウェハ上で検出されるか、ウェハ上の欠陥の位置、ウェハ上の「キラー」欠陥の数などを決定するために評価するステップが含まれている。認定基準は、通常、顧客もしくは集積回路設計者によって定義される。
レチクルが認定に合格すると、ステップ44に示すようにレチクルを本番にリリースすることができる。また、上記方法は、レチクル製造プロセスを使用して製造されたレチクル上で測定されたデータを使用してレチクル製造プロセスのモデルを変更するステップを含むことができる。例えば、図2に示すように、レチクルが認定に合格すると、検査中に生成されたそのレチクルに関する実験情報を使用してマスク製造モデル28を更新することができる。次に、更新されたマスク製造モデルを使用して、レチクル・レイアウト・データの追加シミュレーション画像と他のレチクル・レイアウト・データのシミュレーション画像を生成することができる。この方法によれば、本明細書において説明されているように生成された検査データもしくはSEM画像などの他の任意の外部データ・ソースを使用して、あるいはモデル更新機能をKLA−Tencorダイ・ツー・データベース・レチクル検査ツール上で利用することができる組込み較正機能にリンクさせることによってマスク・プロセス・モデルを較正することができる。
別法としては、レチクルが認定に合格しない場合、同じレチクル・レイアウト・データを使用して他のマスクを製造することができる。また、レチクルが認定に合格しない場合、その前の集積回路設計のデータベース46にレチクル・レイアウト・データを記憶することができる。また、データベース46は、その前の集積回路設計をマスク製造モデル28とマスク製造ステップ34に提供することができる。さらに他の代替形態では、検査データに基づいてレチクル・レイアウト・データを修正することができ、修正されたレチクル・レイアウト・データを使用して他のマスクを製造することができる。他の例では、認定不合格は、レチクル作成ツールの問題を示している場合もある。したがって、上記方法は、レチクル作成ツールの1つ又は複数のパラメータを変更するステップを含むことができる。
図2にさらに示すように、レチクルが本番にリリースされると、ステップ48に示すように、そのレチクルを使用してウェハを印刷することができる。ウェハは、当分野で知られている任意のリソグラフィ・プロセスと1つ又は複数のツールを使用して印刷することができる。また、ウェハは、ウェハを印刷するためのリソグラフィ・プロセスの既知の最良パラメータ(例えば焦点、露光等)を使用して印刷することができる。また、上記方法は、ステップ50に示すように、レチクルをウェハ上に印刷する方法を示すシミュレーション画像を生成するためにウェハ製造モデル36を使用するステップを含むことができる。印刷済みウェハのシミュレーション画像は、印刷済みウェハの画像と比較することができる。このような比較を使用して、ステップ52に示すようにウェハ・モデルを検証することができる。例えば、印刷済みウェハの検査及び/又は計測によって生成される実験データは、ステップ50で生成されるシミュレーション画像と比較することができる。印刷済みウェハとシミュレーション画像の間のすべての相異を解析して、その相異がモデルの不正確性を示すものであるかどうかを決定することができる。次に、印刷済み画像とシミュレーション画像の間の相異を使用して、この不正確性や他のあらゆる不正確性を修正することができる。したがって、上記方法は、リソグラフィ・プロセスを使用して印刷されたウェハ上で測定されたデータを使用してリソグラフィ・プロセス・モデルを変更するステップを含むことができる。図2に示す方法は、本明細書において説明されている他の任意の1つ又は複数のステップを含むことができる。
図3は、レチクル・レイアウト・データを評価するためのコンピュータ実施方法の他の実施形態を示したものである。図3に示す方法には、図2に示す方法に含まれているいくつかのステップが含まれている。図2に示すステップと図3に示すステップが同じものについては同じ参照数表示で示されており、分かりやすくするために、図3を参照した説明の中では省略されている。また、図3には、図2に示す方法には含まれていないステップが追加されており、以下、それらについて説明する。
例えば、図3に示すように、この方法には、レチクル・レイアウト・データ26の複数のシミュレーション画像24を生成するために複数のマスク製造モデル54を使用するステップが含まれている。この方法によれば、上記方法は、上で説明したように、異なるレチクル製造プロセスに対する製造可能性、検査可能性及び/又は印刷可能性を評価するステップを含むことができる。複数のマスク製造モデルは、異なるプロセスを完全にシミュレートし、あるいは同じプロセスを異なるプロセス・パラメータを使用して完全にシミュレートするように構成することができる。異なるプロセス・パラメータは、例えば露光放射線量と焦点、タイプが異なるマスク作成ツール、タイプが異なるレジスト、エッチング薬品等を含むことができる。
複数のマスク製造モデルは、レチクル製造プロセスの1つ又は複数のパラメータを変更して1つ又は複数の改訂レチクル製造プロセスを生成することによって生成することができる。改訂レチクル製造プロセス毎に1つのモデルを生成することができる。次に、各モデルを使用して、改訂レチクル製造プロセスに対応するシミュレーション画像を生成することができる。また、上で説明したように、レチクル製造プロセス毎に製造可能性、検査可能性及び/又は印刷可能性を決定することができる。
上記方法は、さらに、1つ又は複数の改訂レチクル製造プロセスの中からレチクル・レイアウト・データの製造可能性を最も著しく改善する改訂レチクル製造プロセス、すなわち最良の改訂レチクル製造プロセスを決定するステップを含むことができる。とりわけ有利な一実施形態では、上記方法は、異なるモデルを使用したシミュレーションと評価を、ユーザが利用することができる異なるレチクル作成ツール毎に実行するステップを含むことができる。ユーザは、この製造可能性チェックの結果に基づいて、所与のレチクル設計に対して、欠陥のないパターンを生成するための最良公差を有する作成ツールを選択することができる。また、図3に示すように、上記方法は、ステップ56に示すように、レチクル・レイアウト・データの最良の製造可能性をもたらすレチクル製造プロセス・モデルを選択するステップを含むことができる。この方法によれば、個々の設計を製造するための最良のツール/プロセスの組合せを選択することができる。いくつかの例では、上記方法は、マスク・レベルとウェハ・レベルの両方に所望のパターンを複写することができる製造可能マスクを生成するために、レチクル製造プロセスに対する適切な変更を決定するステップを含むことができる。また、上記方法には、ステップ58に示すように、このモデルに対応するレチクル製造プロセスを使用してマスクを製造するステップが含まれている。図3に示す方法は、本明細書において説明されている他の任意の1つ又は複数のステップを含むことができる。
図4は、レチクル・レイアウト・データを評価するためのコンピュータ実施方法の他の実施形態を示したものである。図4に示す方法には、図2に示す方法に含まれているいくつかのステップが含まれている。図2に示すステップと図4に示すステップが同じものについては同じ参照数表示で示されており、分かりやすくするために、図4を参照した説明の中では省略されている。また、図4には、図2に示す方法には含まれていないステップが追加されており、以下、それらについて説明する。
例えば、図4には、複数のウェハ・シミュレーション・モデル60の製造可能性、検査可能性及び/又は印刷可能性を決定するステップが含まれている。ウェハ・シミュレーション・モデルは、異なるOPCフィーチャなどの異なるレチクル改良技法を含むことができる。また、複数のウェハ・シミュレーション・モデルのうちの1つは、既知の最良の焦点と露光条件を含むことができる。他のウェハ・シミュレーション・モデルは、プロセス・ウィンドウ内であることが期待されている他の放射線量/焦点条件を含むことができる。ウェハ・シミュレーション・モデルを使用して、レチクル・レイアウト・データの印刷可能性を異なるプロセス・パラメータで決定することができる。このような実施形態の1つでは、異なるプロセス・パラメータは、タイプが異なるリソグラフィ露光ツール及び/又は当分野で知られている他の任意のリソグラフィ・プロセス・パラメータを含むことができる。
異なるウェハ・シミュレーション・モデルの結果を使用して、レチクル・レイアウト・データ及び/又はウェハ製造プロセスに関する確実な決定を下すことができる。例えば、異なるウェハ・シミュレーション・モデルの結果を使用して、レチクル・レイアウト・データのための最良の印刷可能性を提供するリソグラフィ露光ツールを決定することができる。他の例では、異なるウェハ・シミュレーション・モデルの結果を使用して、特定のレチクル・レイアウト・データのための近似プロセス・ウィンドウを決定することができる。さらに他の例では、異なるウェハ・シミュレーション・モデルの結果を使用して、レチクル・レイアウト・データのための最良の印刷可能性をもたらすことができるOPCフィーチャなどのRETフィーチャを決定することができる。この方法によれば、ステップ62に示すように、上記方法は、最良の印刷可能性をもたらし、かつ、そのモデルに関連するRET/OPCフィーチャを識別する最良のウェハ製造モデルを選択するステップを含むことができる。次に、これらのRET/OPCフィーチャをレチクルの製造に使用されるレチクル・レイアウト・データに追加することができる。上記方法は、ステップ64に示すように、このレチクル・レイアウト・データを使用してレチクルを製造するステップを含むことができる。レチクルは、上で説明したように製造することができる。図4に示す方法は、本明細書において説明されている他の任意の1つ又は複数のステップを含むことができる。
図5は、レチクル・レイアウト・データを評価するためのさらに他の方法を示したものである。図5に示す方法は、基本的に図3に示す方法と図4に示す方法を組み合わせたものである。図5に示す方法には、図3、4に示す方法に含まれているいくつかのステップが含まれている。図3、4に示すステップと図5に示すステップが同じものについては同じ参照数表示で示されており、分かりやすくするために、図5を参照した説明の中では省略されている。例えば、図5に示す方法は、上で説明したように、レチクル・レイアウト・データ26の複数のシミュレーション画像24を生成するために複数のマスク製造モデル54を使用するステップを含むことができる。この方法によれば、上記方法は、上で説明したように、異なるレチクル製造プロセスに対する製造可能性、検査可能性及び/又は印刷可能性を評価するステップを含むことができる。次に、各モデルを使用して、改訂レチクル製造プロセスに対応するシミュレーション画像を生成することができる。また、図5に示すように、上記方法は、ステップ66に示すように、レチクル・レイアウト・データの最良の製造可能性、検査可能性及び/又は印刷可能性をもたらすレチクル製造プロセス・モデルを選択するステップを含むことができる。また、上記方法には、ステップ68に示すように、この選択されたモデルに対応するレチクル製造プロセスを使用してマスクを製造するステップが含まれている。
また、図5に示す方法のステップ66は、マスクの製造に先立って最良のRET/OPCフィーチャ及び/又はウェハ製造モデルを決定するステップを含む。例えば、図5に示す方法は、上で説明したように、複数のウェハ・シミュレーション・モデル60の印刷可能性を決定するステップを含む。ウェハ・シミュレーション・モデルは、上で説明したように、異なるOPCフィーチャなどの異なるレチクル改良技法を含むことができる。ウェハ・シミュレーション・モデルを使用して、レチクル・レイアウト・データの印刷可能性を異なるプロセス・パラメータで決定することができる。このような実施形態の1つでは、異なるプロセス・パラメータは、タイプが異なるリソグラフィ露光ツールを含むことができる。
異なるウェハ・シミュレーション・モデルの結果を使用して、レチクル・レイアウト・データ及び/又はウェハ製造プロセスに関する確実な決定を下すことができる。例えば、異なるウェハ・シミュレーション・モデルの結果を使用して、レチクル・レイアウト・データのための最良の印刷可能性をもたらすことができるリソグラフィ露光ツール、近似プロセス・ウィンドウ及び/又はOPCフィーチャなどのRETフィーチャを決定することができる。この方法によれば、ステップ66に示すように、上記方法は、最良の印刷可能性をもたらし、かつ、そのモデルに関連するRET/OPCフィーチャを識別する最良のウェハ製造モデルを選択するステップを含む。図5に示す方法は、本明細書において説明されている他の任意の1つ又は複数のステップを含むことができる。
したがって、本明細書において説明されている方法は、少なくとも、本明細書において説明されている概念が、任意の設計とプロセスに対して、全レチクル設計に、また、マスクの製造からウェハ・パターンの転写まで適用されていない点で独特である。また、設計ルール・チェックは、レチクル・レベルからウェハ・レベルまで移動されている。さらに、他の方法と異なり、設計ルール・チェックの適用に先立って、フル・レチクル製造オフセットをレチクル・レイアウト・データのシミュレーションに含めることができる。また、本明細書において説明されている方法は、少なくとも、異なる設計ルールを使用して製造可能性、検査可能性及び印刷可能性を解析することができる点で独特である。
また、本明細書において説明されている方法は、マスク製造プロセスとウェハ製造プロセスのシミュレーションを実際の測定されたマスクとウェハにリンクさせることにより、モデルが必要な公差内で有効であることを保証する独自の利点を提供している。このプロセスによって検証され、かつ、承認される個々の設計を使用して、次に適切なツールを使用して走査することができるマスクとウェハを製造することができる。次に、マスクの検査及び/又は計測ツールから収集されたデータと、ウェハの検査及び/又は計測ツールから収集されたデータと比較することによってモデルを予測することができる。モデルは常に更新することができ、それによりモデルがマスク・プロセスとウェハ・プロセスの両方の最新状態を示していることを保証することができる。監視技法を適用して実際のマスクもしくはウェハがモデル予測と一致しない場合を識別することができ、それに応じてモデルを更新するか、あるいはプロセスを再調整して、予測と実際の結果の間の適切な相関を回復することができる。
本明細書において説明されている、ウェハ・レベルに対する製造可能性、検査可能性及び/又は転写可能性のためのデータに適用される3つのタイプのチェックは、いくつかの方法で実行することができる。最も基本的なレベルでは、単純なルールをエッジ毎に作成し、かつ、処理することができる。最も複雑な実施形態では、3つの異なるプロセス・ステップ(レチクル作成、レチクル検査及びウェハ・レベルへのパターン転写)からなるモデルを生成することができ、モデル化されたデータに対するチェックを実行することができる。例えば、レチクルを作成する場合、ルール・リストをOPC改良設計のデータ・ファイル(GDSIIデータなど)に直接適用することができ、あるいはデータを作成する方法をモデル化することができ、また、装飾データ・ファイルに戻るシミュレーション・データを比較することによってエラーを識別し、フィーチャの許容不可能なあらゆる紛失、オーバサイズ、ひずみを決定することができる。同様に、検査可能性チェックをデータ・ファイルに適用して単純に実行することができ、あるいはモデル化されたマスクとデータ・ファイルを、レチクル・パターンが仕様範囲内であるかどうかを検査では識別することができない部分の欠陥を突き止めるための異なる検査アルゴリズムを使用して比較することができる。ウェハの印刷可能性レベルでは、同じく単純なルール・セットをモデル化されたウェハ・レベル・パターンに適用することができ、あるいはモデル化されたウェハ・レベル・パターンとモデル化された理想パターンを比較することができ、また、個々のフィーチャのエッジ配置を比較することによってエラーを識別することができる。
上で説明したように、設計者の意図に基づいてデータをセグメント化することも可能であり、また、デバイスの機能に対する所与の領域の重要性の程度に基づいて、異なるレベルのチェックを適用することができる。これらの異なる要注意領域は、異なる感度を3つのすべてのルール・チェックに使用して評価することができる。例えば、レチクル製造可能性チェックを設定することにより、不可能ではないが極めて困難なフィーチャを電気的に重要性に劣る領域ではなく、最も重要な回路部分に含めることができる。同様に、検査可能性チェックによって、他の領域ではなく、一部の領域の極めて検査が困難なパターンのいくつかが可能になる。この手法を使用することにより、困難なフィーチャの数を最少化し、また、良好なレチクルとデバイスの歩留りを向上させることができる。
提案されている方法とシステムによって経費のかかる遅延と「再スピン」が低減され、それにより良好なレチクル及び良好なデバイスを製造する時間が全製造サイクルから大幅に短縮される。再スピンは、レチクルが製造され、かつ、ウェハが処理された後に、デバイスが動作不能になる欠陥が最終試験で検出される最悪シナリオである。したがって設計全体を見直し、新しいレチクルを製造し、かつ、新しいウェハを製造しなければならず、これらはすべて多大な費用を必要とし、市場に出すまでの時間が大幅に遅延されることになる。本明細書において説明されている方法とシステムにより、印刷不可能な画像の作成を試行する余計なレチクル作成時間が短縮され、費用のかかる検査遅延が短縮され、かつ、パターン・レイアウト内のエラーによる最終チップの適切な機能の履行不全の機会が減少する。
また、提案されている方法とシステムは、製造設計の点でいくつかの利点を有している。例えば、システムは、マスクを作成する直前の最終データベース上で動作しているため、元の設計に対するOPCとマスク・プロセス・オフセットを始めとするあらゆる修正がデータに含まれている。また、本明細書において説明されている方法とシステムは、実際のマスク・プロセスを反映するために、外部データ(SEM画像)を使用して、あるいはダイ・ツー・データベース・レチクル検査ツールに使用されている既存の較正スキームとデータを共有することによって較正することができる。この手法により、ユーザは、異なるマスク作成ツールもしくはプロセスを使用してマスクを作成した場合に、どのようにそのマスクが出現するかをシミュレートし、かつ、試験することができ、それにより設計毎に最良のプロセスを選択することができる。
また、本明細書において説明されているすべてのプロセス・ステップ(レチクル作成、レチクル検査、ウェハ・レベルへのパターン転写)の場合、RETフィーチャが所望のルールに合格するようRETフィーチャを描き直す自動修正ソフトウェアを生成することができ、したがって修正プロセスを自動化することができる。コンピュータ実施方法は、コンピュータ・システム上もしくはコンピュータのローカル・ネットワーク上のいずれかで動作させることができるソフトウェアとして実施することができ、あるいはウェブ・ベース・インターネット接続などの遠隔接続を介して動作させることができる。このモードは、設計を鋳造工場に送って製造する非製造半導体会社にとりわけ有用である。データを鋳造工場へ出荷する前に設計をチェックすることにより、速やかにエラーを捕獲し、かつ、修正することができ、それにより製造プロセスの費用とサイクル時間を大幅に低減することができる。
本明細書において説明されている方法は、ウェハ上への印刷可能性に関連して説明されているが、上記方法は、他のパターン転写プロセスにも適用することができることを理解されたい。例えば、「パターン転写」という用語を使用して、ウェハ印刷プロセス(リソグラフィ)のみを示すことができ、あるいは最終パターン化構造をウェハ・レベルにもたらすエッチング、研磨、その他のウェハ・レベル・プロセスを示すことができる。このような例の1つでは、上記方法を使用することにより、レチクル・レイアウト・データをウェハ上に印刷する方法を示すシミュレーション画像をエッチング・プロセス・モデルの入力として使用して、レチクル・レイアウト・データのエッチング性を決定することができる。エッチング・プロセス・モデルは、当分野で知られている任意の適切なエッチング・モデルを含むことができる。また、エッチング・プロセス・モデルは、本明細書において説明されているように生成し、かつ/又は更新することができる(実験データを使用して)。
他の方法は、レチクル・レイアウト・データを評価するための方法を実行するコンピュータ・システム上で実行可能なプログラム命令を備えた搬送媒体に関している。上記方法には、レチクル・レイアウト・データをレチクル製造プロセスのモデルの入力として使用してシミュレーション画像を生成するステップが含まれている。このシミュレーション画像は、レチクル・レイアウト・データのフィーチャをレチクル製造プロセスを使用してレチクル上に形成する方法を示している。また、上記方法には、シミュレーション画像を使用してレチクル・レイアウト・データの製造可能性を決定するステップが含まれている。この製造可能性は、レチクル上にいかに正確にフィーチャを形成することができるかを示す測度である。上記方法は、本明細書において説明されている1つ又は複数の他の任意のステップを含むことができる。
本明細書において説明されているようなプログラム命令実施方法は、搬送媒体を介して伝送することができ、あるいは搬送媒体に記憶することができる。搬送媒体には、電線、ケーブルあるいは無線伝送リンクなどの伝送媒体を使用することができる。また、搬送媒体は、リード・オンリ・メモリ、ランダム・アクセス・メモリ、磁気もしくは光ディスクあるいは磁気テープなどの記憶媒体であっても良い。
他の実施形態は、レチクル・レイアウト・データを評価するようになされたシステムに関している。このシステムはコンピュータ・システムを備えており、コンピュータ・システムは、1つ又は複数のプロセッサを備えている。一実施形態では、コンピュータ・システムは、上記実施形態によるコンピュータ実施方法を実行するためのプログラム命令を実行するように構成することができる。特定の一実施形態では、上記システムは、RET改良設計データと非装飾設計データを入力として受け取り、かつ、1)パターンをレチクル上に適切に印刷することができない領域、2)パターンが適切に印刷されたことを保証するためにレチクルを検査することができない領域、3)ウェハ上のパターンを適切に印刷することができない領域の出力のうちの1つ又は複数を予測するソフトウェアを有する、スタンドアロン・ツールもしくはネットワーク・ツールのいずれかとして、高速処理を備えたコンピュータ・プラットフォームを備えることができる。ソフトウェアは、これらのエラーを単純に報告することができ、場合によっては閉ループ・モードでそれらのエラーを修正することができる。
コンピュータ・システムは、パーソナル・コンピュータ・システム、メインフレーム・コンピュータ・システム、ワークステーション、ネットワーク・アプライアンス、インターネット・アプライアンスあるいは他のデバイスを始めとする様々な形態を取ることができる。通常、「コンピュータ・システム」という用語は、記憶媒体からの命令を実行する1つ又は複数のプロセッサを有するあらゆるデバイスを包含するべく広義に定義されている。
プログラム命令は、とりわけプロシージャ・ベース技法、コンポーネント・ベース技法及び/又はオブジェクト指向技法を始めとする任意の様々な方法で実現することができる。例えば、プログラム命令は、ActiveXコントロール、C++オブジェクト、JavaBeans、Microsoft Foundation Classes(「MFC」)、あるいは必要に応じて他の技術もしくは方法を使用して実現することができる。
図6は、本明細書において説明されている複数の方法のうちの1つ又は複数を実行するために使用することができるシステムの一実施形態を示したものである。このシステムはコンピュータ・システムを備えており、コンピュータ・システムはプロセッサ70を備えている。プロセッサは、当分野で知られている任意の適切なプロセッサを備えることができる。例えば、プロセッサには、画像コンピュータもしくは並列プロセッサを使用することができる。上記システムは、複数のプロセッサを備えることも可能である。また、上記システムは、搬送媒体72を備えることができる。この搬送媒体は、上で説明したように構成することができる。例えば、搬送媒体72は、プロセッサ70上で実行可能なプログラム命令74を備えている。このプログラム命令を実行することにより、上で説明した方法の任意の実施形態を実行することができる。また、このプログラム命令は、上で説明したように構成することができる。
いくつかの実施形態では、上記システムは、さらに、レチクル検査及び/又は計測ツール76を備えることができる。レチクル検査及び/又は計測ツール76は、レチクル78上の欠陥(図示せず)を検出し、かつ/又はレチクル78上に形成されたフィーチャ(図示せず)の1つ又は複数の特性を測定するように構成することができる。レチクル検査及び/又は計測ツール76は、プロセッサ70に結合することができる。例えば伝送媒体(図示せず)を使用してツール76の1つ又は複数のコンポーネントをプロセッサ70に結合することができる。伝送媒体は、「電線」部分と「無線」部分を備えることができる。他の例では、ツール76の検出器80は、出力82を生成するように構成することができる。この出力は、伝送媒体を介して検出器80からプロセッサ70へ伝送することができる。また、ツール76の検出器84は、伝送媒体を介して検出器84からプロセッサ70へ伝送することができる出力86を生成するように構成することができる。また、いくつかの実施形態では、出力82、86は、検出器とプロセッサの間に結合された1つ又は複数の電子コンポーネントを介して伝送することができる。したがって出力82、86は、ツール76からプロセッサへ伝送される。出力82、86は、検査データ及び/又は計測データを含むことができる。プログラム命令74をプロセッサ上で実行することにより、出力82及び/又は86を使用して、本明細書において説明されている複数のコンピュータ実施方法のうちの1つ又は複数を実行することができる。
レチクル検査及び/又は計測ツール76はステージ88を備えており、検査及び/又は測定の間、ステージ88の上にレチクル78を配置することができる。このステージは、当分野で知られている任意の適切な機械式アセンブリすなわちロボット・アセンブリを備えることができる。また、レチクル検査及び/又は計測ツール76は光源90を備えている。光源90は、当分野で知られている任意の適切な光源を備えることができる。また、レチクル検査及び/又は計測ツールは、レチクル78の上部表面に対してほぼ直角の角度で光を光源90からレチクル78上に導くようになされたビーム・スプリッタ92を備えることができる。このビーム・スプリッタは、当分野で知られている任意の適切なビーム・スプリッタを備えることができる。別法としては、光源は、レチクル78の表面に対して斜角照明角度で光が導かれるように構成することができる。検出器80は、レチクル78の上部表面で反射し、かつ、ビーム・スプリッタ92を透過した光を検出するようになされている。また、検出器80は、出力82を生成するようになされている。検出器84は、レチクル78を透過した光を検出するようになされている。また、検出器84は、出力86を生成している。この方法によれば、ツール76は、反射光及び/又は透過光を使用してレチクル上の欠陥を検出するように構成することができる。これらの検出器は、当分野で知られている任意の適切な検出器を備えることができる。
図6は、レチクル検査及び/又は計測ツールの一般的な構成の1つを示したものであるが、レチクル検査及び/又は計測ツールは、当分野で知られている任意の適切な構成にすることができることを理解されたい。例えばレチクル検査及び/又は計測ツールは、KLA−Tencorから商用的に入手することができる8250ツール、8250−Rツールもしくは8450ツールのうちの1つを備えることができる。また、レチクル検査及び/又は計測ツールは、光画像化システム、楕円偏光計ベース・システム、スキャッタメータ・ベース・システムもしくはCD SEMなどの電子ビーム・システムなどの様々な構成にすることも可能である。
また、図6に示すコンピュータ・システムのプロセッサは、レチクル検査及び/又は計測ツールに結合されているが、コンピュータ・システムは、他の実施形態においてスタンドアロン・ツールとして構成することができることを理解されたい。例えばコンピュータ・システムは、本明細書において説明されている複数の方法のうちの1つ又は複数を実行するために特別に設計された(また、任意選択で専用の)1つ又は複数のコンポーネントを備えることができる。また、コンピュータ・システムは、集積回路設計を生成するための方法などの他の任意の1つ又は複数の方法を実行するように構成することができる。
以上の説明に鑑みて、当業者には本発明の様々な形態に対する他の改変及び代替実施形態が明らかであろう。例えばレチクル・レイアウト・データを評価するための方法、システム、搬送媒体が提供されているが、以上の説明は、単に本発明の説明を目的としたものにすぎず、また、本発明を実行するための一般的な方法を当業者に教示することを目的としたものであることを理解されたい。本明細書において示し、かつ、説明した本発明の形態は、現時点における好ましい実施形態としての形態を取っていることを理解されたい。本明細書において示し、かつ、説明したエレメントや材料以外のエレメントや材料を使用することができ、部品とプロセスは逆にすることも可能である。また、本発明の特定の機能を個々に利用することができるが、これらはすべて、本発明についての以上の説明の恩恵を受ける当業者には明らかであろう。特許請求の範囲に記載されている本発明の精神及び範囲を逸脱することなく、本明細書において説明したエレメントに変更を加えることができる。
レチクルの検査に使用されているコンピュータ実施方法の一例を示す流れ図である。 レチクル・レイアウト・データを評価するためのコンピュータ実施方法の様々な実施形態を示す流れ図である。 レチクル・レイアウト・データを評価するためのコンピュータ実施方法の様々な実施形態を示す流れ図である。 レチクル・レイアウト・データを評価するためのコンピュータ実施方法の様々な実施形態を示す流れ図である。 レチクル・レイアウト・データを評価するためのコンピュータ実施方法の様々な実施形態を示す流れ図である。 本明細書において説明されているコンピュータ実施方法の1つ又は複数を実行するために使用することができるシステムの一実施形態の略側面図である。
符号の説明
70 プロセッサ、72 搬送媒体、74 プログラム命令、76 レチクル検査及び/又は計測ツール、78 レチクル、80、84 検出器、82、86 出力、88 ステージ、90 光源、92 ビーム・スプリッタ

Claims (31)

  1. レチクル・レイアウト・データを評価するためのコンピュータ実施方法であって、
    前記レチクル・レイアウト・データをレチクル製造プロセスのモデルに対する入力として使用して、前記レチクル・レイアウト・データのフィーチャを前記レチクル製造プロセスを使用してレチクル上に形成する方法を示すシミュレーション画像を生成するステップと、
    前記シミュレーション画像と前記レチクル・レイアウト・データを比較するステップと、
    前記フィーチャを前記レチクル上に適切に作成することができない前記レチクル・レイアウト・データ内の領域を識別するステップとを含む方法。
  2. 前記シミュレーション画像に設計ルール・チェックを適用するステップをさらに含む請求項1に記載の方法。
  3. 計者が意図する前記様々な部分に関連するデータに基づいて前記シミュレーション画像の様々な部分を解析するステップをさらに含む請求項1に記載の方法。
  4. 前記生成ステップに先立って前記レチクル・レイアウト・データをレチクル処理バイアスを使用して変更するステップをさらに含む請求項1に記載の方法。
  5. 前記モデルが前記レチクル製造プロセスを実行するために使用されるレチクル作成ツールに特化された請求項1に記載の方法。
  6. 前記レチクル製造プロセスを使用して製造されたレチクル上で測定されたデータを使用して前記モデルを変更するステップをさらに含む請求項1に記載の方法。
  7. 前記シミュレーション画像を使用して前記レチクル・レイアウト・データの検査可能性を決定するステップをさらに含む請求項1に記載の方法。
  8. 前記検査可能性を決定するステップが、前記レチクルの検査によって生成されるデータが有する近似信号対雑音比を決定するステップを含む請求項に記載の方法。
  9. 前記検査可能性を決定するステップが、検査ツールが前記レチクル上で検出することができる最も小さい欠陥のサイズを決定するステップを含む請求項に記載の方法。
  10. 前記検査可能性を決定するステップが、前記シミュレーション画像の様々な部分を、設計者が意図する前記様々な部分に関連するデータに基づいて解析するステップを含む請求項に記載の方法。
  11. 前記生成ステップを前記レチクル製造プロセスの異なるパラメータに対して実行するステップをさらに含み、前記検査可能性を決定するステップが、前記異なるパラメータを使用して生成された異なるシミュレーション画像に対して実行される請求項に記載の方法。
  12. 前記異なるパラメータが複数の異なるレチクル作成ツールを含む請求項11に記載の方法。
  13. 他の前記異なるレチクル作成ツールより高い検査可能性でレチクルを製造することができる異なるレチクル作成ツールの1つを選択するステップをさらに含む請求項12に記載の方法。
  14. 前記シミュレーション画像を使用して前記レチクル・レイアウト・データの印刷可能性を決定するステップをさらに含む請求項1に記載の方法。
  15. 前記印刷可能性を決定するステップが、前記レチクルの前記フィーチャをリソグラフィ・プロセスを使用してウェハ上に印刷する方法を示す追加シミュレーション画像を生成するステップを含む請求項14に記載の方法。
  16. 前記印刷可能性を決定するステップが、前記追加シミュレーション画像に設計ルール・チェックを適用するステップをさらに含む請求項15に記載の方法。
  17. 前記印刷可能性を決定するステップが、前記追加シミュレーション画像に光学ルール・チェックを適用するステップをさらに含む請求項15に記載の方法。
  18. 前記印刷可能性を決定するステップが、前記追加シミュレーション画像と前記フィーチャの理想画像を比較するステップ、及び前記追加シミュレーション画像中の個々のフィーチャのエッジ配置と前記理想画像中の対応するフィーチャのエッジ配置を比較することによって前記追加シミュレーション画像中のエラーを突き止めるステップをさらに含む請求項15に記載の方法。
  19. 前記印刷可能性を決定するステップが、設計者が意図する前記様々な部分に関連するデータに基づいて前記追加シミュレーション画像の様々な部分を解析するステップをさらに含む請求項15に記載の方法。
  20. 前記印刷可能性を決定するステップが、前記レチクルの前記フィーチャをリソグラフィ・プロセスを使用してウェハ上に印刷する方法を示す追加シミュレーション画像を異なるプロセス・パラメータで生成するステップを含む請求項15に記載の方法。
  21. 前記異なるプロセス・パラメータが異なるタイプのリソグラフィ露光ツールを含む請求項20に記載の方法。
  22. 前記印刷可能性を決定するステップが、前記レチクルの前記フィーチャをリソグラフィ・プロセスを使用してウェハ上に印刷する方法を示す追加シミュレーション画像を、前記シミュレーション画像をリソグラフィ・プロセス・モデルの入力として使用して生成するステップを含み、前記方法が、前記リソグラフィ・プロセスを使用して印刷されたウェハ上で測定されたデータを使用して前記リソグラフィ・プロセス・モデルを変更するステップをさらに含む請求項15に記載の方法。
  23. 前記シミュレーション画像が、前記レチクル・レイアウト・データのすべてのフィーチャを前記レチクル上に形成する方法をさらに示す請求項1に記載の方法。
  24. 前記レチクル・レイアウト・データが、光近接修正フィーチャ・データ、収差修正フィーチャ・データ、移相フィーチャ・データもしくはそれらの組合せを含む請求項1に記載の方法。
  25. 1つ又は複数の改訂レチクル製造プロセスを生成するために、前記レチクル製造プロセスの1つ又は複数のパラメータを変更するステップと、前記1つ又は複数の改訂レチクル製造プロセスに対して前記生成ステップ、前記比較ステップ、前記識別ステップを実行するステップと、前記1つ又は複数の改訂レチクル製造プロセスの中から、前記レチクル・レイアウト・データの製造可能性を最も著しく改善する改訂レチクル製造プロセスを決定するステップとをさらに含む請求項1に記載の方法。
  26. 前記1つ又は複数のパラメータが異なるタイプのマスク作成ツールを含む請求項25に記載の方法。
  27. 比較ステップに基づいて前記レチクル・レイアウト・データ中の欠陥を識別するステップをさらに含む請求項1に記載の方法。
  28. ユーザによる前記欠陥の調査と前記欠陥を修正するための前記レチクル・レイアウト・データの変更を許容するステップをさらに含む請求項27に記載の方法。
  29. 前記欠陥を修正するために前記レチクル・レイアウト・データを変更するステップをさらに含む請求項27に記載の方法。
  30. レチクル・レイアウト・データを評価するための方法を実行するコンピュータ・システム上で実行可能なプログラム命令を備えた搬送媒体であって、前記方法が、
    前記レチクル・レイアウト・データをレチクル製造プロセスのモデルに対する入力として使用して、前記レチクル・レイアウト・データのフィーチャを前記レチクル製造プロセスを使用してレチクル上に形成する方法を示すシミュレーション画像を生成するステップと、
    前記シミュレーション画像と前記レチクル・レイアウト・データを比較するステップと、
    前記フィーチャを前記レチクル上に適切に作成することができない前記レチクル・レイアウト・データ内の領域を識別するステップとを含む搬送媒体。
  31. レチクル・レイアウト・データを評価するように構成されたシステムであって、
    コンピュータ・システムと、
    搬送媒体とを備え、その搬送媒体が
    前記コンピュータ・システム上で実行可能な、
    前記レチクル・レイアウト・データをレチクル製造プロセスのモデルに対する入力として使用して、前記レチクル・レイアウト・データのフィーチャを前記レチクル製造プロセスを使用してレチクル上に形成する方法を示すシミュレーション画像を生成するステップと、
    前記シミュレーション画像と前記レチクル・レイアウト・データを比較するステップと、
    前記フィーチャを前記レチクル上に適切に作成することができない前記レチクル・レイアウト・データ内の領域を識別するステップと
    を実行するためのプログラム命令を備えたシステム。
JP2005266020A 2004-09-14 2005-09-13 レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 Active JP4904034B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60967004P 2004-09-14 2004-09-14
US60/609,670 2004-09-14

Publications (2)

Publication Number Publication Date
JP2006085175A JP2006085175A (ja) 2006-03-30
JP4904034B2 true JP4904034B2 (ja) 2012-03-28

Family

ID=36163675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005266020A Active JP4904034B2 (ja) 2004-09-14 2005-09-13 レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体

Country Status (2)

Country Link
US (1) US7689966B2 (ja)
JP (1) JP4904034B2 (ja)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7131103B2 (en) * 2004-03-04 2006-10-31 Lsi Logic Corporation Conductor stack shifting
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
WO2005111874A2 (en) 2004-05-07 2005-11-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7315999B2 (en) * 2005-03-17 2008-01-01 Synopsys, Inc. Method and apparatus for identifying assist feature placement problems
JP2007004585A (ja) * 2005-06-24 2007-01-11 Toshiba Corp マスクパタンデータの検証方法、マスクの製造方法、マスクパタンデータの検証プログラム
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
KR100673014B1 (ko) * 2005-10-28 2007-01-24 삼성전자주식회사 포토 마스크의 제조 방법
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
KR101324419B1 (ko) * 2006-02-09 2013-11-01 케이엘에이-텐코 코포레이션 웨이퍼의 특성을 결정하기 위한 방법 및 시스템
US7925486B2 (en) * 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
WO2007137261A2 (en) * 2006-05-22 2007-11-29 Kla-Tencor Technologies Corporation Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool
US7711177B2 (en) * 2006-06-08 2010-05-04 Kla-Tencor Technologies Corp. Methods and systems for detecting defects on a specimen using a combination of bright field channel data and dark field channel data
WO2008001922A1 (fr) * 2006-06-27 2008-01-03 Nec Corporation Procédé, système et programme d'analyse d'enroulement de carte ou de composant électronique
US8102408B2 (en) * 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7510960B2 (en) * 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
WO2008039674A2 (en) 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US8056022B2 (en) 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
JP2008139688A (ja) * 2006-12-04 2008-06-19 Toshiba Corp 半導体集積回路の製造方法、マスクの製造方法、半導体マスクデータ製造装置、マスクパターンの修正方法、及び設計レイアウトの修正方法
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
JP5427609B2 (ja) * 2006-12-19 2014-02-26 ケーエルエー−テンカー・コーポレーション 検査レシピ作成システムおよびその方法
WO2008086282A2 (en) * 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8365107B2 (en) 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
US7894659B2 (en) * 2007-02-28 2011-02-22 Kla-Tencor Technologies Corp. Methods for accurate identification of an edge of a care area for an array area formed on a wafer and methods for binning defects detected in an array area formed on a wafer
US7925072B2 (en) * 2007-03-08 2011-04-12 Kla-Tencor Technologies Corp. Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods
US7873504B1 (en) 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8085824B2 (en) * 2007-05-31 2011-12-27 Finisar Corporation Optimization of laser parameters to achieve desired performance
US7796804B2 (en) * 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US8611639B2 (en) * 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US8245161B1 (en) 2007-08-16 2012-08-14 Kla-Tencor Corporation Verification of computer simulation of photolithographic process
TWI469235B (zh) * 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US20090121357A1 (en) * 2007-11-08 2009-05-14 International Business Machines Corporation Design structure for bridge of a seminconductor internal node
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
NL1036335A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Device manufacturing method, lithographic system, lithographic apparatus and design for manufacturing system.
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
NL1036750A1 (nl) 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
TW200947510A (en) * 2008-05-05 2009-11-16 Promos Technologies Inc Method for establish scattering bar rule and apparatus for estabilishing scattering bar rule
US7912658B2 (en) * 2008-05-28 2011-03-22 Kla-Tencor Corp. Systems and methods for determining two or more characteristics of a wafer
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
JP6185693B2 (ja) * 2008-06-11 2017-08-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのためのシステムおよび方法
US8494802B2 (en) * 2008-06-19 2013-07-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer
US8269960B2 (en) 2008-07-24 2012-09-18 Kla-Tencor Corp. Computer-implemented methods for inspecting and/or classifying a wafer
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8209656B1 (en) 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
FR2960992B1 (fr) * 2010-06-02 2013-05-10 St Microelectronics Rousset Procede de conception de masques pour la formation de composants electroniques
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8495527B2 (en) 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8429570B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP2012252055A (ja) * 2011-05-31 2012-12-20 Toshiba Corp マスク検査方法、マスク作製方法および半導体装置の製造方法
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
JP2015508513A (ja) * 2012-01-13 2015-03-19 ケーエルエー−テンカー コーポレイション データベース支援再適格性レチクル検査の方法および装置
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US8782569B1 (en) * 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9448343B2 (en) 2013-03-15 2016-09-20 Kla-Tencor Corporation Segmented mirror apparatus for imaging and method of using the same
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
TWI544452B (zh) * 2013-06-25 2016-08-01 Hitachi High Tech Corp A sample preparation device for a sample observation apparatus, and a sample observation apparatus
NL2013745A (en) 2013-12-05 2015-06-08 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus.
US9588726B2 (en) 2014-01-23 2017-03-07 Accenture Global Services Limited Three-dimensional object storage, customization, and distribution system
US9218446B1 (en) 2014-06-13 2015-12-22 Globalfoundries Inc. Optimization of integrated circuits for a reticle transmission process window using multiple fill cells
US10373237B2 (en) 2015-01-16 2019-08-06 Accenture Global Services Limited Three-dimensional object storage, customization, and procurement system
US20160217240A1 (en) 2015-01-28 2016-07-28 Dmo Systems Limited Methodology Of Incorporating Wafer Physical Measurement With Digital Simulation For Improving Semiconductor Device Fabrication
US9811076B2 (en) 2015-02-04 2017-11-07 Accenture Global Services Limited Method and system for communicating product development information
US10012599B2 (en) 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10754261B2 (en) * 2017-06-06 2020-08-25 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
US10437951B2 (en) * 2017-08-23 2019-10-08 International Business Machines Corporation Care area generation by detection optimized methodology
US10776277B2 (en) 2017-10-31 2020-09-15 Sandisk Technologies Llc Partial memory die with inter-plane re-mapping
US10290354B1 (en) 2017-10-31 2019-05-14 Sandisk Technologies Llc Partial memory die
US10796065B2 (en) 2018-06-21 2020-10-06 Kla-Tencor Corporation Hybrid design layout to identify optical proximity correction-related systematic defects
TWI824334B (zh) * 2018-08-17 2023-12-01 荷蘭商Asml荷蘭公司 非暫時性電腦可讀媒體
CN117669473B (zh) * 2024-01-29 2024-04-19 全智芯(上海)技术有限公司 用于模型校准的方法、电子设备及存储介质

Family Cites Families (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) * 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) * 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) * 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (fr) 1980-01-09 1981-07-17 Ibm France Procedes et structures de test pour circuits integres a semi-conducteurs permettant la determination electrique de certaines tolerances lors des etapes photolithographiques.
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) * 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) * 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (ja) * 1983-09-16 1985-04-10 Fujitsu Ltd マスクパターンの露光方法
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (ja) * 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd プリント配線板のパタ−ン欠陥検査装置
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) * 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4734721A (en) * 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) * 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) * 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JPH04133059A (ja) * 1990-09-26 1992-05-07 Nec Corp 作画データ分配制御装置
JP3707172B2 (ja) * 1996-01-24 2005-10-19 富士ゼロックス株式会社 画像読取装置
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
DE69208413T2 (de) * 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69333348T2 (de) 1992-03-09 2004-09-16 San Diego Regional Cancer Center, San Diego Anti-idiotypischer Antikörper und seine Verwendung zur Diagnose und Therapie bei HIV-bezogenen Krankheiten
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (ja) * 1992-04-27 1997-10-27 三菱電機株式会社 マスク検査方法およびマスク検出装置
JP3730263B2 (ja) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
JP3212389B2 (ja) 1992-10-26 2001-09-25 株式会社キリンテクノシステム 固体上の異物検査方法
JPH06177706A (ja) 1992-12-08 1994-06-24 Sony Corp 信号処理装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
US5448053A (en) * 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5608538A (en) * 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) * 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) * 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
WO1997013370A1 (en) 1995-10-02 1997-04-10 Kla Instruments Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) * 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JPH09320505A (ja) 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5673208A (en) * 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) * 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (ko) * 1996-10-10 1999-06-15 윤종용 에어리얼 이미지 측정 장치 및 방법
US5866806A (en) * 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3551660B2 (ja) * 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) * 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (ko) 1997-05-10 2001-12-15 박종섭 Gps를이용한시간및주파수발생장치의시간오차개선방법
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) * 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
JP2003526110A (ja) * 1997-09-17 2003-09-02 ニューメリカル テクノロジーズ インコーポレイテッド 設計ルールの照合システム及び方法
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) * 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
JPH11121345A (ja) * 1997-10-21 1999-04-30 Matsushita Electron Corp Lsi用パターンのレイアウト作成方法及びlsi用パターンの形成方法
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6233719B1 (en) * 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) * 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) * 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
JP2000091436A (ja) * 1998-09-09 2000-03-31 Matsushita Electric Ind Co Ltd Lsi用パターンレイアウト作製方法、lsi用パターン形成方法、並びにlsiの製造方法
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (ja) 1998-10-30 2006-12-20 富士通株式会社 リンク処理装置
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6529621B1 (en) * 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
JP2000260879A (ja) * 1999-03-12 2000-09-22 Hitachi Ltd レイアウト設計支援装置、コンピュータ読み取り可能な記録媒体
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
AU3676500A (en) * 1999-05-07 2000-11-21 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
KR20020011416A (ko) * 1999-05-18 2002-02-08 조셉 제이. 스위니 마스트와 비교함으로써 물체의 검사를 수행하는 방법 및장치
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP2001014376A (ja) * 1999-07-02 2001-01-19 Mitsubishi Electric Corp デザインルール生成システムおよびそのプログラムを記録した記録媒体
JP3816390B2 (ja) * 1999-07-02 2006-08-30 富士通株式会社 サービス割り当て装置
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) * 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
JP2001308004A (ja) * 2000-02-16 2001-11-02 Nikon Corp 半導体装置の製造方法及び電子線露光方法
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) * 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
JP3588575B2 (ja) * 2000-03-24 2004-11-10 株式会社東芝 マスク設計データ作成方法
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
WO2001086698A2 (en) 2000-05-10 2001-11-15 Kla-Tencor, Inc. Method and system for detecting metal contamination on a semiconductor wafer
WO2001097096A1 (en) * 2000-06-13 2001-12-20 Mentor Graphics Corporation Integrated verification and manufacturability tool
US6425113B1 (en) * 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
EP1296351A4 (en) * 2000-06-27 2009-09-23 Ebara Corp INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE
JP3968209B2 (ja) * 2000-06-29 2007-08-29 株式会社東芝 フォトマスク欠陥転写特性評価方法、フォトマスク欠陥修正方法及び半導体装置の製造方法
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (ja) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd 欠陥検査解析方法および欠陥検査解析システム
DE10044257A1 (de) 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
JP2002190443A (ja) * 2000-12-20 2002-07-05 Hitachi Ltd 露光方法およびその露光システム
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6680621B2 (en) * 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
KR100610441B1 (ko) * 2001-03-20 2006-08-08 뉴메리컬 테크날러지즈 인코퍼레이티드 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (ja) 2001-03-23 2007-09-12 株式会社日立製作所 荷電粒子線を用いた基板検査装置および基板検査方法
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (ja) 2001-04-10 2008-01-23 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
JP2002323749A (ja) * 2001-04-25 2002-11-08 Dainippon Printing Co Ltd フォトマスクの欠陥部ないし修正後の欠陥部の判定方法
JP4748343B2 (ja) * 2001-04-26 2011-08-17 大日本印刷株式会社 ウエーハ転写検証方法
JP4266082B2 (ja) 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
JP4199939B2 (ja) * 2001-04-27 2008-12-24 株式会社日立製作所 半導体検査システム
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US20030014146A1 (en) * 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP2003031477A (ja) 2001-07-17 2003-01-31 Hitachi Ltd 半導体装置の製造方法およびシステム
JP4122735B2 (ja) * 2001-07-24 2008-07-23 株式会社日立製作所 半導体デバイスの検査方法および検査条件設定方法
JP2003060039A (ja) * 2001-08-16 2003-02-28 Mitsubishi Electric Corp レイアウト検証方法およびそのプログラムおよびレイアウト検証装置
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
EP1515567B1 (en) * 2001-09-12 2006-08-23 Matsushita Electric Industrial Co., Ltd. Image coding method and image decoding method
JP3870052B2 (ja) 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法
JP3955450B2 (ja) * 2001-09-27 2007-08-08 株式会社ルネサステクノロジ 試料検査方法
JP4592240B2 (ja) * 2001-09-29 2010-12-01 株式会社東芝 マスクパターン作成方法及び半導体装置の製造方法
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
JP2003122811A (ja) * 2001-10-12 2003-04-25 Umc Japan 最小レイアウトパターン寸法検出装置
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6813572B2 (en) 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
TWI236574B (en) * 2002-02-08 2005-07-21 Sony Corp Forming method of exposure mask pattern, exposure mask pattern and manufacturing method of semiconductor device
JP3629244B2 (ja) 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
JP3708058B2 (ja) * 2002-02-28 2005-10-19 株式会社東芝 フォトマスクの製造方法およびそのフォトマスクを用いた半導体装置の製造方法
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
JP3754934B2 (ja) * 2002-04-23 2006-03-15 キヤノン株式会社 マスクパターン及び照明条件の設定方法
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
JP2004031709A (ja) * 2002-06-27 2004-01-29 Seiko Instruments Inc ウエハレス測長レシピ生成装置
JP2004039933A (ja) * 2002-07-04 2004-02-05 Matsushita Electric Ind Co Ltd マスク設計システム、マスク設計方法、およびマスク設計処理またはレイアウト設計処理をコンピュータに実行させるためのプログラム
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
US7012438B1 (en) * 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
WO2004008245A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
US7249342B2 (en) * 2002-07-12 2007-07-24 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004008244A2 (en) 2002-07-15 2004-01-22 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (ko) * 2002-09-23 2005-03-10 삼성전자주식회사 웨이퍼의 패턴 검사용 기준 이미지 설정 방법과 이 설정방법을 이용한 패턴 검사 방법 및 장치
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7027143B1 (en) * 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7136143B2 (en) 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US6828068B2 (en) * 2003-01-23 2004-12-07 Photronics, Inc. Binary half tone photomasks and microscopic three-dimensional devices and method of fabricating the same
US6718526B1 (en) * 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP3699960B2 (ja) 2003-03-14 2005-09-28 株式会社東芝 検査レシピ作成システム、欠陥レビューシステム、検査レシピ作成方法及び欠陥レビュー方法
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (ja) 2003-05-14 2004-12-02 Hitachi Ltd 欠陥検査装置および陽電子線応用装置
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
SG111289A1 (en) * 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude
JP4351522B2 (ja) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) * 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
JP4758427B2 (ja) * 2004-07-21 2011-08-31 ケーエルエー−テンカー コーポレイション シミュレーション・プログラムのための入力生成、あるいは、レチクルのシミュレート画像生成のためのコンピュータに実装された方法
ATE512425T1 (de) * 2004-08-09 2011-06-15 Bracco Suisse Sa Verfahren und anordnung zur bildregistrierung in der medizinischen bildgebung basierend auf mehreren masken
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
AU2005284793B2 (en) * 2004-09-15 2011-07-07 The Trustees Of The University Of Pennsylvania Methods for the isolation and expansion of cord blood derived T regulatory cells
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
KR20070104331A (ko) 2004-10-12 2007-10-25 케이엘에이-텐코 테크놀로지스 코퍼레이션 표본 상의 결함들을 분류하기 위한 컴퓨터-구현 방법 및시스템
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (ja) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) * 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
JP4203498B2 (ja) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 画像補正装置、パターン検査装置、画像補正方法、及び、パターン欠陥検査方法

Also Published As

Publication number Publication date
US20060062445A1 (en) 2006-03-23
US7689966B2 (en) 2010-03-30
JP2006085175A (ja) 2006-03-30

Similar Documents

Publication Publication Date Title
JP4904034B2 (ja) レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7925486B2 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
JP4216592B2 (ja) 集積回路の特性を測定するプロセスと装置
US7646906B2 (en) Computer-implemented methods for detecting defects in reticle design data
US8151220B2 (en) Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
KR100596760B1 (ko) 시각 검사 및 검증 시스템
US7873504B1 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
JP5334956B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US8102408B2 (en) Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
JP4758427B2 (ja) シミュレーション・プログラムのための入力生成、あるいは、レチクルのシミュレート画像生成のためのコンピュータに実装された方法
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
US20070111112A1 (en) Systems and methods for fabricating photo masks
US6999611B1 (en) Reticle defect detection using simulation
Howard et al. Inspection of integrated circuit databases through reticle and wafer simulation: an integrated approach to design for manufacturing (DFM)
Martin et al. Exploring new high speed mask aware RET verification flows
Driessen et al. Flexible sensitivity inspection with TK-CMI software for criticality-awareness
Maenaka et al. Defect printability analysis of attenuated PSM using PASStm
CN112099310A (zh) 光强阈值的获取方法以及辅助图形显影情况的检测方法
Ohira et al. Photomask quality assessment solution for 90-nm technology node

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111220

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120106

R150 Certificate of patent or registration of utility model

Ref document number: 4904034

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250