TWI466171B - 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法 - Google Patents

選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法 Download PDF

Info

Publication number
TWI466171B
TWI466171B TW099137055A TW99137055A TWI466171B TW I466171 B TWI466171 B TW I466171B TW 099137055 A TW099137055 A TW 099137055A TW 99137055 A TW99137055 A TW 99137055A TW I466171 B TWI466171 B TW I466171B
Authority
TW
Taiwan
Prior art keywords
patterns
design
pattern
subset
selecting
Prior art date
Application number
TW099137055A
Other languages
English (en)
Other versions
TW201124871A (en
Inventor
Hua-Yu Liu
Luoqi Chen
Hong Chen
Zhi-Pan Li
Youping Zhang
Jiangwei Li
Jun Ye
Min-Chun Tsai
Yen-Wen Lu
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201124871A publication Critical patent/TW201124871A/zh
Application granted granted Critical
Publication of TWI466171B publication Critical patent/TWI466171B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)

Description

選擇圖案子集之方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
本發明係關於微影裝置及程序,且更特定而言,係關於選擇用於光源及遮罩最佳化之圖案子集的方法。
微影裝置可用於(例如)積體電路(IC)之製造中。在此情況下,遮罩可含有對應於IC之個別層的電路圖案,且可將此圖案成像至已塗佈有輻射敏感材料(抗蝕劑)層之基板(矽晶圓)上之目標部分(例如,包含一或多個晶粒)上。一般而言,單一晶圓將含有經由投影系統而一次一個地經順次輻照之鄰近目標部分的整個網路。在一類型之微影投影裝置中,藉由一次性將整個遮罩圖案曝光至目標部分上來輻照每一目標部分;此裝置通常被稱作晶圓步進器。在一替代裝置(通常被稱作步進掃描裝置)中,藉由在給定參考方向(「掃描」方向)上漸進地掃描在投影光束下方之遮罩圖案同時平行或反平行於此方向而同步地掃描基板台來輻照每一目標部分。一般而言,因為投影系統將具有放大因數M (通常<1),所以掃描基板台時之速度V 將為掃描遮罩台時之速度的因數M 倍。可(例如)自以引用之方式併入本文中的美國專利第6,046,792號搜集到關於本文中所描述之微影器件的更多資訊。
在使用微影投影裝置之製造程序中,將遮罩圖案成像至藉由輻射敏感材料(抗蝕劑)層至少部分地覆蓋之基板上。在此成像步驟之前,基板可經歷各種程序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他程序,諸如曝光後烘烤(PEB)、顯影、硬烘烤,及經成像特徵之量測/檢測。將此程序陣列用作圖案化一器件(例如,IC)之個別層的基礎。此經圖案化層可接著經歷各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,其均意欲完成個別層。若需要若干層,則將必須針對每一新層重複整個程序或其變型。最終,一器件陣列將存在於基板(晶圓)上。接著藉由諸如分割或鋸切之技術而使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷等等。
為了簡單起見,可在下文中將投影系統稱作「透鏡」;然而,此術語應被廣泛地解釋為涵蓋各種類型之投影系統,包括(例如)折射光學儀器、反射光學儀器,及反射折射系統。輻射系統亦可包括用於引導、塑形或控制投影輻射光束的根據此等設計類型中之任一者進行操作之組件,且下文亦可將此等組件共同地或單獨地稱作「透鏡」。另外,微影裝置可為具有兩個或兩個以上基板台(及/或兩個或兩個以上遮罩台)之類型。在此等「多載物台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,以引用之方式併入本文中的美國專利第5,969,441號中描述雙載物台微影裝置。
上文所提及之光微影遮罩包含對應於待整合至矽晶圓上之電路組件的幾何圖案。利用CAD(電腦輔助設計)程式來產生用以形成此等遮罩之圖案,此程序通常被稱作EDA(電子設計自動化)。大多數CAD程式遵循一預定設計規則集合,以便形成功能遮罩。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路器件(諸如閘、電容器等等)或互連線之間的空間容許度,以便確保電路器件或線彼此不會以不良方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩個線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製造中之目標中之一者係在晶圓上如實地再生原始電路設計(經由遮罩)。
如所提及,微影為半導體積體電路之製造中的中心步驟,其中形成於半導體晶圓基板上之圖案界定半導體器件之功能元件,諸如微處理器、記憶體晶片等等。類似微影技術亦用於平板顯示器、微機電系統(MEMS)及其他器件之形成中。
隨著半導體製造程序繼續進展,電路元件之尺寸已不斷地縮減,而每器件的功能元件(諸如電晶體)之量已在數十年內穩固地增加,其遵循通常被稱作「莫耳定律」(Moore's law)之趨勢。在當前技術狀態下,使用被稱作掃描器之光學微影投影系統來製造前邊緣器件之臨界層,光學微影投影系統使用來自深紫外線雷射光源之照明而將遮罩影像投影至基板上,從而形成具有充分地低於100奈米(亦即,小於投影光之波長的一半)之尺寸的個別電路特徵。
此程序(其中印刷具有小於光學投影系統之傳統解析度極限之尺寸的特徵)通常被稱作低k 1 微影,其係根據解析度公式CD=k 1 ×λ/NA,其中λ為所使用之輻射之波長(當前在大多數情況下為248奈米或193奈米),NA為投影光學儀器之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k 1 為經驗性解析度因數。一般而言,k 1 愈小,則在晶圓上再生如下圖案變得愈困難:該圖案類似於由電路設計者所規劃之形狀及尺寸,以便達成特定電功能性及效能。為了克服此等困難,將複雜的微調步驟應用於投影系統以及遮罩設計。舉例而言,此等步驟包括(但不限於)NA及光學相干設定之最佳化、定製照明方案、相移遮罩之使用、遮罩佈局中之光學近接校正,或通常被定義為「解析度增強技術」(RET)之其他方法。
作為一重要實例,光學近接校正(OPC,有時亦被稱作「光學及程序校正」(optical and process correction))解決如下事實:在晶圓上經印刷特徵之最終大小及置放將不僅僅為在遮罩上對應特徵之大小及置放的函數。應注意,本文中可互換地利用術語「遮罩」與「比例光罩」。對於存在於典型電路設計上之小特徵大小及高特徵密度,給定特徵之特定邊緣的位置將在特定程度上受到其他鄰近特徵之存在或不存在的影響。此等近接效應起因於自一特徵耦合至另一特徵之光的微小量。類似地,近接效應可起因於在通常緊隨微影曝光之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間的擴散及其他化學效應。
為了確保根據對給定目標電路設計之要求而在半導體基板上產生特徵,需要利用複雜的數值模型來預測近接效應,且需要在高端器件之成功製造變得可能之前將校正或預失真應用於遮罩之設計。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C. Spence,Proc. SPIE,第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」(model-based)之光學近接校正程序之綜述。在一典型高端設計中,幾乎每一特徵邊緣均需要某種修改,以便達成充分地接近於目標設計之經印刷圖案。此等修改可包括邊緣位置或線寬之移位或偏置以及「輔助」特徵之應用,「輔助」特徵不意欲印刷其自身,但將影響關聯主要特徵之屬性。
在給出通常存在於一晶片設計中之數百萬個特徵的情況下,將以模型為基礎之OPC應用於目標設計會需要優良的程序模型及相當多的計算資源。然而,應用OPC通常不為「嚴正科學」(exact science),而為經驗性反覆程序(iterative process),該程序不會始終解析一佈局上之所有可能弱點。因此,需要藉由設計檢測(亦即,使用校準數值程序模型之集約全晶片模擬)來驗證OPC後設計(亦即,在藉由OPC及任何其他解析度增強技術(RET)而應用所有圖案修改之後的遮罩佈局),以便最小化將設計瑕疵建置至遮罩集之製造中的可能性。此情形係因以下各項而被驅使:製造在數百萬美元範圍內運行之高端遮罩集的巨額成本;以及一旦已製造實際遮罩,藉由重做或修復實際遮罩而對產品製作時程(turn-around time)之影響。
OPC及全晶片RET驗證均可基於數值模型化系統及方法,如(例如)美國專利第7,003,758號及Y. Cao等人之名為「Optimized Hardware and Software For Fast,Full Chip Simulation」之論文(Proc. SPIE,第5754卷,405(2005年))中所描述。
除了執行前述遮罩調整(例如,OPC)以致力於最佳化成像結果以外,亦可與遮罩最佳化聯合地或單獨地最佳化用於成像程序中之照明方案,以致力於改良總微影保真度。自20世紀90年代以來,許多離軸光源(諸如環形、四極及偶極)已被引入,且已向OPC設計提供更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析遮罩中所含有之精細結構(亦即,目標特徵)的經證實方式。然而,與傳統照明器相比較,離軸照明器通常向空中影像(AI)提供較少光強度。因此,變得有必要試圖最佳化照明器以達成更精細之解析度與縮減之光強度之間的最佳平衡。
吾人已知許多先前技術照明最佳化方法。舉例而言,在Rosenbluth等人之名為「Optimum Mask and Source Patterns to Print A Given Shape」之論文(Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁(2002年))中,將光源分割成若干區域,該等區域中之每一者對應於光瞳光譜之特定區域。接著,假定光源分佈在每一光源區域中均一,且針對程序窗(process window)最佳化每一區域之亮度。然而,光源分佈在每一光源區域中均一之此假定不始終有效,且結果,此方法之有效性受損失。在Granik之名為「Source Optimization for Image Fidelity and Throughput」之論文(Journal of Microlithography,Microfabrication,Microsystems 3(4),第509至522頁(2004年))中所闡述的另一實例中,綜述若干現有光源最佳化方法,且提議一種基於照明器像素之方法,其將光源最佳化問題轉換成一系列非負最小平方最佳化。儘管此等方法已示範一些成功,但其通常需要多次複雜反覆以收斂。此外,可能難以判定一些額外參數(諸如Granik之方法中的γ)之適當/最佳值,此情形規定在最佳化用於晶圓影像保真度之光源與光源之平穩度要求之間的取捨。
對於低k1光微影,需要光源及遮罩兩者之最佳化(亦即,光源及遮罩最佳化或SMO)以確保用於印刷臨界圖案之可行程序窗。現有演算法(例如,Socha等人之Proc. SPIE,第5853卷,第180頁,2005年)通常在空間頻域中將照明離散化成獨立光源點及將遮罩離散化成繞射級,且基於諸如曝光寬容度之程序窗度量而單獨地公式化成本函數,曝光寬容度可藉由光學成像模型而自光源點強度及遮罩繞射級加以預測。接著,使用標準最佳化技術來最小化目標函數。
此等習知SMO技術在計算上係昂貴的(特別對於複雜設計)。因此,通常僅實務的是執行用於簡單重複設計之光源最佳化,諸如Flash之記憶體單元、DRAM器件,或邏輯器件記憶體設計之SRAM單元(Flash、DRAM及SRAM)。同時,全晶片包括諸如邏輯及閘之其他更複雜設計。因此,因為SMO光源最佳化僅係基於特定設計之有限小區域,所以難以保證光源將適用於不包括於SMO程序中之設計。因此,仍需要如下技術:其可在實務運行時間量內最佳化用於表示全晶片中之所有複雜設計佈局之多個設計剪輯(clip)的光源。
本發明係關於微影裝置及程序,且更特定而言,係關於用於最佳化用於微影裝置及程序中之照明光源及遮罩的工具。根據特定態樣,本發明藉由自全設計智慧地選擇一圖案子集來實現該設計之覆蓋或甚至實現一全晶片之覆蓋,同時降低計算成本,其中該設計或該設計之一修改經組態以經由一微影程序而成像至一基板上。舉例而言,可將該選定子集用於光源及遮罩最佳化中。舉例而言,可僅對此選定圖案子集執行最佳化以獲得一最佳化光源。舉例而言,可接著使用該最佳化光源來最佳化用於該全晶片之該遮罩(例如,使用OPC及可製造性驗證),或可將該等選定圖案之該最佳化遮罩直接用於該設計中,使得OPC僅應用於具有該最佳化光源的該設計之剩餘部分上。在一反覆方法中,比較使用該子集所最佳化之該遮罩的程序窗效能結果與使用該全晶片所最佳化之該遮罩的程序窗效能結果。若該等結果與習知全晶片SMO相當,則該程序結束,否則,提供用於反覆地收斂於成功結果之各種方法。
在此等態樣及其他態樣之促進中,一種選擇與一設計相關聯之一圖案子集之方法包括:自該設計識別與該設計之預定義表示相關的一圖案集合;對該圖案集合進行分群及/或排名;定義與該分群及/或排名相關之一臨限值;及自該圖案集合選擇該圖案子集,其中該子集包含高於或低於該臨限值的來自該圖案集合之圖案。藉由根據該方法來選擇該圖案子集,該選定圖案子集構成該設計之一類似預定義表示以作為該圖案集合。舉例而言,該設計之此預定義表示可為藉由該設計之該等圖案產生的繞射級。隨後,可對該等圖案進行分群。在當前實例中,此分群可根據該等圖案之繞射級分佈,例如,可計算該等圖案中之每一者之間的一幾何相關,且可執行一分類方法以將最類似圖案分群在一起。然而,根據該設計之另一預定義表示的分群亦可為可能的。自該等群組中之每一者(例如,自該等繞射級群組中之每一者)選擇至少一圖案會確保該選定圖案子集中該設計(例如,該繞射級分佈)之總表示實質上對應於該設計或該全晶片的該設計(諸如該繞射級分佈)之該表示。當使用此圖案子集來執行該光源及遮罩最佳化時,該最佳化程序考慮在該設計(在此情況下,其為該全晶片之該繞射級分佈)之該預定義表示中所表示的該全晶片設計之所有態樣。或者,舉例而言,選擇一圖案子集之該步驟可自包含程序窗限制圖案之該等繞射級群組選擇至少一圖案。在此實施例中,該光源及遮罩最佳化可(例如)主要地聚焦於改良程序窗限制結構之成像特性。另外,或者,選擇一圖案子集之該步驟可自該等經識別繞射級群組中之每一者選擇至少一圖案。在使用該圖案子集之該光源及遮罩最佳化之後,較佳地,使用如下光源進行一另外設計最佳化或全晶片最佳化:該光源係自使用該圖案子集之該光源及遮罩最佳化予以產生。因此,該全晶片得以最佳化。
與該設計相關聯之該圖案子集可包含自該設計手動地或自動地所提取之圖案,或可包含由(例如)該設計之設計者連同該設計一起提供之特定圖案。此等特定圖案(通常亦被指示為剪輯)為與該設計相關聯之單獨圖案,其在於該等剪輯表示該設計之如下部分:對於該等部分,可能需要特殊關注,或該等部分表示用於該微影程序之最困難結構。一設計或設計佈局(通常包含以諸如OASIS、GDSII等等之一標準數位格式的一佈局)(舉例而言,對於該設計或設計佈局,將最佳化一微影程序)可包括記憶體圖案、測試圖案及邏輯圖案。自此設計佈局,識別初始較大圖案(通常亦被指示為剪輯)集合。通常,連同該設計佈局一起提取或提供一剪輯集合。此剪輯集合表示該設計佈局中之複雜圖案(一設計可包含約50個至1000個剪輯,但可提供或提取任何數目個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示該設計之小部分(亦即,電路、單元或圖案),且特別地,該等剪輯通常表示如下小部分:對於該等小部分,需要特定關注及/或驗證。
在本發明之一實施例中,該識別步驟包含識別與該設計相關聯之一剪輯或圖案集合。在本發明之一實施例中,該識別步驟包含自該設計自動地識別圖案以構成該圖案集合之至少一部分。可自動化該圖案集合之該識別,因為可在該識別程序期間使用表示要求來識別該圖案集合之該等圖案。
在本發明之一實施例中,選擇該圖案子集之該步驟包含自為一剪輯集合之該圖案集合選擇剪輯。該圖案子集包含該等選定剪輯。選擇該圖案子集之該步驟亦可包含自該設計手動地提取圖案。在此情況下,該圖案子集包含該等經手動提取圖案。選擇該圖案子集之該步驟亦可包含自該設計自動地提取圖案。在此特定情況下,該圖案子集包含該等經自動提取圖案。歸因於在該選擇方法中存在一臨限值之事實,可藉由指示一電腦程式產品自該圖案集合自動地提取遵照該臨限值之所有圖案進行該選擇步驟,以產生該子集。舉例而言,可由一使用者來定義該臨限值。因此,可將自一設計選擇圖案之整個方法自動化成一提取演算法,該提取演算法自一設計自動地提取該圖案子集。此情形在將在一大量生產環境中執行該光源及遮罩最佳化程序時為一重要益處,在該大量生產環境中,將限制子集選擇之變化以改良該最佳化步驟之可預測性,且將限制選擇該等子集所需要之時間以改良該光源及遮罩最佳化之速度。
在一替代實施例中,該設計之該預定義表示包含不同圖案類型(諸如閘或邏輯圖案),或包含具有一特定定向之圖案。舉例而言,可隨後根據間距而對使用該設計之此表示所識別的一圖案集合進行分群。在一替代實施例中,該設計之該預定義表示包含該設計中該等圖案之一複雜度位準。在一另外替代實施例中,該預定義表示包含在微影處理期間需要特定關注及/或驗證之圖案,諸如記憶體單元。在另一替代實施例中,該預定義表示包含具有一預定義程序窗效能之圖案。可選擇該圖案集合中之定義群組,使得自該等群組中之每一者的與該程序窗效能相關之一圖案之一選擇產生一圖案子集,該圖案子集與全晶片程序窗相比較具有一實質上類似程序窗。因此,藉由執行光源及遮罩最佳化以最佳化該圖案子集之該程序窗,亦實質上最佳化該全晶片之該程序窗。在一另外替代實施例中,該設計之該預定義表示包含對該圖案之程序參數變化之一敏感度。選擇具有對特定程序參數之一特定敏感度的圖案作為用於該光源及遮罩最佳化之子集會導致該光源及遮罩最佳化程序具有對此等特定程序參數之類似敏感度,此情形允許該最佳化程序(例如)縮減對此等特定程序參數之程序敏感度。
在本發明之一額外實施例中,該圖案子集包含熱點,該等熱點構成限制該設計之該程序窗效能的來自該圖案集合之圖案。為了識別該等熱點,可將一數值模型化方法用於模型化該圖案集合之該等圖案之成像效能,以用於識別限制該設計之該程序窗效能的該等圖案。
在本發明之一實施例中,根據與該設計之預定義表示相關的一參數來執行該圖案集合之該分群及/或排名。舉例而言,此參數可為一使用者定義值,或可為一程序窗參數,諸如曝光寬容度及聚焦深度。在本發明之一實施例中,根據與該設計之該預定義表示相關的一函數來執行該圖案集合之該分群及/或排名。舉例而言,此函數可為一嚴重性計分函數(severity score function),其中(例如)包括邊緣置放誤差及遮罩誤差增強函數值以判定模擬輪廓與目標之偏差(EPE)及對遮罩製造誤差之敏感度(MEEF)。在本發明之一實施例中,根據與該設計之該預定義表示相關的一規則來執行該圖案集合之該分群及/或排名。在此以規則為基礎之分群及/或排名中,可根據使用者定義規則發生該分群,諸如線/空間結構(例如,基於預定義W/S區間所分群之圖案,特定W/S組合具有高於其他W/S組合之優先權)之寬度/間隔,或圖案類型(例如,藉由1D線/空間所分群之圖案、線對末端圖案、末端對末端圖案、彎管圖案或H形圖案,特定圖案類型具有高於其他圖案類型之優先權)。
為了自該圖案集合選擇該圖案子集,使用一臨限值。該等選定圖案可為高於或低於該臨限值之圖案,且可甚至為在該臨限值處之圖案。在本發明之一實施例中,該臨限值包含一嚴重性計分位準。在此實施例中,僅將具有一嚴重性計分(例如,在一特定嚴重性計分位準處或高於該特定嚴重性計分位準)的來自該圖案集合之該等圖案選擇至該圖案子集。在本發明之一替代實施例中,該臨限值包含一程序窗參數,諸如曝光寬容度及聚焦深度。在本發明之一另外替代實施例中,該臨限值包含來自在對該圖案集合進行分群之該步驟期間所識別之預定數目個群組之圖案的一數目。該預定數目個群組可為所識別之所有群組,或可僅為一圖案子群組。舉例而言,來自每一群組之圖案的數目可為來自每一群組之至少一圖案,合計達(例如)15個至50個圖案之一最大值。在一另外替代實施例中,該臨限值包含來自依排名次序之該等經排名圖案之圖案的一預定義數目。在本發明之一替代實施例中,該臨限值包含該等圖案中之結構的一尺寸。舉例而言,可在大尺寸圖案之前選擇小尺寸圖案。在本發明之一另外替代實施例中,該臨限值包含該設計中或該圖案集合中該等圖案之出現的一數目。舉例而言,可在低出現圖案之前選擇高出現圖案。在本發明之一替代實施例中,該臨限值包含關於該設計之該等圖案的一臨界度。舉例而言,可在局域互連圖案之前選擇用於閘之圖案或疊對臨界圖案。可藉由指示一電腦程式產品將該臨限值施加至該圖案集合而相對容易地自動化所有此等選擇。
在以上態樣及其他態樣之額外促進中,本發明係關於一種執行光源遮罩最佳化以用於經由一微影程序而將一設計或該設計之一修改成像至一基板上的方法。該方法包含以下步驟:根據前述技術方案中之任一者而自該設計選擇一圖案子集;對該等選定圖案執行光源及遮罩最佳化以獲得一最佳化光源組態,其中該光源組態為用於將該設計或該設計之一修改成像至該基板上之一微影工具之一照明光源的一組態,且該方法包含使用該最佳化光源來最佳化該設計之步驟。在此實施例中,該設計可為一全晶片設計。
在該光源遮罩最佳化之一替代實施例中,可對一個別晶片層進行執行光源遮罩最佳化之該方法。在此實施例中,該方法可藉由(例如)自針對一相關程序或一相關設計所進行之一光源遮罩最佳化選擇一初始光源組態而開始。此初始光源組態可用以使用(例如)用於使用此初始光源組態來模擬該設計之該成像的模擬工具而驗證該設計之微影效能。自此微影效能驗證,可在該設計中識別一或多個熱點。熱點為已經識別成限制一微影參數(諸如聚焦深度、曝光寬容度、臨界尺寸均一性,或甚至,程序窗大小或類似參數)之圖案或剪輯。隨後,將該等經識別熱點中之至少一者包括於該圖案子集中,以用於重新執行該光源遮罩最佳化,但現對於此特定晶片設計,使用包括該至少一經識別熱點之該圖案子集。此流程之一益處在於:通常僅需要與原始光源遮罩最佳化相比較之邊際改變,其確保用以執行此「每設計」(per design)光源遮罩最佳化之典型計算時間與進行無初始光源組態之初始選擇的該光源遮罩最佳化相比較實質上較少。
在以上態樣及其他態樣之額外促進中,本發明係關於一種電腦程式產品,該電腦程式產品包含經記錄有指令之一電腦可讀媒體,該等指令在被執行時使電腦執行自設計選擇圖案子集之方法。
現將參看隨附示意性圖式而僅藉由實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分。
現將參看圖式來詳細地描述本發明,該等圖式係作為本發明之說明性實例而提供,以便使熟習此項技術者能夠實踐本發明。值得注意地,以下諸圖及實例不意謂將本發明之範疇限於單一實施例,而藉由所描述或說明之元件中之一些或全部的互換,其他實施例係可能的。此外,在可使用已知組件來部分地或全部地實施本發明之特定元件時,將僅描述為理解本發明所必要的此等已知組件之彼等部分,且將省略此等已知組件之其他部分的詳細描述,以便不混淆本發明。熟習此項技術者將顯而易見,被描述為以軟體加以實施之實施例不應限於此情形,而可包括以硬體或軟體與硬體之組合加以實施之實施例,且反之亦然(除非本文中另有說明)。在本說明書中,不應認為展示單數組件之實施例係限制性的;相反地,本發明意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然(除非本文中另有明確敍述)。此外,申請人不意欲使本說明書或申請專利範圍中之任何術語均歸於罕有或特殊意義(除非如此明確地闡述)。另外,本發明涵蓋本文中藉由說明所提及之已知組件的目前及未來已知等效物。
儘管在本文中可特定地參考本發明在IC製造中之使用,但應明確地理解,本發明具有許多其他可能應用。舉例而言,本發明可用於製造整合光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,應將在本文中對術語「比例光罩」、「晶圓」或「晶粒」之任何使用認為係分別藉由更通用之術語「遮罩」、「基板」及「目標部分」替換。
在本發明之文件中,術語「輻射」及「光束」係用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有為365奈米、248奈米、193奈米、157奈米或126奈米之波長)及EUV(極紫外線輻射,例如,具有在為5奈米至20奈米之範圍內的波長)。
如在本文中所使用之術語「遮罩」可被廣泛地解釋為指代可用以對應於待形成於基板之目標部分中之圖案而向入射輻射光束賦予經圖案化橫截面的通用圖案化構件;術語「光閥」亦可用於此內容背景中。除了傳統遮罩(透射或反射;二元、相移、混合等等)以外,其他此類圖案化構件之實例亦包括:
● 可程式化鏡面陣列。此器件之實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理在於(例如):反射表面之經定址區域將入射光反射為繞射光,而未經定址區域將入射光反射為非繞射光。藉由使用適當濾光器,可將該非繞射光濾出反射光束,從而僅留下繞射光;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用適當電子構件來執行所需矩陣定址。可(例如)自以引用之方式併入本文中的美國專利第5,296,891號及第5,523,193號搜集到關於此等鏡面陣列之更多資訊。
● 可程式化LCD陣列。以引用之方式併入本文中的美國專利第5,229,872號中給出此建構之實例。
在論述本發明之前,提供關於總模擬及成像程序之簡短論述。圖1說明例示性微影投影系統10。主要組件為:光源12,其可為深紫外線準分子雷射光源;照明光學儀器,其界定部分相干(被表示為均方偏差(sigma))且可包括特定光源塑形光學儀器14、16a及16b;遮罩或比例光罩18;及投影光學儀器16c,其將比例光罩圖案之影像產生至晶圓平面22上。在光瞳平面處之可調整濾光器或孔徑20可限制照射晶圓平面22之光束角度的範圍,其中最大可能角度界定投影光學儀器之數值孔徑NA=sin(Θmax )。
在微影模擬系統中,如圖2所說明,可藉由(例如)單獨功能模組來描述此等主要系統組件。參看圖2,功能模組包括:設計佈局模組26,其界定目標設計;遮罩佈局模組28,其界定待用於成像程序中之遮罩;遮罩模型模組30,其界定待用於模擬程序期間的遮罩佈局之模型;光學模型模組32,其界定微影系統之光學組件的效能;及抗蝕劑模型模組34,其界定用於給定程序中之抗蝕劑的效能。如吾人所知,舉例而言,模擬程序之結果在結果模組36中產生預測輪廓及CD。
更具體而言,應注意,在光學模型32中捕獲照明及投影光學儀器之屬性,光學模型32包括(但不限於)NA均方偏差(σ)設定以及任何特定照明光源形狀(例如,離軸光源,諸如環形、四極及偶極等等)。亦可捕獲塗佈於基板上之光阻層的光學屬性(亦即,折射率、膜厚度、傳播及偏振效應)以作為光學模型32之部分。遮罩模型30捕獲比例光罩之設計特徵,且亦可包括遮罩之詳細物理屬性的表示,如(例如)美國專利第7,587,704號中所描述。最終,抗蝕劑模型34描述發生於抗蝕劑曝光、PEB及顯影期間之化學程序的效應,以便預測(例如)形成於基板晶圓上之抗蝕劑特徵的輪廓。模擬之目標係準確地預測(例如)邊緣置放及CD,其可接著與目標設計進行比較。目標設計通常被定義為OPC前遮罩佈局,且將以諸如GDSII或OASIS之標準化數位檔案格式加以提供。
在一典型高端設計中,幾乎每一特徵邊緣均需要某種修改,以便達成充分地接近於目標設計之經印刷圖案。此等修改可包括邊緣位置或線寬之移位或偏置以及「輔助」特徵之應用,「輔助」特徵不意欲印刷其自身,但將影響關聯主要特徵之屬性。此外,應用於照明光源之最佳化技術可具有對不同邊緣及特徵之不同效應。照明光源之最佳化可包括使用光瞳以將光源照明限於選定光圖案。本發明提供可應用於光源組態及遮罩組態兩者之最佳化方法。
一般而言,根據本發明之實施例的執行光源及遮罩最佳化(SMO)之方法藉由自待用於SMO中之全剪輯集合智慧地選擇小臨界設計圖案集合來實現全晶片圖案覆蓋,同時降低計算成本。僅對此等選定圖案執行SMO以獲得最佳化光源。接著,使用最佳化光源來最佳化用於全晶片之遮罩(例如,使用OPC及LMC),且比較結果。若該等結果與習知全晶片SMO相當,則該程序結束,否則,提供用於反覆地收斂於成功結果之各種方法。
將結合圖3A中之流程圖來解釋根據本發明之實施例的一實例SMO方法。一般熟習此項技術者將直接且明白地顯而易見,圖3A中之流程圖展示可能不始終用於SMO最佳化期間之許多回饋迴路。舉例而言,在程序開發期間之SMO中,最佳可能光源及遮罩可能要求使用若干回饋迴路,而對於在晶片生產程序期間所進行之SMO,速度係重要的且通常使用簡化SMO流程,從而省略如圖3A所示之大多數回饋迴路。
目標設計300(通常包含以諸如OASIS、GDSII等等之標準數位格式的佈局)(對於目標設計300,將最佳化一微影程序)包括記憶體、測試圖案及邏輯。自此設計300,自該設計識別與該設計之預定義表示相關的圖案集合302。在本發明之一特定實施例中,該圖案集合為所提取之全剪輯集合302,其表示設計300中之所有複雜圖案(通常約50個至1000個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示該設計之小部分(亦即,電路、單元或圖案),且特別地,該等剪輯表示如下小部分:對於該等小部分,需要特定關注及/或驗證。
用於識別圖案集合的設計之預定義表示可(例如)包含不同圖案類型(諸如閘或邏輯圖案),或可(例如)包含具有特定定向之圖案。用於識別圖案集合之預定義表示可(例如)亦包含:包含特定複雜度位準之圖案,或在微影處理期間需要特定關注及/或驗證之圖案,例如,遵照設計規則(比如1D全點間距(through pitch)、交錯全點間距、常用設計建構或基元(例如,彎管、T形、H形))之特定測試結構、重複使用之佈局結構(比如記憶體單元(例如,磚牆)、記憶體周邊結構(例如,卡鉤至記憶體單元),及具有自前代已知之成像問題的圖案)等等。用於識別圖案集合之預定義表示可(例如)進一步包含具有預定義程序窗效能之圖案,或(例如)包含如下圖案:其包含對圖案之程序參數變化之敏感度。
如以304大體上所展示,自全集合302選擇小圖案子集306或小剪輯子集306(例如,15個至50個剪輯)。如下文將更詳細地所解釋,較佳地執行圖案或剪輯子集之選擇,使得選定圖案之程序窗儘可能接近地匹配於全臨界圖案集合之程序窗。亦藉由總轉動運行時間(圖案選擇及SMO)縮減來量測選擇之有效性。
在308中,以選定圖案子集(15個至50個圖案)306來執行SMO。更特定而言,針對選定圖案子集306最佳化照明光源。可使用多種已知方法(例如,美國專利公開案第2004/0265707號中所描述之方法)中之任一者來執行此最佳化,該公開案之內容以引用之方式併入本文中。
在310中,以在308中所獲得之光源來執行選定圖案子集306之可製造性驗證。更特定而言,驗證包括執行選定圖案子集306及最佳化光源之空中影像模擬,及驗證該圖案子集將橫越足夠寬之程序窗進行印刷。可使用多種已知方法(例如,美國專利第7,342,646號中所描述之方法)中之任一者來執行此驗證,該專利之內容以引用之方式併入本文中。
若在310中之驗證令人滿意(如在312中所判定),則處理進展至在314中之全晶片最佳化。否則,處理返回至308,其中再次執行SMO,但以不同光源或不同圖案子集來執行SMO。舉例而言,可比較如藉由驗證工具估計之程序效能與諸如曝光寬容度及聚焦深度之特定程序窗參數的臨限值。可由使用者來預定或設定此等臨限值。
在316中,在選定圖案子集滿足如在312中所判定之微影效能規格之後,最佳化光源314將用於全晶片或全剪輯集合之最佳化。
在318中,執行針對全晶片或全剪輯集合316中之所有圖案的以模型為基礎之次解析度輔助特徵置放(MB-SRAF)及光學近接校正(OPC)。可使用多種已知方法(例如,美國專利第5,663,893號、第5,821,014號、第6,541,167號及第6,670,081號中所描述之方法)中之任一者來執行此程序。
在320中,藉由使用類似於步驟310之程序,以最佳化光源314及如在318中所校正之全晶片或全剪輯集合316來執行以全圖案模擬為基礎之可製造性驗證。
在322中,比較全晶片或全剪輯集合316之效能(例如,程序窗參數,諸如曝光寬容度及聚焦深度)與圖案或剪輯子集306。在一實例實施例中,當針對選定圖案(15個至20個)306及所有臨界圖案(50個至1000個)316兩者獲得類似(<10%)微影效能時,認為圖案選擇完成及/或光源對於全晶片完全地合格。
否則,在324中,提取熱點,且在326中,將此等熱點添加至圖案子集306,且該程序重新開始。舉例而言,將在驗證320期間所識別之熱點(亦即,限制程序窗效能的在全晶片或全剪輯集合316當中之特徵)用於另外光源調諧或用以重新運行SMO。當全晶片或全剪輯集合316之程序窗在最後運行與在322之最後運行之前的運行之間相同時,認為光源完全地收斂。在此情況下,可自該流程提取最佳化光源及遮罩,如在步驟328中所指示。
已開發用於304中之多種圖案選擇方法,且下文詳述特定非限制性實例。
圖3B展示用於自設計300選擇圖案子集之圖案選擇方法的總流程。圖案選擇方法中之初始步驟302為自設計300識別圖案。圖案集合中圖案之識別係使得圖案經由如上文所指示之預定義表示而與設計相關。在本發明之一特殊實施例中,經識別圖案集合可包含全剪輯集合。隨後,在步驟350中對經識別圖案集合進行分群及/或排名。此分群及/或排名可根據與預定義表示相關之參數,或根據與預定義表示相關之函數,或根據與預定義表示相關之規則。緊接著,在步驟352中定義一臨限值,其隨後在步驟354中用以自圖案集合選擇圖案子集。
有益地,可在自動化程序中執行識別圖案集合之步驟302及選擇圖案子集之步驟354。歸因於在選擇方法中存在一臨限值之事實,可藉由指示電腦程式產品自圖案集合自動地提取遵照該臨限值之所有圖案進行選擇步驟354,以產生該子集。舉例而言,可由使用者來定義臨限值。又,可自動化圖案集合之識別步驟302,因為亦可在識別程序期間使用表示要求來識別圖案集合之圖案。因此,可將自一設計或全晶片選擇圖案之整個方法自動化成一提取演算法,該提取演算法自該設計或全晶片自動地提取圖案子集。最終,甚至可完全地自動化執行光源及遮罩最佳化之整個方法,此情形可為對在一大量生產環境中執行光源及遮罩最佳化之要求,在該大量生產環境中,自動化程序確保速度及一致性。
在第一實施例中,針對目標設計中之SRAM圖案最佳化光源,接著,識別及選擇在全剪輯集合當中之熱點以作為用於SMO之圖案子集。
舉例而言,如圖4所示,根據此實施例之圖案選擇在S402中藉由自目標設計300選擇SRAM圖案(例如,兩個SRAM圖案)而開始。
在步驟S404中,使用此兩個圖案來執行諸如在308中所執行之光源最佳化的光源最佳化,以獲得用於SRAM圖案之最佳化光源。
在步驟S406中,使用來自S404之最佳化光源而對全剪輯集合302執行OPC。在此步驟中所執行之OPC程序可類似於上文結合圖3之318所描述的程序。
在步驟S408中,針對已在S406中所調整之全剪輯集合302執行可製造性驗證。此驗證可類似於上文結合圖3中之320所描述之驗證加以執行。
自可製造性驗證結果,在S410中選擇具有最差效能之剪輯。舉例而言,S410包括自可製造性驗證結構識別具有對用於SRAM最佳化光源之程序窗之最有限效應的五個至十五個剪輯。
接著,將SRAM圖案及熱點用作圖3之實例全晶片SMO流程中的子集306。
在下一實施例中,在使用原始或初始光源及模型的情況下,自全剪輯集合識別熱點,且選擇此等熱點以作為用於SMO之圖案子集。
舉例而言,如圖5A所示,根據此實施例之圖案選擇在S502中藉由識別用於微影程序之原始或初始光源及模型而開始。在圖5A及圖5B之描述的剩餘部分中,亦將初始光源指示為初始光源組態,以指示用於微影程序中之照明光源最初具有可使用如圖5A及圖5B所描述之光源遮罩程序加以更改的特定組態。舉例而言,將環形照明光源用作初始光源或初始光源組態。或者,原始光源或初始光源可由針對特定或類似微影程序或設計所進行之先前SMO引起。將此原始或初始光源用作當前設計之最佳化的起始點。該模型可為用於計算微影及空中影像模擬中之微影程序的任何模型,且可包括如(例如)在美國專利第7,342,646號中所描述之透射交叉係數(Transmission Cross Coefficient,TCC)。
在步驟S504中,使用光源及模型以及全剪輯集合302來執行可製造性驗證。驗證處理可類似於上文結合圖3中之310所描述的驗證處理。
在步驟S506中,使用全剪輯集合302中之每一者的驗證結構來計算嚴重性計分以識別熱點。在一非限制性實例中,將嚴重性計分計算為:
計分=正規化(+EPE)+正規化(-EPE)+2×正規化MEEF
其中EPE為邊緣置放誤差,且MEEF為遮罩誤差增強因數。然而,對於熟習此項技術者將方向明確的是,可在此步驟P506中使用指示設計是否遵照特定微影效能之任何計分或值,以理解當使用此特定初始光源組態時設計之微影效能如何。
在步驟S508中,將所使用的具有最高計分或限制微影參數之剪輯識別為熱點。舉例而言,S508包括識別具有如上文所計算之最高嚴重性計分的五個至十五個剪輯。
接著,將此等剪輯用作圖3之實例全晶片SMO流程中的子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。
圖5B更詳細地展示此程序。虛線正方形指示圖5A所示之程序之部分。該程序始於步驟510,其中(例如)自先前光源遮罩最佳化選擇初始光源組態或基線光源。可針對特定微影程序執行此先前光源遮罩最佳化,或可對類似於當前在研究中之設計的設計執行此先前光源遮罩最佳化。緊接著,在以520所指示之步驟中,當使用初始光源組態時,驗證設計之微影效能。在微影效能不充足之情況下,在步驟530中識別熱點,此後,將經識別熱點中之至少一些添加至選自剪輯集合之圖案(如在步驟540中所展示)以增加圖案覆蓋。隨後,使用現包括經識別熱點中之至少一些的剪輯子集,以在以元件符號306所指示且基本上類似於圖3A所指示之步驟的步驟中進行光源遮罩最佳化。因為自類似微影程序或類似設計選擇所使用之初始光源組態,所以預期在光源遮罩最佳化程序期間尋找最佳光源遮罩組合所需要之改變受到限制,使得顯著地縮減用以達成光源遮罩最佳化之計算時間。此外,此流程使得有可能實質上針對每一晶片或設計執行光源遮罩最佳化。當程序窗歸因於不斷增加之微影要求而進一步緊縮時,可能需要此情形。
在下一實施例中,對全剪輯集合302執行分析,且選擇給出最佳特徵及間距覆蓋之彼等剪輯以作為用於SMO之圖案子集。
舉例而言,如圖6所示,根據此實施例之圖案選擇在S602中藉由根據特徵類型而對剪輯進行分群而開始。舉例而言,可藉由電路圖案之類型(例如,閘或邏輯)或藉由定向或複雜度等等而對剪輯進行分群。
在步驟S604中,藉由間距而對每一群組中之剪輯進行進一步分類。
在步驟S606中,在小間距區中取樣該等剪輯中之每一者,以判定將針對類型及間距兩者所提供之覆蓋。
在步驟S608中,自在S606中給出所要覆蓋之彼等剪輯當中選擇具有最小間距及最高單元密度之剪輯。舉例而言,S608包括識別具有最佳設計覆蓋及自最小值至為最小間距之1.5倍之間距的五個至十五個剪輯。
接著,將此等剪輯用作圖3之實例全晶片SMO流程中的子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。
在下一實施例中,對全剪輯集合執行分析,且選擇根據程序之原始模型具有對特定程序參數之最高敏感度的彼等剪輯以作為用於SMO之圖案子集。
舉例而言,如圖7所示,根據此實施例之圖案選擇在S702中藉由識別用於微影程序之原始模型而開始。類似於S502,該模型可為用於計算微影及空中影像模擬中之微影程序的任何模型,且可包括如(例如)在美國專利第7,342,646號中所描述之透射交叉係數(TCC)。
在步驟S704中,將切割線置放於位於全剪輯集合302中之每一者之中心處的圖案中。
在步驟S706中,使用原始模型針對該等剪輯中之每一者計算程序參數敏感度。舉例而言,程序參數可為劑量及聚焦,且可藉由使用在S702中所識別之微影程序模擬模型來運行空中影像模擬而計算敏感度。接著,分析在各種程序條件期間切割線處之剪輯的行為以判定其敏感度。
在步驟S708中,選擇具有對程序參數變化之最高敏感度的剪輯。舉例而言,S708包括識別具有對劑量及聚焦之改變之最高敏感度的五個至十五個剪輯。
接著,將此等剪輯用作圖3之實例全晶片SMO流程中的 子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。
在下一實施例中,對全剪輯集合執行分析,且選擇提供最佳繞射級分佈之彼等剪輯以作為用於SMO之圖案子集。圖案之繞射級為熟習此項技術者所知,且可(例如)如在美國專利公開案第No.2004/0265707號中所描述加以判定。
舉例而言,如圖8所示,根據此實施例之圖案選擇在S802中藉由針對全剪輯集合302中之每一者計算繞射級行為而開始。可使用許多可能方法來計算繞射級行為,例如,美國專利公開案第2004/0265707號。
在步驟S804中,比較全剪輯集合之計算繞射級,且在步驟S806中,根據剪輯之繞射級分佈而對剪輯進行分群。舉例而言,可計算該等剪輯中之每一者之間的幾何相關,且可執行分類方法以將最類似剪輯分群在一起。
在步驟S808中,選擇來自該等群組中之每一者的一剪輯。舉例而言,S806包括形成五個至十五個剪輯群組,且自每一群組隨機地選擇一剪輯。圖9A至圖9O說明已自全剪輯集合所計算之十五個個別剪輯的實例繞射級分佈902A至902O。
接著,將此等剪輯用作圖3之實例全晶片SMO流程中的子集306。在實施例中,來自目標設計300之兩個SRAM圖案亦包括於子集306中。
結合圖8所描述之以繞射級為基礎之圖案選擇方法相對於其他方法的一些優點在於:無需起始條件(例如,起始照明光源),無需抗蝕劑模型,且無需模型。該圖案選擇方法僅需要目標圖案,因此,其係程序獨立的。
圖10為比較上文所描述之各種圖案選擇方法相對於習知全晶片SMO方法之程序窗效能的曲線圖。可看出,所有方法均改良原始程序窗,其中繞射級方法給出最接近於全晶片SMO之效能。
圖11為比較上文所描述之各種圖案選擇方法相對於習知全晶片SMO方法之處理運行時間效能的圖表。可看出,所有方法均改良習知運行時間,其中繞射級方法給出最多改良。
圖12為說明可輔助實施本文中所揭示之最佳化方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104。電腦系統100亦包括耦接至匯流排102以用於儲存資訊及待藉由處理器104執行之指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在執行待藉由處理器104執行之指令期間儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供儲存器件110(諸如磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於顯示資訊給電腦使用者之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。輸入器件114(包括文數字鍵及其他鍵)耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x )及第二軸線(例如,y ))上之兩個自由度,其允許該器件在一平面中指定位置。亦可將觸控面板(螢幕)顯示器用作輸入器件。
根據本發明之一實施例,可藉由電腦系統100而回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列來執行最佳化程序之部分。可將此等指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行使處理器104執行本文中所描述之程序步驟。亦可使用以多處理配置之一或多個處理器來執行主記憶體106中所含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路來實施本發明。因此,本發明之實施例不限於硬體電路與軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」指代參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅導線及光纖,其包括包含匯流排102之導線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間所產生之聲波或光波。普通形式之電腦可讀媒體包括(例如)軟碟、可撓性碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或晶匣、如在下文中所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列載運至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,指令最初可被承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所載運之資料且將資料置放於匯流排102上。匯流排102將資料載運至主記憶體106,處理器104自主記憶體106擷取及執行指令。藉由主記憶體106接收之指令可視情況在藉由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦較佳地包括耦接至匯流排102之通信介面118。通信介面118提供對連接至區域網路122之網路鏈路120的雙向資料通信耦接。舉例而言,通信介面118可為整合服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線的資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供對相容LAN的資料通信連接。亦可實施無線鏈路。在任何此類實施中,通信介面118發送及接收載運表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而提供對其他資料器件的資料通信。舉例而言,網路鏈路120可經由區域網路122而提供對主機電腦124的連接或提供對藉由網際網路服務提供者(ISP)126操作之資料設備的連接。ISP 126又經由全球封包資料通信網路(現通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128均使用載運數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(其將數位資料載運至電腦系統100及自電腦系統100載運數位資料)為輸送資訊的例示性形式之載波。
電腦系統100可經由該(該等)網路、網路鏈路120及通信介面118而發送訊息及接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求程式碼。根據本發明,一種此類經下載應用程式提供(例如)該實施例之照明最佳化。經接收程式碼可在其被接收時藉由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得以載波之形式的應用程式碼。
圖13示意性地描繪例示性微影投影裝置,其照明光源可利用本發明之程序加以最佳化。該裝置包含:
- 輻射系統Ex、IL,其用於供應投影輻射光束PB。在此特定情況下,輻射系統亦包含輻射光源LA;
- 第一物件台(遮罩台)MT,其具備用於固持遮罩MA(例如,比例光罩)之遮罩固持器,且連接至用於相對於項目PL而準確地定位該遮罩之第一定位構件;
- 第二物件台(基板台)WT,其具備用於固持基板W(例如,塗佈抗蝕劑之矽晶圓)之基板固持器,且連接至用於相對於項目PL而準確地定位該基板之第二定位構件;
- 投影系統(「透鏡」)PL(例如,折射、反射或反射折射光學系統),其用於將遮罩MA之經輻照部分成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如本文中所描繪,裝置為透射類型(亦即,具有透射遮罩)。然而,一般而言,其亦可為(例如)反射類型(具有反射遮罩)。或者,裝置可將另一類別之圖案化構件用作遮罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。
光源LA(例如,水銀燈或準分子雷射)產生輻射光束。此光束係直接或在已橫穿諸如(例如)光束擴展器Ex之調節構件之後被饋入至照明系統(照明器)IL中。照明器IL可包含調整構件AM以用於設定光束中之強度分佈的外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。此外,照明器IL將通常包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,照射遮罩MA之光束PB在其橫截面中具有所要均一性及強度分佈。
關於圖13應注意,光源LA可在微影投影裝置之外殼內(此情形通常為光源LA為(例如)水銀燈時之情況),但光源LA亦可遠離於微影投影裝置,光源LA所產生之輻射光束經引導至該裝置中(例如,憑藉適當引導鏡面);此後者情景通常為光源LA為準分子雷射(例如,基於KrF、ArF或F2 雷射作用)時之情況。本發明涵蓋至少兩種此等情景。
光束PB隨後截取遮罩MA,遮罩MA被固持於遮罩台MT上。在橫穿遮罩MA後,光束PB傳遞通過透鏡PL,透鏡PL將光束PB聚焦至基板W之目標部分C上。憑藉第二定位構件(及干涉量測構件IF),基板台WT可準確地移動,例如,以便使不同目標部分C定位於光束PB之路徑中。類似地,第一定位構件可用以(例如)在自遮罩庫機械地擷取遮罩MA之後或在掃描期間相對於光束PB之路徑來準確地定位遮罩MA。一般而言,將憑藉未在圖13中被明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在晶圓步進器(相對於步進掃描工具)之情況下,遮罩台MT可僅僅連接至短衝程致動器,或可為固定的。
所描繪工具可用於兩種不同模式中:
- 在步進模式中,使遮罩台MT保持基本上靜止,且將整個遮罩影像一次性(亦即,單次「閃光」)投影至目標部分C上。接著,使基板台WT在x及/或y方向上移位,使得可藉由光束PB來輻照不同目標部分C;
- 在掃描模式中,適用基本上相同情景,惟在單次「閃光」中不曝光給定目標部分C除外。取而代之,遮罩台MT可以速度v 而在給定方向(所謂的「掃描方向」,例如,y方向)上移動,使得導致投影光束PB遍及遮罩影像進行掃描;同時,基板台WT係以速度V =Mv 而在相同或相反方向上同時移動,其中M 為透鏡PL之放大率(通常,M =1/4或1/5)。以此方式,可在不必損害解析度之情況下曝光相對較大目標部分C。
可根據以下條款來進一步描述本發明:
1. 一種用於最佳化用於將一設計之一部分成像至一基板上之一微影程序的方法,該方法包含:自該設計之該部分選擇一圖案子集;針對用於成像該選定圖案子集之該微影程序最佳化一照明光源;及使用該最佳化照明光源來最佳化該設計之該部分以用於在該微影程序中加以成像。
2. 如條款1之方法,其中該方法最初執行以下步驟:選擇一初始光源組態;當使用該初始光源組態時驗證該設計之該部分之一微影效能,其中驗證該微影效能之該步驟包含識別該設計之該部分中之熱點,該等熱點構成限制該設計之該部分之一微影參數的來自該設計之該部分之圖案;且其中該圖案子集包含該等經識別熱點中之至少一些。
3. 如條款1之方法,其中該設計之該部分包含一全晶片。
4. 如條款1之方法,其中該設計之該部分包含剪輯,且其中選擇一圖案子集之該步驟包含:自該設計識別一全剪輯集合;自該全剪輯集合選擇一剪輯子集;其中該最佳化步驟包含針對用於成像該選定剪輯子集之該微影程序最佳化一照明光源;且其中該使用步驟包含使用該最佳化照明光源來最佳化該全剪輯集合以用於在該微影程序中加以成像。
5. 如條款1、2、3或4之方法,其中該選擇步驟包括:計算該設計之該部分中之圖案的繞射級分佈;基於該等計算繞射級分佈而將該等圖案分群成複數個群組;及自該等群組中之每一者選擇一或多個代表性圖案以作為該圖案子集。
6. 如條款1、2、3或4之方法,其中該選擇步驟包括:識別該設計之該部分中之一或多個記憶體圖案;針對該一或多個記憶體圖案預最佳化該照明光源;使用該預最佳化照明光源來判定該設計之該部分中之潛在熱點;及基於該等經判定潛在熱點來選擇該圖案子集。
7. 如條款1、2、3或4之方法,其中該選擇步驟包括:識別用於該微影程序之一原始照明光源;使用該原始照明光源來判定該設計之該部分中之潛在熱點;及基於該等經判定潛在熱點來選擇該圖案子集。
8. 如條款6或7之方法,其中該方法進一步包含如下一步驟:計算一熱點之一嚴重性計分;及選擇具有一預定義嚴重性計分之該熱點,或選擇具有在一預定義嚴重性計分範圍內之一嚴重性計分之該熱點。
9. 如條款1、2、3或4之方法,其中該選擇步驟包括:藉由設計類型而將該設計之該部分中之圖案分群成複數個群組;藉由間距及特徵類型而對每一群組中之該等圖案進行分類以判定每一群組中之一最佳圖案;及選擇每一群組中之該最佳圖案以作為該圖案子集。
10. 如條款1、2、3或4之方法,其中該選擇步驟包括:識別該微影程序之一模擬模型;使用該模型來估計該設計之該部分中之圖案的程序參數敏感度;及基於該等估計程序參數敏感度來選擇該圖案子集。
11. 如條款1至10中任一項之方法,其進一步包含:判定該最佳化圖案子集之一微影程序效能度量是否為可接受的;及若該經判定度量不為可接受的,則將具有潛在熱點之剪輯添加至該圖案子集且重複該等最佳化步驟。
12. 如條款1至11中任一項之方法,其中最佳化該照明光源之該步驟包括使用該微影程序、該照明光源及該圖案子集之一模型來模擬一微影程序效能,以判定該效能是否為可接受的。
13. 如條款1至12中任一項之方法,其中最佳化該設計之該部分之該步驟包括基於該最佳化照明光源而對該等圖案中之特定圖案執行光學近接校正。
14. 一種電腦可讀媒體,其經記錄有指令,該等指令在藉由一電腦讀取時使該電腦執行如條款1至13之用於最佳化用於將一設計之一部分成像至一晶圓上之一微影程序的方法。
15. 一種微影裝置,其包含:一照明系統,其經組態以提供一輻射光束;一支撐結構,其經組態以支撐一圖案化構件,該圖案化構件用以在該輻射光束之橫截面中向該輻射光束賦予一圖案;一基板台,其經組態以固持一基板;及一投影系統,其用於將該經圖案化輻射光束投影至該基板之一目標部分上;其中該微影裝置進一步包含一處理器,該處理器用於組態該照明系統以根據如條款1至13之用於最佳化一微影程序的方法來產生最佳化照明光源。
16. 一種用於自一微影裝置之一照明系統賦予一輻射光束的圖案化構件,該微影裝置經組態以經由一投影系統而將此經賦予光束投影至一基板之一目標部分上,其中該圖案化構件包含一設計之一最佳化部分,其中該設計之該最佳化部分係根據如條款1至12之最佳化一微影程序的方法加以判定。
根據特定態樣,本發明藉由自一設計智慧地選擇一圖案子集來實現全設計之覆蓋,同時降低計算成本,其中該設計或該設計之一修改經組態以經由一微影程序而成像至一基板上。自一設計選擇該圖案子集之方法包括自該設計識別與該設計之預定義表示相關的一圖案集合。藉由根據該方法來選擇該圖案子集,該選定圖案子集構成該設計之一類似預定義表示以作為該圖案集合。該設計之此預定義表示可(例如)為藉由該設計之該等圖案產生的繞射級,或(例如)存在於該設計中之圖案類型,或(例如)存在於該設計中之該等圖案的一複雜度,或(例如)需要特定關注及/或驗證之圖案,或(例如)具有預定義程序窗效能之圖案,或(例如)對程序參數變化之一預定義敏感度。
本文中所揭示之概念可模擬或數學上模型化用於成像次波長特徵之任何通用成像系統,且可特別用於能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193奈米之波長且甚至藉由使用氟雷射來產生157奈米之波長的EUV(極紫外線)微影。此外,EUV微影能夠產生在20奈米至5奈米之範圍內的波長,該產生係藉由使用同步加速器,或藉由以高能電子來撞擊材料(固體或電漿),以便產生在此範圍內之光子。因為大多數材料在此範圍內係吸收性的,所以可藉由具有鉬與矽之多堆疊的反射鏡面來產生照明。多堆疊鏡面具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可藉由X射線微影來產生甚至更小的波長。通常,使用同步加速器來產生X射線波長。因為大多數材料在x射線波長下係吸收性的,所以薄吸收材料片界定特徵將進行印刷(正抗蝕劑)或不進行印刷(負抗蝕劑)之處。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可用於任何類型之微影成像系統,例如,用於在不同於矽晶圓之基板上之成像的微影成像系統。
以上描述意欲係說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。
10‧‧‧微影投影系統
12‧‧‧光源
14‧‧‧特定光源塑形光學儀器
16a‧‧‧特定光源塑形光學儀器
16b‧‧‧特定光源塑形光學儀器
16c‧‧‧投影光學儀器
18‧‧‧遮罩或比例光罩
20‧‧‧可調整濾光器或孔徑
22‧‧‧晶圓平面
26‧‧‧設計佈局模組
28‧‧‧遮罩佈局模組
30‧‧‧遮罩模型模組
32‧‧‧光學模型模組
34‧‧‧抗蝕劑模型模組
36‧‧‧結果模組
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯讀記憶體(ROM)
110‧‧‧儲存器件
112‧‧‧顯示器
114‧‧‧輸入器件
116‧‧‧游標控制件
118‧‧‧通信介面
120‧‧‧網路鏈路
122‧‧‧區域網路
124‧‧‧主機電腦
126‧‧‧網際網路服務提供者(ISP)
128‧‧‧網際網路
130‧‧‧伺服器
300‧‧‧目標設計
302‧‧‧圖案集合/全剪輯集合
306‧‧‧圖案子集/剪輯子集
314‧‧‧最佳化光源
316‧‧‧全晶片或全剪輯集合/臨界圖案
320‧‧‧驗證
902A‧‧‧繞射級分佈
902B‧‧‧繞射級分佈
902C‧‧‧繞射級分佈
902D‧‧‧繞射級分佈
902E‧‧‧繞射級分佈
902F‧‧‧繞射級分佈
902G‧‧‧繞射級分佈
902H‧‧‧繞射級分佈
902I‧‧‧繞射級分佈
902J‧‧‧繞射級分佈
902K‧‧‧繞射級分佈
902L‧‧‧繞射級分佈
902M‧‧‧繞射級分佈
902N‧‧‧繞射級分佈
902O‧‧‧繞射級分佈
C‧‧‧目標部分
CO‧‧‧聚光器
IF‧‧‧干涉量測構件
IL‧‧‧輻射系統/照明系統/照明器
IN‧‧‧積光器
MA‧‧‧遮罩
MT‧‧‧第一物件台/遮罩台
W‧‧‧基板
WT‧‧‧第二物件台/基板台
圖1為說明典型微影投影系統的例示性方塊圖;圖2為說明微影模擬模型之功能模組的例示性方塊圖;圖3A為說明根據本發明之實施例之實例SMO程序的流程圖;圖3B為說明圖案選擇演算法的詳細流程圖;圖4為說明可包括於根據本發明之SMO程序之一實施例中之實例圖案選擇方法的流程圖;圖5A為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖5B為說明在選擇基線光源或初始光源組態之後進行SMO程序之實例的流程圖;圖6為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖7為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖8為說明可包括於根據本發明之SMO程序之另一實施例中之實例圖案選擇方法的流程圖;圖9A至圖9O說明根據圖8中之方法所選擇之剪輯的實例繞射級分佈;圖10為比較根據本發明之各種圖案選擇方法之程序窗效能的曲線圖;圖11為比較根據本發明之各種圖案選擇方法之處理運行時間效能的圖表;圖12為說明可輔助實施本發明之模擬方法之電腦系統的方塊圖;及圖13示意性地描繪適用於本發明之方法的微影投影裝置。
300...目標設計
302...圖案集合/全剪輯集合
306...圖案子集/剪輯子集
314...最佳化光源
316...全晶片或全剪輯集合/臨界圖案
320...驗證

Claims (17)

  1. 一種選擇與一設計相關聯之一圖案子集之方法,其中該設計或該設計之一修改經組態以經由一微影程序而成像至一基板上,且其中該圖案子集構成該設計之一預定義表示,該方法包含以下步驟:識別與該設計之該預定義表示相關的一圖案集合;對該圖案集合進行分群及/或排名;定義與該分群及/或排名相關之一臨限值;及自該圖案集合選擇該圖案子集,其中該子集包含高於或低於該臨限值的來自該圖案集合之圖案。
  2. 如請求項1之方法,其中識別一圖案集合之該步驟包含:識別與該設計相關聯之一剪輯集合;自該設計識別圖案以構成該圖案集合之至少一部分;自該設計自動地識別圖案以構成該圖案集合之至少一部分。
  3. 如請求項1之方法,其中選擇該圖案子集之該步驟包含:自為一剪輯集合之該圖案集合選擇剪輯,該圖案子集包含該等選定剪輯;自該設計自動地提取圖案,該圖案子集包含該等經自動提取圖案;自該設計手動地提取圖案,該圖案子集包含該等經手動提取圖案。
  4. 如請求項1之方法,其中用於識別該圖案集合的該設計之該預定義表示包含以下各項中之一或多者:藉由該設計之圖案產生的繞射級;存在於該設計中之一或多個圖案類型;存在於該設計中之該等圖案的複雜度;在該微影程序期間需要特定關注及/或驗證的存在於該設計中之該等圖案;該設計中之該等圖案的程序窗效能;對存在於該設計中之該等圖案之程序參數變化的一預定義敏感度。
  5. 如請求項3之方法,其使用於識別該圖案集合的該設計之該預定義表示包含該設計中之該等圖案的程序窗效能,其中該圖案子集包含實質上對應於該設計之該程序窗效能的一程序窗效能。
  6. 如請求項3之方法,其使用於識別該圖案集合的該設計之該預定義表示包含該設計中之該等圖案的程序窗效能,其中該圖案子集包含熱點,該等熱點構成限制該設計之該程序窗效能的來自該圖案集合之圖案。
  7. 如請求項5或6之方法,其中該方法進一步包含用於自該圖案集合識別該等圖案中之至少一些之一程序窗效能的數值模型化方法。
  8. 如請求項1之方法,其中該分群及/或排名包含根據以下各項中之一或多者的分群及/或排名:與該設計之該預定義表示相關的一參數; 與該設計之該預定義表示相關的一函數;與該設計之該預定義表示相關的一規則。
  9. 如請求項1之方法,其中該臨限值包含以下各項中之一或多者:一嚴重性計分位準;一程序窗參數;來自預定數目個圖案群組之每一群組之圖案的一數目,該等圖案群組係經由對該圖案集合進行分群之該步驟加以產生;來自依排名次序之該等經排名圖案之圖案的一預定義數目;該等圖案中之結構的一尺寸;該設計中或該圖案集合中該等圖案之出現的一數目;關於該設計之該等圖案的一臨界度。
  10. 如請求項1之方法,其中識別一圖案集合之該步驟包含計算該設計之該等圖案之繞射級分佈的步驟,且其中對該圖案集合進行分群及/或排名之該步驟包含基於該等計算繞射級分佈而將該圖案集合分群成複數個群組,且其中選擇該圖案子集之該步驟包含自該複數個群組選擇一或多個圖案以作為該子集。
  11. 如請求項1之方法,其中識別一圖案集合之該步驟包含識別一或多個記憶 體圖案,且其中該方法進一步包含針對該一或多個記憶體圖案預最佳化用於將該設計成像至該基板上之一微影工具之一照明光源的一步驟,且其中對該圖案集合進行分群及/或排名之該步驟包含使用該預最佳化照明光源來判定該設計中之潛在熱點,且其中選擇該圖案子集之該步驟包含基於該等經判定潛在熱點來選擇該子集。
  12. 如請求項1之方法,其中該方法進一步包含識別用於該微影程序之一初始照明光源的一步驟,且其中對該圖案集合進行分群及/或排名之該步驟包含使用該初始照明光源來判定該設計中之潛在熱點,且其中選擇該圖案子集之該步驟包含基於該等經判定潛在熱點來選擇該子集。
  13. 如請求項1之方法,其中對該圖案集合進行分群及/或排名之該步驟包含:藉由設計類型而將該全剪輯集合中之圖案分群成複數個群組;及藉由間距及特徵類型而對每一群組中之該等圖案進行分類以判定每一群組中之一最佳圖案;且其中選擇該圖案子集之該步驟包含選擇每一群組中之該最佳圖案以作為該子集。
  14. 如請求項1之方法,其中該方法進一步包含識別該微影程序之一模擬模型 且使用該模型來估計該設計之該等圖案之程序參數敏感度的一步驟;且其中選擇該圖案子集之該步驟包含基於該等估計程序參數敏感度來選擇該子集。
  15. 一種執行光源遮罩最佳化以用於經由一微影程序而將一設計或該設計之一修改成像至一基板上的方法,該方法包含以下步驟:根據前述請求項中任一項而自該設計選擇一圖案子集;對該等選定圖案執行光源及遮罩最佳化以獲得一最佳化光源組態,該光源組態為用於將該設計或該設計之一修改成像至該基板上之一微影工具之一照明光源的一組態;及使用該最佳化光源來最佳化該設計。
  16. 如請求項15之方法,其中該方法最初執行以下步驟:選擇一初始光源組態;當使用該初始光源組態時驗證該設計之一微影效能,其中驗證該微影效能之該步驟包含識別該設計中之熱點,該等熱點構成限制該設計之一微影參數的來自該設計之圖案;且其中該圖案子集包含至少一經識別熱點。
  17. 一種電腦程式產品,其包含經記錄有指令之一電腦可讀媒體,該等指令在被執行時使電腦執行如請求項1至14中任一項之選擇圖案子集之方法。
TW099137055A 2009-10-28 2010-10-28 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法 TWI466171B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25573809P 2009-10-28 2009-10-28
US36040410P 2010-06-30 2010-06-30

Publications (2)

Publication Number Publication Date
TW201124871A TW201124871A (en) 2011-07-16
TWI466171B true TWI466171B (zh) 2014-12-21

Family

ID=43602819

Family Applications (3)

Application Number Title Priority Date Filing Date
TW099136198A TWI463245B (zh) 2009-10-28 2010-10-22 用於全晶片之光源及遮罩最佳化的圖案選擇
TW099136593A TWI435165B (zh) 2009-10-28 2010-10-26 在基於繞射圖徵分析之設計佈局中最佳化圖案之選擇
TW099137055A TWI466171B (zh) 2009-10-28 2010-10-28 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW099136198A TWI463245B (zh) 2009-10-28 2010-10-22 用於全晶片之光源及遮罩最佳化的圖案選擇
TW099136593A TWI435165B (zh) 2009-10-28 2010-10-26 在基於繞射圖徵分析之設計佈局中最佳化圖案之選擇

Country Status (7)

Country Link
US (5) US8739082B2 (zh)
JP (3) JP5156075B2 (zh)
KR (2) KR101800758B1 (zh)
CN (3) CN102597872B (zh)
NL (2) NL2005523A (zh)
TW (3) TWI463245B (zh)
WO (1) WO2011051249A1 (zh)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8667427B2 (en) * 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout
US8607170B2 (en) * 2011-03-02 2013-12-10 Texas Instruments Incorporated Perturbational technique for co-optimizing design rules and illumination conditions for lithography process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8504949B2 (en) * 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010196A (en) * 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US8555211B2 (en) * 2012-03-09 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Mask making with error recognition
JP6039910B2 (ja) * 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
WO2013164187A1 (en) * 2012-05-04 2013-11-07 Asml Netherlands B.V. Design rule and lithographic process co-optimization
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
WO2013178459A1 (en) * 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
CN102692814B (zh) * 2012-06-18 2013-09-11 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模混合优化方法
WO2014025936A2 (en) * 2012-08-08 2014-02-13 Dcg Systems, Inc. P and n region differentiation for image-to-cad alignment
US8667428B1 (en) * 2012-10-24 2014-03-04 GlobalFoundries, Inc. Methods for directed self-assembly process/proximity correction
JP6095334B2 (ja) * 2012-11-26 2017-03-15 キヤノン株式会社 マスクパターンおよび露光条件を決定する方法、ならびにプログラム
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US8782582B1 (en) * 2013-03-13 2014-07-15 Atrenta, Inc. Efficient method to analyze RTL structures that cause physical implementation issues based on rule checking and overlap analysis
US8782569B1 (en) * 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
WO2014192518A1 (ja) * 2013-05-27 2014-12-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 露光用光源およびマスクの設計方法、プログラム
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
TWI528201B (zh) * 2013-08-28 2016-04-01 旺宏電子股份有限公司 進階修正方法
SG11201602179WA (en) 2013-10-01 2016-04-28 Asml Netherlands Bv Profile aware source-mask optimization
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
KR102359050B1 (ko) 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
KR20160131110A (ko) * 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화
US10025201B2 (en) 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262578B2 (en) 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
KR101939313B1 (ko) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
WO2016096668A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
US10670973B2 (en) 2015-05-20 2020-06-02 Asml Netherlands B.V. Coloring aware optimization
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
JP6536813B2 (ja) * 2015-09-15 2019-07-03 株式会社ニコン 評価方法
KR102376280B1 (ko) * 2015-10-08 2022-03-18 에이에스엠엘 네델란즈 비.브이. 산업 공정을 제어하는 장치 및 방법들
KR20180072768A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
US9697310B2 (en) * 2015-11-02 2017-07-04 Winbond Electronics Corporation Level faults interception in integrated circuits
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
KR102160217B1 (ko) * 2015-12-22 2020-09-28 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
US9823994B2 (en) 2015-12-22 2017-11-21 International Business Machines Corporation Dynamically identifying performance anti-patterns
US9898572B2 (en) * 2016-02-17 2018-02-20 Globalfoundries Inc. Metal line layout based on line shifting
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
CN107797375B (zh) * 2016-08-31 2020-11-03 中芯国际集成电路制造(上海)有限公司 目标图形的修正方法
WO2018172039A1 (en) * 2017-03-21 2018-09-27 Asml Netherlands B.V. Object identification and comparison
CN107133944B (zh) * 2017-04-27 2020-02-07 上海华虹宏力半导体制造有限公司 用于opc验证的图形分类方法
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
CN111149063B (zh) 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
KR102440220B1 (ko) 2017-10-11 2022-09-06 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
WO2019110211A1 (en) * 2017-12-04 2019-06-13 Asml Netherlands B.V. Measurement method, patterning device and device manufacturing method
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
CN108829948A (zh) * 2018-05-30 2018-11-16 中国科学院微电子研究所 坏点库的建立方法和建立***
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109061999B (zh) * 2018-09-12 2022-03-18 上海华力集成电路制造有限公司 预估潜在热点的方法及增大热点工艺窗口的方法
TW202020577A (zh) * 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
CN112969968B (zh) 2018-11-08 2024-06-11 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
WO2020108902A1 (en) 2018-11-30 2020-06-04 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
CN113227899A (zh) 2018-12-28 2021-08-06 Asml荷兰有限公司 用于在片段边界处产生图案形成装置图案的方法
WO2020141051A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
WO2021115766A1 (en) * 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
CN115087925A (zh) 2020-02-12 2022-09-20 Asml荷兰有限公司 包括使用经训练机器学习模型的光学邻近效应校正的用于确定掩模图案的方法
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111399336B (zh) * 2020-04-17 2021-07-27 中国科学院上海光学精密机械研究所 基于轮廓表征的全芯片光源掩模优化关键图形筛选方法
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
CN111624850B (zh) * 2020-06-08 2021-07-27 中国科学院上海光学精密机械研究所 用于全芯片光源掩模优化的关键图形筛选方法
KR20230117366A (ko) 2020-12-18 2023-08-08 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 결정하고 기계학습 모델을 트레이닝하는 방법
CN113514910B (zh) * 2021-04-13 2023-04-18 长江存储科技有限责任公司 衍射光学组件及获取方法、光学***
US11714951B2 (en) 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
WO2022246286A1 (en) * 2021-05-21 2022-11-24 Synopsys, Inc. Machine learning for selecting initial source shapes for source mask optimization
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
CN113536408B (zh) * 2021-07-01 2022-12-13 华蓝设计(集团)有限公司 基于cad外部参照协同模式的住宅核心筒面积计算方法
WO2023285071A1 (en) * 2021-07-13 2023-01-19 Asml Netherlands B.V. Pattern selection for source mask optimization and target optimization
KR20240036674A (ko) 2021-07-30 2024-03-20 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 생성하는 방법
CN113741140B (zh) * 2021-08-27 2024-02-13 中国科学院上海光学精密机械研究所 基于深度优先搜索的全芯片光源掩模优化关键图形筛选方法
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
EP4261616A1 (en) * 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) * 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
CN115469512B (zh) * 2022-09-13 2023-04-11 武汉宇微光学软件有限公司 一种光源掩模联合优化中光源校准方法及***
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004312027A (ja) * 2003-03-31 2004-11-04 Asml Masktools Bv ソースおよびマスクの最適化
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6178533B1 (en) * 1997-06-30 2001-01-23 Sun Microsystems, Inc. Method and system for design verification
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US6670081B2 (en) 2000-06-13 2003-12-30 Asml Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
DE10228103A1 (de) 2002-06-24 2004-01-15 Bayer Cropscience Ag Fungizide Wirkstoffkombinationen
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
DE602004002598T2 (de) * 2003-01-14 2007-10-18 Asml Masktools B.V. Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4192618B2 (ja) * 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US7010804B2 (en) * 2003-03-20 2006-03-07 Sony Corporation System and method for facilitating TV channel programming
WO2004090952A1 (ja) * 2003-04-09 2004-10-21 Nikon Corporation 露光方法及び装置、並びにデバイス製造方法
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7669158B2 (en) * 2004-09-30 2010-02-23 Cadence Design Systems, Inc. Method and system for semiconductor design hierarchy analysis and transformation
US20060147821A1 (en) 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005005591B3 (de) * 2005-02-07 2006-07-20 Infineon Technologies Ag Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
EP1696273B1 (en) 2005-02-23 2008-08-06 ASML MaskTools B.V. Method and apparatus for optimising illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7370313B2 (en) * 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US20070121090A1 (en) 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100902711B1 (ko) 2007-07-20 2009-06-15 주식회사 동부하이텍 반도체 소자의 제조 방법
US8214775B2 (en) * 2007-09-14 2012-07-03 Luminescent Technologies, Inc. System for determining repetitive work units
KR100944347B1 (ko) 2008-01-11 2010-03-02 주식회사 하이닉스반도체 노광 마스크 제작 방법
US8450046B2 (en) * 2008-02-25 2013-05-28 Globalfoundries Singapore Pte. Ltd. Methods for enhancing photolithography patterning
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP4594994B2 (ja) * 2008-03-24 2010-12-08 株式会社東芝 マスクパターンデータ生成方法、マスクの製造方法、半導体装置の製造方法及びパターンデータ生成プログラム
US8578313B2 (en) * 2008-04-24 2013-11-05 Synopsys, Inc. Pattern-clip-based hotspot database system for layout verification
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
JP4838866B2 (ja) * 2009-02-10 2011-12-14 キヤノン株式会社 露光パラメータ及びレチクルパターンを決定する決定方法、露光方法及びデバイス製造方法。
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US20110047519A1 (en) 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004312027A (ja) * 2003-03-31 2004-11-04 Asml Masktools Bv ソースおよびマスクの最適化
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control

Also Published As

Publication number Publication date
US20130311958A1 (en) 2013-11-21
JP2011100121A (ja) 2011-05-19
CN102597872B (zh) 2014-12-10
JP5666609B2 (ja) 2015-02-12
JP5433550B2 (ja) 2014-03-05
US8739082B2 (en) 2014-05-27
KR101226646B1 (ko) 2013-01-25
US8438508B2 (en) 2013-05-07
CN102053504A (zh) 2011-05-11
TW201131287A (en) 2011-09-16
CN102054092A (zh) 2011-05-11
TW201124871A (en) 2011-07-16
JP2011100122A (ja) 2011-05-19
US20110107280A1 (en) 2011-05-05
KR101800758B1 (ko) 2017-11-23
US9934350B2 (en) 2018-04-03
TWI463245B (zh) 2014-12-01
NL2005522A (en) 2011-05-02
KR20120098754A (ko) 2012-09-05
US20160026750A1 (en) 2016-01-28
KR20110046368A (ko) 2011-05-04
US20120216156A1 (en) 2012-08-23
CN102054092B (zh) 2014-08-20
CN102597872A (zh) 2012-07-18
US20110099526A1 (en) 2011-04-28
US9183324B2 (en) 2015-11-10
JP5156075B2 (ja) 2013-03-06
WO2011051249A1 (en) 2011-05-05
TWI435165B (zh) 2014-04-21
TW201142488A (en) 2011-12-01
NL2005523A (en) 2011-05-02
CN102053504B (zh) 2013-01-02
US8543947B2 (en) 2013-09-24
JP2013509604A (ja) 2013-03-14

Similar Documents

Publication Publication Date Title
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
US11042687B2 (en) Fast freeform source and mask co-optimization method
KR101279462B1 (ko) 소스, 마스크 및 투영 광학기의 최적화 플로우
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
KR101484146B1 (ko) 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
TW202401137A (zh) 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體
KR102137072B1 (ko) 프로파일 인식 소스-마스크 최적화
US20230076218A1 (en) Method for calibrating simulation process based on defect-based process window
US20210357566A1 (en) Methods for generating characteristic pattern and training machine learning model
TW202024773A (zh) 基於可製造性判定圖案化器件圖案之方法
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
KR101198348B1 (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택
CN112889005B (zh) 用于生成特性图案和训练机器学习模型的方法