WO2019115426A1 - Prediction of out of specification physical items - Google Patents

Prediction of out of specification physical items Download PDF

Info

Publication number
WO2019115426A1
WO2019115426A1 PCT/EP2018/084100 EP2018084100W WO2019115426A1 WO 2019115426 A1 WO2019115426 A1 WO 2019115426A1 EP 2018084100 W EP2018084100 W EP 2018084100W WO 2019115426 A1 WO2019115426 A1 WO 2019115426A1
Authority
WO
WIPO (PCT)
Prior art keywords
physical item
pattern
distribution
ensemble
instances
Prior art date
Application number
PCT/EP2018/084100
Other languages
French (fr)
Inventor
Bruno La Fontaine
Anton Bernhard VAN OOSTEN
Lin Lee CHEONG
Maxime Philippe Frederic GENIN
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2019115426A1 publication Critical patent/WO2019115426A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/60Type of objects
    • G06V20/69Microscopic objects, e.g. biological cells or cellular parts
    • G06V20/695Preprocessing, e.g. image segmentation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • G01N21/9505Wafer internal defects, e.g. microcracks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Definitions

  • the description herein relates to a method to predict physical items that are out of specification, such as out of specification pattern instances on a substrate produced by a device manufacturing process.
  • a lithography apparatus is a machine that applies a desired pattern onto a target portion of a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of devices such as integrated circuits (ICs).
  • a patterning device e.g., a mask or reticle
  • a substrate e.g., silicon wafer
  • a layer of radiation-sensitive material e.g., a layer of radiation-sensitive material (resist)
  • a single substrate will contain a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic apparatus, one target portion at a time.
  • the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus is commonly referred to as a stepper.
  • a projection beam scans over the patterning device in a given reference direction (the“scanning’’ direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, a lithographic projection apparatus will have a demagnification factor M (generally > 1), the speed F at which the substrate is moved will be a factor M times that at which the projection beam scans the patterning device.
  • the substrate Prior to the device fabrication procedure of transferring the pattern from the patterning device to the substrate of the device manufacturing process, the substrate may undergo various device fabrication procedures of the device manufacturing process, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to one or more other device fabrication procedures of the device manufacturing process, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • PEB post-exposure bake
  • This array of device fabrication procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various device fabrication procedures of the device manufacturing process such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole process, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. If there is a plurality of devices, these devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • manufacturing devices typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices.
  • a substrate e.g., a semiconductor wafer
  • Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation.
  • Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a patterning step such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a method comprising: obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non -probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances, the attribute of the distribution of the residue with respect to an ensemble of physical item instances based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.
  • a method comprising: obtaining verified values of a characteristic of a plurality of physical item instances of a physical system or object; obtaining computed values of the characteristic using a non-probabilistic model; obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values; obtaining an attribute of a first distribution of the residue based on the values of the residue; and obtaining an attribute of a second distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances based on the attribute of the first distribution.
  • a method comprising: obtaining probabilities that a set of physical item instances of a physical system or object are respectively out of specification, the probabilities determined using an attribute of a distribution of a residue of a non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; and determining an ordered list of physical item instances to be inspected based on the probabilities.
  • a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer system implementing any or part of the methods herein.
  • Fig. 1 is a schematic block diagram of a lithographic apparatus.
  • FIG. 2 schematically depicts an embodiment of a lithographic cell or cluster.
  • FIG. 3 schematically depicts a method of predicting defects in a device manufacturing process.
  • Fig. 4 illustrates a flowchart for a method of simulating at least a portion of a pattern or a characteristic of a pattern in an image.
  • Figs. 5A, 5B, 5C and 5D schematically show that a probabilistic computational method can better account for random variations and thus, for example, better guide inspection of a substrate produced in the device manufacturing process, than a method using only a non-probabilistic model.
  • Fig. 6 schematically shows how a probabilistic computational method is used to predict defects, according to an embodiment.
  • Fig. 7 shows a flowchart for a method of computing a probability of defects on a substrate produced by a device manufacturing process, according to an embodiment.
  • Fig. 8 schematically shows how an attribute of the distribution of the residue of the non- probabilistic model may be obtained, according to an embodiment.
  • Fig. 9 shows a flowchart for a method of obtaining an attribute of a distribution of the residue of a non-probabilistic model, according to an embodiment.
  • Figs. 10A, 10B, 10C, 10D, 10E, 10F and 10G each show a histogram of the residue as an example of the attribute of the distribution of the residue.
  • Fig. 11 schematically shows an example, where the probability that a pattern is a defect is the integration of a PDF over a range from minus infinity to a threshold value.
  • Fig. 12 schematically shows a flowchart for a method of using the probability that a pattern is a defect to determine which pattern instances on a substrate are to be inspected and the order in which these pattern instances are to be inspected, according to an embodiment.
  • Fig. 13A and Fig. 13B schematically show that the order of descending probabilities may be inferior in terms of the inspection throughput compared to another order.
  • Fig. 14A and Fig. 14B schematically show that the order of descending probabilities may be inferior in terms of the inspection throughput compared to another order.
  • Fig. 15 is flowchart of a method a method of obtaining an attribute of a distribution of the residue of a non-probabilistic model, computing a probability of defects on a substrate produced by a device manufacturing process, and using the probability to determine which pattern instances on a substrate are to be inspected according to an embodiment.
  • Fig. 16 is an example graph of probability distributions for a characteristic of pattern instances based on synthetic data.
  • Fig. 17 is an example graph of the cumulative number of predicted defects as a function of number of measurement locations based on synthetic data.
  • Fig. 18 is an example visualization of sampling plans for measurement of a substrate.
  • Fig. 19 is a block diagram of an example computer system.
  • Fig. 20 is a schematic diagram of a further lithographic apparatus.
  • Fig. 21 is a more detailed view of the apparatus in Fig. 20.
  • FIG. 1 schematically depicts an embodiment of a lithographic apparatus LA.
  • the apparatus comprises:
  • an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. electromagnetic radiation such as UV radiation or DUV radiation);
  • a radiation beam B e.g. electromagnetic radiation such as UV radiation or DUV radiation
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;
  • a substrate table e.g. a wafer table
  • WT e.g., WTa, WTb or both
  • a substrate e.g. a resist-coated wafer
  • a projection system e.g. a refractive, catoptric or catadioptric projection system
  • PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies and often referred to as fields) of the substrate W, the projection system supported on a reference frame (RF).
  • RF reference frame
  • the apparatus is of a transmissive type (e.g. employing a transmissive mask or a LCD matrix).
  • the apparatus may be of a reflective type (e.g. employing a programmable mirror array or employing a reflective mask).
  • the illuminator IL receives a beam of radiation from a radiation source SO (e.g., a mercury lamp or excimer laser).
  • a radiation source SO e.g., a mercury lamp or excimer laser.
  • the radiation source and the lithographic apparatus may be separate entities, for example when the radiation source is an excimer laser. In such cases, the radiation source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the radiation source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. In other cases the radiation source may be an integral part of the apparatus, for example when the radiation source is a mercury lamp.
  • the radiation source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may alter the intensity distribution of the beam.
  • the illuminator may be arranged to limit the radial extent of the radiation beam such that the intensity distribution is non-zero within an annular region in a pupil plane of the illuminator IL. Additionally or alternatively, the illuminator IL may be operable to limit the distribution of the beam in the pupil plane such that the intensity distribution is non-zero in a plurality of equally spaced sectors in the pupil plane.
  • the intensity distribution of the radiation beam in a pupil plane of the illuminator IL may be referred to as an illumination mode.
  • the illuminator IL may comprise adjuster AM configured to adjust the (angular / spatial) intensity distribution of the beam.
  • adjuster AM configured to adjust the (angular / spatial) intensity distribution of the beam.
  • at least the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may be operable to vary the angular distribution of the beam.
  • the illuminator may be operable to alter the number, and angular extent, of sectors in the pupil plane wherein the intensity distribution is non-zero.
  • the intensity distribution may have a multi-pole distribution such as, for example, a dipole, quadrupole or hexapole distribution.
  • a desired illumination mode may be obtained, e.g., by inserting an optic which provides that illumination mode into the illuminator IL or using a spatial light modulator.
  • the illuminator IL may be operable alter the polarization of the beam and may be operable to adjust the polarization using adjuster AM.
  • the polarization state of the radiation beam across a pupil plane of the illuminator IL may be referred to as a polarization mode.
  • the use of different polarization modes may allow greater contrast to be achieved in the image formed on the substrate W.
  • the radiation beam may be unpolarized.
  • the illuminator may be arranged to linearly polarize the radiation beam.
  • the polarization direction of the radiation beam may vary across a pupil plane of the illuminator IL.
  • the polarization direction of radiation may be different in different regions in the pupil plane of the illuminator IL.
  • the polarization state of the radiation may be chosen in dependence on the illumination mode.
  • the polarization of each pole of the radiation beam may be generally perpendicular to the position vector of that pole in the pupil plane of the illuminator IL.
  • the radiation may be linearly polarized in a direction that is substantially perpendicular to a line that bisects the two opposing sectors of the dipole.
  • the radiation beam may be polarized in one of two different orthogonal directions, which may be referred to as X-polarized and Y-polarized states.
  • the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector.
  • This polarization mode may be referred to as XY polarization.
  • the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector.
  • This polarization mode may be referred to as TE polarization.
  • the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO.
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the illuminator provides a conditioned beam of radiation B, having a desired uniformity and intensity distribution in its cross section.
  • the support structure MT supports the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required.
  • the support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms“reticle” or“mask” herein may be considered synonymous with the more general term“patterning device.”
  • a patterning device used herein should be broadly interpreted as referring to any device that can be used to impart a pattern in a target portion of the substrate.
  • a patterning device is any device that can be used to impart a radiation beam with a pattern in its cross- section so as to create a pattern in a target portion of the substrate.
  • the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features.
  • the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • a patterning device may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase- shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.
  • projection system used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term“projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • the projection system PS may comprise a plurality of optical (e.g., lens) elements and may further comprise an adjustment mechanism AM configured to adjust one or more of the optical elements so as to correct for aberrations (phase variations across the pupil plane throughout the field).
  • the adjustment mechanism may be operable to manipulate one or more optical (e.g., lens) elements within the projection system PS in one or more different ways.
  • the projection system may have a co-ordinate system wherein its optical axis extends in the z direction.
  • the adjustment mechanism may be operable to do any combination of the following: displace one or more optical elements; tilt one or more optical elements; and/or deform one or more optical elements.
  • Displacement of an optical element may be in any direction (x, y, z or a combination thereof). Tilting of an optical element is typically out of a plane perpendicular to the optical axis, by rotating about an axis in the x and/or y directions although a rotation about the z axis may be used for a non-rotationally symmetric aspherical optical element. Deformation of an optical element may include a low frequency shape (e.g. astigmatic) and/or a high frequency shape (e.g. free form aspheres).
  • a low frequency shape e.g. astigmatic
  • a high frequency shape e.g. free form aspheres
  • Deformation of an optical element may be performed for example by using one or more actuators to exert force on one or more sides of the optical element and/or by using one or more heating elements to heat one or more selected regions of the optical element.
  • the transmission map of a projection system PS may be used when designing a patterning device (e.g., mask) MA for the lithography apparatus LA.
  • the patterning device MA may be designed to at least partially correct for apodization.
  • the lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate tables WTa, WTb, two or more patterning device tables, a substrate table WTa and a table WTb below the projection system without a substrate that is dedicated to, for example, facilitating measurement, and/or cleaning, etc.).
  • the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. For example, alignment measurements using an alignment sensor AS and/or level (height, tilt, etc.) measurements using a level sensor LS may be made.
  • a radiation beam is conditioned and provided by the illumination system IL.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device.
  • the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan.
  • movement of the support structure MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM.
  • movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW.
  • the support structure MT may be connected to a short-stroke actuator only, or may be fixed.
  • Patterning device MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2 by the use of alignment system.
  • the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the patterning device alignment marks may be located between the dies.
  • Small alignment markers may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • step mode the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to a lithocell or cluster, which also includes apparatuses to perform pre- and post-exposure processes on a substrate.
  • a lithographic cell LC also sometimes referred to a lithocell or cluster
  • these include one or more spin coaters SC to deposit one or more resist layers, one or more developers DE to develop exposed resist, one or more chill plates CH and/or one or more bake plates BK.
  • a substrate handler, or robot, RO picks up one or more substrates from input/output port I/Ol, 1/02, moves them between the different process apparatuses and delivers them to the loading bay LB of the lithographic apparatus.
  • Physical item instances of a physical system or object may not be all perfect in terms of meeting an associated specification. That is, a physical item characteristic (e.g., a size, shape, etc.) of a population of physical item instances may have significant variability and in terms of meeting the specification. This variability can be systematic or random. Prediction of whether a physical item instance is out of specification can thus be difficult, particularly due to random variation. Accordingly, there is provided herein a technique that enables improved prediction of out of specification physical items (e.g., pattern instances on a substrate produced by a device manufacturing process) for, e.g., control, modification, design, etc. of the physical item or object or a process involving the physical item or object and/or creation of an improved measurement sampling plan for taking measurements of the physical items.
  • a technique that enables improved prediction of out of specification physical items (e.g., pattern instances on a substrate produced by a device manufacturing process) for, e.g., control, modification, design, etc. of the physical item or object or a process
  • this disclosure focuses on pattern instances on a substrate produced by a device manufacturing process as an example of physical item instances of a physical system or object and on defects of such pattern instances as being physical item instances being out of specification.
  • Embodiments of the invention are not limited to these specific examples.
  • those pattern instances produced by a device manufacturing process may not be all perfect. If some of the patterns are outside their respective design specification, they can be considered defects. Defects may be caused by many factors. The factors may include systematic imperfection of the lithographic apparatus or other hardware used in the device manufacturing process. Defects caused by these factors alone, if these factors can be measured, may be predicted with relatively high degrees of certainty because the relationship between these factors and the patterns is definite. The factors may include random variations of the lithographic apparatus or other hardware used in the device manufacturing process. Random variations may be due to a variety of mechanisms such as photon shot noise, thermal noise, mechanical vibration, etc. Definite prediction of defects caused by at least some of these factors may be very difficult because of the randomness of these factors.
  • Fig. 3 schematically depicts a method of predicting defects in a device manufacturing process.
  • a defect can include necking, line-end pull back, line thinning, incorrect CD, overlapping, bridging and/or others.
  • a defect can be in a resist image, an optical image or an etch image (i.e., a pattern transferred to a layer of the substrate by etching using the resist thereon as a mask).
  • a model is used to compute a characteristic 214 (e.g., the existence, location, type, shape, etc.) of a pattern, based on one or more process parameters 211 of the device manufacturing process and/or one or more layout parameters 212.
  • the process parameters 211 are parameters associated with the device manufacturing process but not with the layout.
  • the process parameters 211 may include a characteristic of the illumination (e.g., intensity, pupil profile, etc.), a characteristic of the projection optics, dose, focus, a characteristic of the resist, a characteristic of development of the resist, a characteristic of post-exposure baking of the resist, and/or a characteristic of etching.
  • the layout parameters 212 may include a shape, size, relative location, and/or absolute location of various features on a layout, and/or overlapping of features on different layouts.
  • the model is an empirical model, where the pattern, which can be in a resist image, aerial image, or etch image, is not simulated; instead, the empirical model determines the characteristic 214 (e.g., the existence, location, type, shape, etc.) of the pattern based on a correlation between the input (e.g., the one or more process parameters 211 and/or layout parameters 212) of the empirical model and the characteristic.
  • the model is a computational model, where at least a portion of the pattern is simulated and the characteristic 214 is determined from the portion, or the characteristic 214 is simulated without simulating the pattern itself.
  • whether the pattern is a defect or whether there is a probability that the pattern is a defect is determined based on the characteristic 214. For example, a line-end pull back defect may be identified by finding a line end too far away from its desired location; a bridging defect may be identified by finding a location where two lines undesirably join.
  • the model can be in the form of a polynomial comprising, as variables, one or more process parameters of the device manufacturing process.
  • the polynomial can be characterized in terms of one or more selected from: focus, dose, a moving average (MA) of lithographic apparatus table servo error, moving standard deviation (MSD) of a lithographic apparatus table servo error, a patterning device pattern error, and/or an etch parameter.
  • one or more variables can be characterized spatially (e.g., with X and Y coordinates, with radial coordinates, etc.) across the substrate.
  • the polynomial can be specified in terms of at least focus and dose, wherein the focus and dose is spatially characterized across the substrate.
  • FIG. 3 An exemplary flow chart of a method of modelling and/or simulating parts of a patterning process is illustrated in Fig. 3, for example, modelling and/or simulating at least a portion of a pattern or a characteristic of a pattern in an image (e.g., resist image, aerial image, etch image).
  • an image e.g., resist image, aerial image, etch image.
  • the models may represent a different patterning process and need not comprise all the models described below.
  • an illumination system provides illumination (i.e. radiation) to patterning device and projection optics directs the illumination from the patterning device onto a substrate.
  • the projection optics enables the formation of an aerial image (AI), which is the radiation intensity distribution at the substrate.
  • AI is the radiation intensity distribution at the substrate.
  • a resist layer on the substrate is exposed and the aerial image is transferred to the resist layer as a latent“resist image’’ (RI) therein.
  • the resist image (RI) can be defined as a spatial distribution of solubility of the resist in the resist layer.
  • simulation of a lithography process can simulate the production of the aerial image and/or resist image.
  • An illumination model 31 represents optical characteristics (including radiation intensity distribution and/or phase distribution) of an illumination mode used to generate a patterned radiation beam.
  • the illumination model 31 can represent the optical characteristics of the illumination that include, but not limited to, numerical aperture settings, illumination sigma (s) settings as well as any particular illumination mode shape (e.g. off-axis radiation shape such as annular, quadrupole, dipole, etc.), where ⁇ (or sigma) is outer radial extent of the illuminator.
  • a projection optics model 32 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics.
  • the projection optics model 32 may include optical aberrations caused by various factors, for example, heating of the components of the projection optics, stress caused by mechanical connections of the components of the projection optics, etc.
  • the projection optics model 32 can represent the optical characteristics of the projection optics, including one or more selected from: an aberration, a distortion, a refractive index, a physical size, a physical dimension, an absorption, etc.
  • Optical properties of the lithographic projection apparatus e.g., properties of the illumination, the patterning device pattern and the projection optics dictate the aerial image.
  • the illumination model 31 and the projection optics model 32 can be combined into a transmission cross coefficient (TCC) model.
  • a patterning device pattern model 33 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by a given patterning device pattern) of a patterning device pattern (e.g., a device design layout corresponding to a feature of an integrated circuit, a memory, an electronic device, etc.), which is the representation of an arrangement of features on or formed by a patterning device.
  • the patterning device model 33 captures how the design features are laid out in the pattern of the patterning device and may include a representation of detailed physical properties of the patterning device and a patterning device pattern, as described, for example, in U.S. Patent No. 7,587,704, which is incorporated by reference in its entirety.
  • a resist model 37 can be used to calculate the resist image from the aerial image.
  • An example of such a resist model can be found in U.S. Patent No. 8,200,468, which is hereby incorporated by reference in its entirety.
  • the resist model typically describes the effects of chemical processes which occur during resist exposure, post exposure bake (PEB) and development, in order to predict, for example, contours of resist features formed on the substrate and so it typically is related only to such properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post exposure bake and development).
  • the optical properties of the resist layer e.g., refractive index, film thickness, propagation and polarization effects— may be captured as part of the projection optics model 32.
  • an aerial image 36 can be simulated from the illumination model 31, the projection optics model 32 and the patterning device pattern model 33.
  • An aerial image (AI) is the radiation intensity distribution at substrate level.
  • Optical properties of the lithographic projection apparatus e.g., properties of the illumination, the patterning device and the projection optics dictate the aerial image.
  • a resist layer on a substrate is exposed by the aerial image and the aerial image is transferred to the resist layer as a latent“resist image’’ (RI) therein.
  • a resist image 38 can be simulated from the aerial image 36 using a resist model 37. So, in general, the connection between the optical and the resist model is a simulated aerial image intensity within the resist layer, which arises from the projection of radiation onto the substrate, refraction at the resist interface and multiple reflections in the resist film stack.
  • the radiation intensity distribution (aerial image intensity) is turned into a latent“resist image’’ by absorption of incident energy, which is further modified by diffusion processes and various loading effects. Efficient simulation methods that are fast enough for full-chip applications approximate the realistic 3 -dimensional intensity distribution in the resist stack by a 2- dimensional aerial (and resist) image.
  • the resist image can be used an input to a post-pattern transfer process model 39.
  • the post-pattern transfer process model 39 defines performance of one or more post-resist development processes (e.g., etch, CMP, etc.) and can produce a post-etch image 40. That is, an etch image 40 can be simulated from the resist image 36 using a post-pattern transfer process model 39.
  • this model formulation describes most, if not all, of the known physics and chemistry of the overall process, and each of the model parameters desirably corresponds to a distinct physical or chemical effect.
  • the model formulation thus sets an upper bound on how well the model can be used to simulate the overall manufacturing process.
  • Simulation of the patterning process can, for example, predict contours, CDs, edge placement (e.g., edge placement error), pattern shift, etc. in the aerial, resist and/or etch image. That is, the aerial image 34, the resist image 36 or the etch image 40 may be used to determine a characteristic (e.g., the existence, location, type, shape, etc. of) of a pattern.
  • the objective of the simulation is to accurately predict, for example, edge placement, and/or contours, and/or pattern shift, and/or aerial image intensity slope, and/or CD, etc. of the printed pattern.
  • These values can be compared against an intended design to, e.g., correct the patterning process, identify where a defect is predicted to occur, etc.
  • the intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
  • one or more portions may be identified, which are referred to as“clips.”
  • a set of clips is extracted, which represents the complicated patterns in the patterning device pattern (typically about 50 to 1000 clips, although any number of clips may be used).
  • these patterns or clips represent small portions (i.e. circuits, cells or patterns) of the design and especially the clips represent small portions for which particular attention and/or verification is needed.
  • clips may be the portions of the patterning device pattern or may be similar or have a similar behavior of portions of the patterning device pattern where critical features are identified either by experience (including clips provided by a customer), by trial and error, or by running a full-chip simulation.
  • Clips usually contain one or more test patterns or gauge patterns.
  • An initial larger set of clips may be provided a priori by a customer based on known critical feature areas in a patterning device pattern which require particular consideration.
  • the initial larger set of clips may be extracted from the entire patterning device pattern by using some kind of automated (such as, machine vision) or manual algorithm that identifies the critical feature areas.
  • various patterns on or provided by a patterning device may have different process windows i.e., a space of processing variables under which a pattern will be produced within specification.
  • Examples of pattern specifications that relate to potential systematic defects include checks for necking, line-end pull back, line thinning, CD, edge placement, overlapping, resist top loss, resist undercut and/or bridging.
  • the process window of all the patterns on a patterning device or an area thereof may be obtained by merging (e.g., overlapping) process windows of each individual pattern.
  • the boundary of the process window of all the patterns contains boundaries of process windows of some of the individual patterns. In other words, these individual patterns limit the process window of all the patterns.
  • These patterns can be referred to as“hot spots” or“process window limiting patterns (PWLPs),” which are used interchangeably herein.
  • PWLPs process window limiting patterns
  • e-beam systems are typically too slow to be used in high-volume manufacturing (HVM) to inspect a high number of locations for defects.
  • HVM high-volume manufacturing
  • computational methods can be used to help identify locations where defects should be located on substrates and then guiding an e-beam inspection (EBI) tool to those locations. This can increase the effective inspection speed of EBI and making it useful for finding small defects (e.g., sub-lOnm defects) in HVM.
  • model residuals can be commensurate in size with the small defects that the computational methods attempt to predict. This results in significant uncertainty about whether a defect will or will not manifest itself at the predicted locations. So, to reach an acceptable level of certainty of finding all defects on a substrate during inspection, the EBI tool should visit all the locations that the computational method indicates that there is a fair chance that a defect is present; that is the thresholding used to identify defects may need to be wider than optimal in order to be sure to capture all, or most, defects. This means that the EBI tool will have to inspect a sizeable number of unnecessary locations (nuisance) in order to capture the real defects. This is likely to result in unnecessary inspection time and poor correlation between the number of points measured and the actual number of defects.
  • nuisance unnecessary locations
  • the inspection time can be significantly longer than needed.
  • a probabilistic model or method i.e., a model or method that computes a probability of the characteristic of defects having a certain value, is used at 213 of Fig. 3.
  • the probabilistic model or method can predict the probability that a pattern in an image has a certain shape or a certain CD.
  • a probabilistic model or method may better capture random variations in the device manufacturing process than only use of a non-probabilistic model.
  • Figs. 5 A, 5B, 5C and 5D schematically show examples of how a probabilistic model or method can better account for random variations and thus, for example, better guide inspection of a substrate produced in the device manufacturing process, than a computational method using only a non-probabilistic model.
  • Fig. 5A and Fig. 5B each show that a non-probabilistic model computes a value 420 of a characteristic of an instance of a pattern on a substrate produced by the device manufacturing process.
  • a non-exhaustive list of examples of the characteristic may include one or more selected from: a position of a pattern relative to the substrate, a position of the pattern relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), line edge roughness (LER), etc.).
  • a geometric size e.g., a CD
  • CDU line width roughness
  • LER line edge roughness
  • the instance of the pattern is a defect; if the characteristic satisfies the condition (here, for example, if the characteristic is larger than the threshold 410), the instances of the pattern is not a defect.
  • the value 420 is larger than the threshold 410 in the examples shown in Fig. 5A and Fig. 5B. Therefore, based on the non-probabilistic model, this instance of the pattern should not be considered a defect.
  • the non-probabilistic model may not be perfectly accurate.
  • the computed value of a characteristic of an instance of the pattern by the non-probabilistic model and the actual value of the characteristic of the instance of the pattern as produced may have a difference. This difference is called a residue.
  • the residue may be attributed to, for example, random variations, imperfection of the non-probabilistic model, the input of the non-probabilistic model, or a combination thereof.
  • the actual value of the characteristic of the instance of the pattern for which value 420 was determined may be smaller than the threshold 410 and that instance of the pattern is a defect.
  • the residue may have a distribution (e.g., distribution 430 in Fig. 5A and distribution 431 in Fig. 5B) characterized, for example, in term of the number of instances of the occurrence of residue values, in terms of probabilities of the occurrence of the residue values, etc.
  • a particular pattern may in practice be produced at different sizes across a substrate, but the predicted size of those pattern instances across the substrate could be the same or be predicted with a different variation than the actual produced sizes. Accordingly, there would be a distribution of the residue values.
  • the distribution 430 of the residue in the example of Fig. 5A is wider than the distribution 431 of the residue in the example of Fig. 5B.
  • the residue in the example of Fig. 5A has a larger probability than in the example of Fig. 5B to be larger than the difference between the value 420 and the threshold 410.
  • the actual value of the characteristic of the instance of the pattern for which the value 420 was determined in the example of Fig. 5A has a larger probability than in the example of Fig. 5B to be smaller than the threshold 410; the instance of the pattern in the example of Fig. 5 A has a larger probability than in the example of Fig. 5B to be a defect.
  • the non- probabilistic model cannot capture the distribution (e.g., distributions 430 and 431) of the residue and thus fails to capture some defects (or many defects in the example of Fig. 5 A).
  • the non-probabilistic model may predict a non-defect as a defect.
  • Fig. 5C and Fig. 5D each show that a non-probabilistic model computes a value 421 of the characteristic of another instance of the pattern on the substrate.
  • the pattern for which value 421 is computed is the same as the pattern for which value 420 was computed.
  • the difference in determined values can be, for example, due to differing pattern transfer conditions prevailing for the instance of the pattern for which value 421 is computed than for which value 420 is computed.
  • the instance of the pattern for which value 421 is computed may have a different focus, dose, etc. condition than the instance of the pattern for which value 420 is computed, resulting in the difference in the computed values 420 and 421.
  • the characteristic (e.g., value 421) of the instance of the pattern fails to satisfy a condition (here, for example, if the characteristic is smaller than a threshold 410), the instance of the pattern is a defect; if the characteristic satisfies the condition (here, for example, if the characteristic is larger than the threshold 410), the instance of the pattern is not a defect.
  • the value 421 is smaller than the threshold 410 as shown in Fig. 5C and Fig. 5D. Therefore, based on the non- probabilistic model, this pattern instance should be considered a defect.
  • the actual value of the characteristic of the pattern instance may be larger than the threshold 410 and that pattern is not a defect.
  • the distribution 430 of the residue in the example of Fig. 5C is wider than the distribution 431 of the residue in the example of Fig. 5D.
  • the residue in the example of Fig. 5C has a larger probability than in the example of Fig. 5D to be larger than the difference between the value 421 and the threshold 410.
  • the non-probabilistic model cannot capture the distribution (e.g., distributions 430 and 431) of the residue and thus predicts some non-defects (or many non-defects in the example of Fig. 5C) as defects.
  • a probabilistic computational method is used to produce a‘statistical defect’, which is the probability that a certain location identified by a computational method that determines whether a location is a defect is actually a defect.
  • the probability can be a probability number between 0 and 1.
  • the probabilistic computational method uses a set of one or more hotspots (wherein a hotspot is a process-sensitive feature within a die to be patterned) along with process information obtained using metrology, to assign a probability that each hotspot considered would become a defect for one or more locations on the substrate where an instance of each hotspot is printed.
  • the total number of defects expected statistically on the substrate from the considered set of hotspot instances on the substrate is then the sum of all the probabilities for the hotspots at all the predicted locations on the substrate.
  • Fig. 6 schematically shows how a probabilistic computational method is used to predict defects, according to an embodiment.
  • a non-probabilistic model 555 is used to compute a value 520 of a characteristic of an instance of a pattern 510 on a substrate as produced by the device manufacturing process.
  • a non-exhaustive list of examples of the characteristic may include one or more selected from: position relative to the substrate, position relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), etc.).
  • the non- probabilistic model 555 may compute the value 520 based on one or more process parameters or layout parameters, or empirically.
  • a distribution 530 of residues of the non- probabilistic model 555 is added to the value 520 to produce a distribution 540 of the characteristic.
  • the distribution 540 may be used to compute the probability that the pattern 510 is a defect (e.g., the probability that the characteristic falls beyond a range between threshold values 551 and 552).
  • Fig. 7 shows a flowchart for a method of computing a probability of defects on a substrate produced by the device manufacturing process, according to an embodiment.
  • an attribute 620 of a distribution of the residue of a non-probabilistic model is obtained.
  • One example of the attribute 620 is a probability density function (PDF) of the residue.
  • PDF probability density function
  • the PDF can be normalized so that the sum of the probabilities under the distribution is a particular value, e.g., 1.
  • a further example of the attribute 620 is a cumulative distribution function (CDF) of the residue or an empirical cumulative distribution function (eCDF) (also called an empirical distribution function (EDF)).
  • the eCDF may be determined from the values of the residue.
  • An eCDF is the distribution function associated with the empirical measure of a sample (e.g., the values of the residue obtained from a plurality of pattern instances as discussed below).
  • the eCDF is a step function that steps up by 1/n at each of the n data points (e.g., the values of the residue obtained from the plurality of pattern instances).
  • the value of the eCDF F(t) at any specified value t is the fraction of the sample that is less than or equal to t.
  • the CDF may be estimated based on an eCDF.
  • the CDF F(t) may be estimated based on the eCDF F(t) using, for example, the Dvorestzky-Kiefer-Wolfowitz (DKW) inequality.
  • the estimation error e of the CDF based on the eCDF is bounded by the DKW inequality: p jsup
  • the DKW inequality shows that the estimation error e may be determined by the number of the values of the residue n used to construct the eCDF F(t).
  • the attribute 620 is one that represents the spread of the distribution (e.g., variance and/or standard deviation). In an embodiment, the attribute 620 is for a particular pattern type or collection of pattern types. In an embodiment, the attribute 620 is for a particular hotspot or a collection of hotspots. As will be appreciated, a plurality of different attributes 620 can be obtained, each corresponding to a different pattern type or collection of pattern types.
  • a value 640 of a characteristic of an instance of a pattern on the substrate is computed using the non-probabilistic model.
  • a non-exhaustive list of examples of the characteristic may include one or more selected from: position relative to the substrate, position relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), etc.).
  • the value 640 is calculated for a type of pattern for which there is an attribute 620 (e.g., it matches the pattern type for the attribute 620 or matches to a collection of pattern types for the attribute 620).
  • an attribute 660 of the distribution of the characteristic is determined based on the attribute 620 of the distribution of the residue and on the value 640 computed using the non- probabilistic model.
  • the attribute 660 is a sum of the value 640 computed using the non-probabilistic model and the attribute 620 of the distribution of the residue.
  • a probability 680 that the pattern instance is a defect is determined based on the attribute 660.
  • the attribute 660 is a PDF of the characteristic and the probability 680 may be the integration of the PDF over a range of the characteristic.
  • the probability 680 may be the integration of the PDF over a range below a defect threshold and/or a range above a defect threshold.
  • the attribute 660 is a CDF or eCDF of the characteristic and the probability 680 may be the value of the CDF or eCDF at an upper bound of a range of the characteristic (e.g., where a defect occurs below a defect threshold) and/or 1 (assuming the CDF or eCDF characterizes the probability in the range of 0 to 1) minus the value of the CDF or eCDF at a lower bound of the range (e.g., where a defect occurs above a defect threshold).
  • the attribute 660 is normalized, for example, by requiring the expected value of the total number of defects of instances of one or more pattern instances on the substrate under consideration to equal the sum of the probabilities that each instance of those one or more pattern instances on the substrate is a defect.
  • steps 610, 630, 650 and 670 can be repeated for as many instances of a pattern as desired and for as many different pattern types as desired. In an embodiment, steps 610, 630, 650 and 670 are repeated for each instance of a pattern type across the substrate.
  • Figs. 6 and 7 involves the attribute 620 of the distribution of the residue of the non-probabilistic model.
  • Fig. 8 schematically shows how the attribute 620 of the distribution of the residue of the non-probabilistic model may be obtained (e.g., as in step 610 of Fig. 7), according to an embodiment.
  • a plurality of pattern instances (e.g., 710a, 710b, ... 71 Oi, ... ) on a substrate produced by the device manufacturing process are selected.
  • the instances can all be of a same pattern type.
  • each of the instances can be of a different pattern type.
  • the instances can comprise a plurality of instances of each of a plurality of different pattern types.
  • a set of a plurality of pattern types may be selected using one or more criteria.
  • the pattern types of set are those having similar shape, similar size, similar function, and/or in spatial proximity.
  • the pattern types of a set are those having a similar sensitivity to variation in the device manufacturing process.
  • similar can be a difference of no more than 20% of the applicable criteria, no more than 15% of the applicable criteria, no more than 10% of the applicable criteria, no more than 5% of the applicable criteria or no more than 1% of the applicable criteria.
  • the pattern types of a set have a similar behavior in terms of being a defect or not when produced.
  • the plurality of pattern types can be each a type of isolated contact hole, each a type of dense contact hole, etc.
  • each of the one or more pattern types can be hotspot (which enables focusing on pattern features likely to be defective rather than pattern features that have little to no risk of being defective).
  • Computed values e.g., 730a, 730b, ... 730i, (7) of a characteristic of these pattern instances are obtained using the non-probabilistic model.
  • a non-exhaustive list of examples of the characteristic may include one or more selected from: a position of the pattern instance relative to the substrate, position of the pattern instance relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), line edge roughness (LER), etc.).
  • Verified values e.g., 720a, 720b, ...
  • the characteristic of these pattern instances may be actual values of the characteristic obtained by measuring the pattern instances, for example, using a suitable metrology tool or simulated values of the characteristic using a rigorous model.
  • the metrology tool may include an optical metrology tool that measures an optical image, diffraction, scattering, or other suitable optical signal from the substrate, and/or a metrology tool using a beam of charged particles (e.g., electrons). Values of the residue of the non-probabilistic model are obtained from the differences between the verified value and the computed value of each of these pattern instances.
  • the attribute 620 of the distribution of the residue is obtained from the values of the residue.
  • the attribute 620 is a PDF of the residue, which may be determined from a histogram of the residue.
  • the attribute 620 is a CDF or eCDF of the residue.
  • an attribute 620 can be obtained for each of a plurality of different pattern types and/or pattern type groups. That is, in an embodiment, a plurality of attributes 620 can be obtained from the residues computed using the computed values (e.g., 730a, 730b, ... 730i, ...) of a characteristic of the relevant pattern type instances (e.g., 710a, 710b, ... 71 Oi, ...) and the verified values (e.g., 720a, 720b, ... 720i, ...) of the characteristic of the relevant pattern type instances. For example, at least one of the attributes 620 can be for a different pattern type than another of the attributes 620. Additionally or alternatively, at least one of the attributes 620 can be for a different set of pattern types than another of the attributes 620.
  • Fig. 9 shows a flowchart for a method (e.g., step 610 in Fig. 7) of obtaining an attribute 880 (e.g., the attribute 620 in Fig. 7) of a distribution of the residue of a non-probabilistic model, according to an embodiment.
  • verified values 820 of a characteristic of a plurality of pattern instances on a substrate are obtained, for example, by measuring the pattern instances using a metrology tool or by simulation using a rigorous model.
  • computed values 840 of the characteristic are obtained using a non-probabilistic model for the pattern instances.
  • values 860 of the residue of the non-probabilistic model are obtained based on the verified values 820 and the computed values 840.
  • the values 860 of the residues are the differences between the computed values 840 and the verified values 820.
  • the attribute 880 e.g., the attribute 620 in Fig. 7 in the form of, e.g., a PDF or CDF or eCDF
  • the attribute 880 e.g., the attribute 620 in Fig. 7 in the form of, e.g., a PDF or CDF or eCDF
  • the number of instances of the differences between the computed values 840 and the verified values 820 can be turned into probabilities for those differences to produce a PDF or CDF or eCDF in the form of probabilities (e.g., a probability in the range of 0 to 1).
  • the steps 810, 830, 850 and 870 can be repeated for each attribute 880 desired to be obtained (e.g., for different pattern types, for different sets of a plurality of pattern types, etc.).
  • the one or more attributes 880 are obtained along with the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect
  • the one or more attributes 880 as one or more attributes 620 along with the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect can be used a high volume or production use of the method of Figs. 6 and 7. That is, the one or more attributes 620 and the range of the characteristic (e.g.
  • the applicable one or more defect thresholds) in which the pattern is considered a defect can be obtained in an initial (e.g., one time) learning stage and then used repeatedly in the method of Figs. 6 and 7 for one or more substrates in a high volume or production use.
  • the attribute 880 can be obtained using the results from one produced substrate or the attribute 880 can be obtained using the results from more than one produced substrate.
  • the verified values 820 can be obtained using one or more“test” substrates, which can be one or more substrates obtained from production using the device manufacturing process or one or more specially created substrates (e.g., a CDU substrate, a focus exposure matrix (FEM) substrate, a programmed overlay substrate, etc. depending on, for example, the characteristic of interest).
  • Figs. 10A, 10B, 10C, 10D, 10E, 10F and 10G each show an example histogram of the residue as an example of the attribute 880.
  • the horizontal axis is the values of the residue and the vertical axis is the frequency of the values or the probability thereof.
  • the histograms shown in Figs. 10A-10G are respectively for each of one of seven sets of pattern types, each set having a different nominal CD for its one or more pattern types than another set.
  • the attribute (e.g., 660 in Fig. 7) of the distribution of the characteristic is one factor in the determination of the probability that a pattern is a defect but is not necessarily the only factor.
  • the selection of the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect may be another factor. Other factors are also possible.
  • the probability that a pattern is a defect is the integration of a PDF 1030 of a CD (as an example of the attribute of the distribution of the characteristic) over a range from minus infinity to a threshold value 1010. Practical considerations 1020 may affect the choice of the threshold value 1010.
  • the acceptance of variance of the characteristic from its nominal value in the device manufacturing process dictates at least in part the threshold value 1010. That is, only a certain amount of variance can be tolerated at which points the pattern instance is considered a defect (e.g., the device may not operate properly).
  • the threshold value 1010 may be made smaller or larger as the case may be, thereby reducing the number of pattern instances identified as potential defects and thus reducing the number of inspections or inspection time of that reduced number of potential defects.
  • the threshold value 1010 may be configured using data from, for example, one or more produced test or production substrates.
  • the threshold value 1010 may be chosen such that the total probability of defects as computed using the probabilistic computation method is equal to or comparable to (e.g., within an order of magnitude from) the actual number of defects on the one or more test or production substrates according to that threshold value.
  • the method as described above with respect to Figs. 6 and 7 can be used with one or more attributes 620 as determined using, for example, the method of Figs. 8 and 9 using the one or more test or production substrates to determine the probability of defects using an initial threshold value 1010.
  • the probability of defects can then be used to compute the number of defects on the one or more production or substrates (e.g., the sum of probabilities).
  • This predicted number of defects can be compared to the number of defects measured on the one or more test or production substrates using that initial threshold value 1010. If those measured number of defects are equal to or comparable to (e.g., within an order of magnitude from) the predicted number of defects then the threshold value 1010 is adequate and can be used in a high volume or production use of the method of Figs. 6 and 7. However, if the measured number of defects are not equal to or comparable to (e.g., within an order of magnitude from) the predicted number of defects, the threshold value 1010 can be adjusted and then the analysis using the method of Figs.
  • 6 and 7 with the one or more attributes 620 can be repeated using the adjusted threshold value 1010 to obtained a predicted number of defects.
  • This new prediction of the number of defects can be compared against the measured defects as determined using the adjusted threshold value 1010 and that comparison can be used to settle on the threshold value 1010 or require repeat of these procedures until an appropriate threshold value 1010 is obtained.
  • This can be characterized as a machine learning process of arriving at an appropriate threshold value 1010 (or more generally the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect).
  • the probabilistic computational method can adapt (by machine learning) the resulting statistical defect accuracy over time by adding data points while the probabilistic computational method is used in high volume or production use.
  • data from one or more substrates analyzed in high volume or production use of the probabilistic methods of Figs. 6 and 7 can be used to compute updated or additional values of one or more attributes 620 and/or the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect.
  • the characteristic e.g. the applicable one or more defect thresholds
  • the prediction can be significantly improved through regular or continuous update of the PDF through a feedback mechanism from verified data (e.g., if ⁇ 1 substrate / lot is measured that could be about ⁇ 200 wafers/day and then with ⁇ 2000 measurements / substrate and more 100 CD values / measurement that yield, for example, more than 40 million data points per lithographic apparatus per day, which is data that significantly improve the prediction).
  • the probability that one or more pattern instances are a defect may be used for various purposes.
  • the probabilities can be used to derive a statistical defect count per substrate that should be close to the actual number of defects actually present on any given substrate.
  • a statistical process chart can be created based on this statistical defect count. This also allows a decision to be made about further processing of the substrate(s) analyzed using this probabilistic computational method with, e.g., a rapid turn-around time.
  • the probabilities and/or statistical defect count can be used to prioritize locations to be inspected with a metrology tool (such as an electron beam inspection tool). Based on the probabilities and/or statistical defect count, a sampling scheme can be defined where locations are added to the sampling scheme until, e.g., a desired level of capture rate is achieved (e.g., when the sum of probabilities for the sampling sites reaches 90%) or a desired level of nuisance rate is achieved.
  • a capture rate can be defined as the number of true positive defects divided by the total of the true positive defects and the false negative defects.
  • the nuisance rate can be defined as the number of false positive defects divided by the total of the true positive defects and the false positive defects. As a result, an improved (shorter) inspection time may be achieved.
  • the full set of sampling locations identified in such a way should provide a spatial signature on the substrate analyzed with the probabilistic computational method of the predicted defects, which can correlate with or improve a correlation with, a processing‘fingerprint’ of one or more certain process steps as part of the device manufacturing method.
  • a processing‘fingerprint’ is a spatial distribution of errors typically caused by one or more certain process steps.
  • a substrate table may have a warp in a support surface which will consistently introduce certain errors at certain locations on the substrate patterned using that substrate table. So, the sampling locations can provide a user with information that helps identify and/or solve a root cause of defects on the substrate.
  • the probability that the pattern is a defect may be used to guide inspection of a substrate produced by the device manufacturing process.
  • a pattern instance with a higher probability of being a defect may be prioritized in the inspection over a pattern instance with a lower probability of being a defect.
  • Fig. 12 schematically shows a flowchart for a method of using the probability that a pattern instance is a defect to determine which pattern instances on a substrate are to be inspected and the order in which these pattern instances are to be inspected, according to an embodiment.
  • the probabilities 1110 that a set of pattern instances on the substrate are respectively defects are obtained, e.g., using the method shown in Figs. 6 and 7.
  • the pattern instances can be of a same pattern type or of different pattern types. Locations 1120 of the pattern instances may also be obtained, e.g., using the design layout as represented on the substrate.
  • an ordered list 1140 of pattern instances to be inspected is determined based on the probabilities 1110, and optionally based on the locations 1120.
  • the pattern instances in the ordered list 1140 are inspected following the order of the ordered list.
  • the number of pattern instances to be inspected (or the measurement locations where those pattern instances are located) per pattern type or set of a particular plurality of pattern types can be determined based on the statistical expectation of number of defects for such each such pattern type or set of a particular plurality of pattern types.
  • the inspection location can be determine based on, for example, (i) probability of a defect, and (ii) a spatial distribution of the associated pattern instance on the substrate so to, e.g., maximize the benefit of a measurement spot, FOV, or image obtained by a metrology tool.
  • a fixed fraction of inspection time can be assign to uniform sampling and measuring certain anchor features.
  • the ordered list 1140 includes those pattern instances with the highest probabilities of being defects; in other words, the ordered list 1140 comprises a subset of pattern instances among the set of pattern instances, where the pattern instances in the subset have higher probabilities of being defects than the pattern instances in the set but not in the subset.
  • the number of pattern instances in the ordered list 1140 may be determined by the inspection throughput or may be empirically determined.
  • the number of pattern instances in the ordered list 1140 may be limited by the amount of time before the next substrate for inspection arrives.
  • the number of pattern instances in the ordered list 1140 may be limited by the amount of radiation the substrate is allowed to receive during the inspection.
  • the order of the pattern instances in the ordered list 1140 may be a descending order of the probabilities.
  • the order may be that a pattern instance with higher probability of being a defect is inspected before a pattern instance with a lower probability of being a defect (“the order of descending probabilities’’).
  • the order of the pattern instances in the ordered list 1140 may be an order that causes a cost function to be at an extremum.
  • the cost function is a function of the order of the pattern instances and may represent the probabilities, the amounts of time needed for inspecting the pattern instances, the distance from one pattern instance to the next pattern instance, and/or other indicators of the performance of the inspection.
  • the probabilities 1110 are updated based on data obtained from inspecting the pattern instances in the ordered list 1140.
  • Fig. 13A and Fig. 13B schematically show that the order of descending probabilities may be inferior in terms of the inspection throughput compared to another order.
  • the pattern instance 1211 has the largest probability (as represented by the size of the circle) of being a defect.
  • the pattern instance 1212 has the second largest probability of being a defect, which is slightly smaller than the probability of the pattern 1211.
  • the pattern instance 1213 has the smallest probability of being a defect, which is much smaller than the probability of the pattern 1211 and the probability of the pattern 1212.
  • the pattern instance 1212 is far away from the pattern instances 1211 and 1213; the pattern instances 1211 and 1213 are close to each other.
  • Fig. 13A shows an order of the ordered list of pattern instance 121 l pattem instance 1212 pattem instance 1213, which is the order of descending probabilities.
  • Fig. 13B shows a different order of the ordered list of pattern instance 1211 pattern instance 1213 pattern instance 1212, which is not the order of descending probabilities.
  • the metrology tool has to travel relatively long distances from pattern instance 1211 to pattern instance 1212 and from pattern instance 1212 to pattern instance 1213.
  • the metrology tool has to travel one relatively short distance from pattern instance 1211 to pattern instance 1213 and one relatively long distance from pattern instance 1213 to pattern instance 1212. Therefore, the total time needed for inspecting the three pattern instances is shorter (and thus the inspection throughput higher) by following the order in Fig. 13B.
  • a metrology tool may have the capability of inspecting multiple pattern instances without moving the field of view (“FOV”) or measurement spot.
  • FOV field of view
  • some metrology tools using beams of charged particles have a FOV that may encompass multiple pattern instances, but moving the FOV is relatively slow.
  • the multiple pattern instances inspected before the metrology tool is moved can be considered inspected in one shot.
  • Fig. 14A and Fig. 14B schematically show that the order of descending probabilities may be inferior in terms of inspection throughput than another order, when such a metrology tool is used for inspection.
  • there are seventeen pattern instances on the substrate and these pattern instances may be encompassed by three FOVs 1311, 1312 and 1313.
  • the pattern instances are represented by the + signs and the associated circles represent the probability of the pattern instances being defective with the larger the circle, the greater the probability. Because moving the FOV is relatively slow, inspecting the pattern instances using more than three FOVs would reduce the inspection throughput.
  • the total probability of defects within the FOV 1311 i.e., the sum of the probability for each of the pattern instances in the FOV 1311 to be a defect
  • the total probability of defects within the FOV 1313 is the smallest, although the FOV 1313 has a pattern that is most probable to be a defect among the seventeen pattern instances (as shown by its relatively large circle).
  • Fig. 14A shows an order of the ordered list of pattern instances in FOV 131 l pattem instances in FOV 1312 pattem instances in FOV 1313.
  • Fig. 14B shows a different order of the ordered list of pattern instances in FOV 131 l pattem instances in FOV 1313 pattem instances in FOV 1312.
  • the metrology tool has to travel one relatively short distance from FOV 1311 to FOV 1313 and one relatively long distance from FOV 1313 to FOV 1312. Therefore, the total time needed for inspecting the three pattern instances is shorter by following the order in Fig. 14B.
  • a probabilistic computational methodology to assign a probability distribution for one or more pattern instance types (e.g., one or more hotspot instance types) based on a determination of model residuals, e.g., by using measurements on substrate (e.g., a difference between a measured characteristic (e.g., CD) value and a predicted characteristic value).
  • the probability distribution can be used to determine, e.g., the defectivity probability of pattern instances of the one or more pattern instance types. That defectivity probability can be used for statistical process control (e.g., by the use of a statistical defect).
  • That defectivity probability can be used to aid in finding actual defects on substrates with a metrology tool, desirably a high capture rate and/or low nuisance rate and/or with improved time of inspection (e.g., no more or no fewer measurements than is needed, given a target criteria (e.g., defect size and, e.g.., capture rate and/or nuisance rate).
  • a target criteria e.g., defect size and, e.g., capture rate and/or nuisance rate.
  • the attribute 660 / 880 of the residue distribution is computed based on a plurality of pattern instances of the same pattern type or of a set comprising a plurality of different pattern types (where typically the pattern types share a similar behavior as described above). Then, the attribute 660 / 880 is used in the probabilistic method of Fig. 6 and 7 to determine a probability of a defect (which can then be used for various purposes as described above such as creating a list of sampling locations for a metrology tool).
  • the one or more pattern types are hotspots.
  • a prediction of defectivity within an ensemble of pattern instances which means a probabilistic computational method capable of predicting the statistical behavior of a group of pattern instances to determine whether any of the group members is a defect. So, in an embodiment, this can provide a better differentiation between inspection locations (e.g., FOVs) where there are defects and those without defects. In turn, this should reduce the number of locations to be sampled by the metrology tool to capture the defects, compared to, for example, a situation where the metrology tool is guided to visit pattern instance locations individually.
  • FOVs inspection locations
  • an example method of obtaining the attribute 660 / 880 of the residue distribution for this probabilistic computation method based on the statistical behavior of an ensemble of pattern instances is presented.
  • an attribute 1410 e.g. attribute 660 / 880
  • a non-probabilistic e.g., deterministic
  • model residual / residue ‘noise’
  • a verified value of a characteristic e.g., CD
  • predicted values of the characteristic (e.g., CD) for the pattern instances is obtained using, e.g., a non-probabilistic (e.g., deterministic) model for the prediction of the characteristic.
  • attribute 1410 in the form of a PDF of this residue distribution as determined from synthetic data is shown in Figure 16 as curve 1500, wherein the horizontal axis is ACD in nanometers and the vertical axis is the probability fraction in the range from 0 to 1.
  • This attribute 1410 in the form of a PDF can be used for statistical prediction as discussed above in respect of Figs. 6 and 7. From the PDF, a CDF or an eCDF can be calculated by integrating the PDF. So, the attribute 1410 can be in the form a CDF or eCDF and, like the attribute 1410 in the form of a PDF, can be used for statistical prediction as discussed above in respect of Figs.
  • FIG. 16 An example of attribute 1410 in the form of an eCDF of the residue distribution determined from synthetic data corresponding to the PDF 1500 is shown in Figure 16 as curve 1510.
  • the PDF, CDF or eCDF can be calculated for a plurality of pattern instances of a particular pattern type or set of a plurality of pattern types, wherein the data can be obtained from a plurality of the pattern instances spread across a substrate.
  • the concept of the prediction of defectivity within an ensemble of pattern instances is introduced. In an embodiment, this involves calculating an attribute 1430 of the residue for an ensemble of N pattern instances.
  • the attribute 1430 of the residue for an ensemble of N pattern instances is determined based on an attribute (e.g., a PDF, CDF or eCDF) of the residue for one or more pattern instances of at least pattern type of the ensemble.
  • the attribute 1430 comprises a cumulative distribution function for the ensemble ofN pattern instances or CCDF N for short. This attribute can also be sometimes referred to as an extreme value statistics characteristic.
  • the attribute 1430 is specified for a particular pattern type and/or set of a plurality of pattern types.
  • the N pattern instances of the ensemble comprise one or more hotspot instances.
  • the modeling techniques described herein can be used to identify one or more measurement locations where at least one of the patterns is a hotspot and determine the number of the same or similar patterns (like the hotspot) in the measurement location to form an ensemble of patterns within this measurement location.
  • the value of N corresponds to a number of the pattern instances of interest (and associated with an eCDF) within a measurement location of a metrology tool. In an embodiment, the value of N corresponds to all the pattern instances of interest within the measurement location. In an embodiment, the measurement location corresponds to a field of view or measurement spot of a metrology tool (such as an electron beam metrology tool). In an embodiment, the measurement location corresponds to a measurement location that can be effectively measured in one shot by the applicable metrology tool.
  • the value of N is specified for a measurement location is to enable more effective selection of measurement locations where it is expected that defects will actually occur; there will inevitably be some amount of time to transition from one measurement location to another measurement location and so it is desirable to be able to identify those one or more measurement locations were defects are likely to actually occur, which can cut down on, e.g., wasted measurement and/or time to transition between measurement locations.
  • the value of N is 10 or more, 20 or more, 50 or more, 100 or more, 200 or more, 400 or more, 500 or more,
  • the value of N can be different for different measurement locations (e.g., FOVs or images).
  • the attribute 1430 e.g., in the form of an CCDF N where number of pattern instances in the ensemble is N is used to calculate this probability.
  • the method can use the attribute 1430, e.g., in the form of an eCDF N .
  • the probability is calculated for a measurement location (e.g., a FOV) to contain at least one defect.
  • the probability if there is one, can be calculated for all the measurement locations across a substrate (e.g., all the possible FOVs across a substrate).
  • the probability 1450 can be used for the various uses as described herein, such as for statistic process chart creation, for sampling plan creation, etc.
  • the probability 1450 can be used to create a sampling plan for measurement by a metrology tool of a substrate.
  • the sampling plan can be used to identify defects across the substrate; the identified defects can be used for device manufacturing process modification, control, design, etc.
  • the probability that a certain measurement location (e.g., FOV or image) has an extremum characteristic (e.g., CD) that exceeds a control limit (i.e. contains a defect) provides a methodology to prioritize the locations to be inspected with a metrology tool.
  • a sampling scheme can be defined where locations are added until a desired criteria of capture of defects is achieved (e.g., a level of capture rate or nuisance rate is achieved or, for example, when the sum of probabilities for the sampling locations reaches 80% or higher, reaches 85% or higher, reaches 90% or higher, reaches 95% or higher).
  • a desired criteria of capture of defects e.g., a level of capture rate or nuisance rate is achieved or, for example, when the sum of probabilities for the sampling locations reaches 80% or higher, reaches 85% or higher, reaches 90% or higher, reaches 95% or higher.
  • the probability values of the measurement locations can be sorted, for example, in order of increasing values and then be used to calculate a cumulative probability.
  • An example of this cumulative probability represented as a number of predicted defects is shown in Figure 17, wherein the horizontal axis corresponds to the number of measurement locations (e.g., FOV or images) as sorted and the vertical axis corresponds to the cumulative number of defects predicted for the number of measurement locations.
  • Curve 1600 is an example of the cumulative number of predicted defects for a probabilistic computational method based on a PDF, CDF or eCDF for a set of synthetic data.
  • Curve 1610 is an example of the cumulative number of predicted defects for a probabilistic computational method based on attribute 1430 in this case in the form of an eCDF N for the set of synthetic data.
  • the sampling number of measurement locations to find, e.g., 90% or more defects (or 85% or higher, or 95% or higher) would be given by intercept of the number of measurement locations with curves at 90% of the total number of defects.
  • the total number of defects is about 29 (the asymptotes of the curves 1600 and 1610). So, 90% of that is about 26 defects, which is shown by line 1620. Where line 1620 intersects curves 1600 and 1610 respectively indicates then the number of respective sorted measurement locations that should be inspected in order to detect 26 defects. In the case of curve 1600, this is shown by line 1630 as about 220 images. For that number of images corresponding to calculations for curve 1600, it was computed that the nuisance rate was about 86%. In contrast, in the case of curve 1610, this is shown by line 1640 as about 103 images. For that number of images corresponding to calculations for curve 1610, it was computed that the nuisance rate was about 71%. So, for this specific case, there is about a ⁇ 2X improvement in inspection time (103 images versus 220 images) and about a 18% relative improvement in effective nuisance rate.
  • Figure 18 shows such a highly schematic visualization of the measurement locations across at least part of a substrate 1700, where the solid circles 1710 correspond to measurement locations with actual defects, the inner (or smaller) open circles correspond to the sampling measurement locations predicted by a PDF, CDF or eCDF for a particular pattern instance (e.g., hotspot), and the outer (or larger) open circles correspond to the sampling measurement locations predicted by an ensemble of pattern instances (e.g., defined for a measurement such as a FOV or image) using, e.g., eCDF N .
  • the sampling measurement locations predicted by an ensemble of pattern instances (e.g., defined for a measurement such as a FOV or image) using, e.g., eCDF N significantly more closely match the defect fingerprint 1710 than the sampling measurement locations predicted by a PDF, CDF or eCDF for a particular pattern instance (e.g., hotspot).
  • a probabilistic computational method that performs defect prediction based on statistics for an ensemble (or group) of similar pattern instances (e.g., hotspot instances).
  • a probability distribution of measurement locations e.g., FOVs or images
  • a sampling strategy based on ranked predicted probabilities or number of defects can be created.
  • this probabilistic computation method involves calculate a statistic of an ensemble of pattern instances within, e.g., a measurement location such as a field-of-view (FOV) of a metrology tool (e.g., of an electron metrology system) in order to establish a probability of a particular pattern instance (e.g., hotspot) become a defect for a particular location on a substrate where this pattern instance is created.
  • This statistic can be determined by comparing measured values of a characteristic (e.g., CD) of pattern instances on a substrate to predicted values for those pattern instances.
  • the statistic of the ensemble can be used to predict a probability of a defect of a pattern instance.
  • the statistic of an ensemble of a group of pattern instances within a specified measurement location can be used to determine whether any of pattern instances of the group within the measurement location is predicted to be a defect.
  • a relatively large field-of-view metrology system can measure the ensemble of pattern instances in essentially one shot. So it can be advantageous consider the probability in terms of the ensemble of a particular FOV on the substrate to enable more effective determination of whether to inspect a measurement location on the substrate corresponding to the FOV and/or to select measurement locations so as to, e.g., reduce inspection time. In an embodiment, those one or more measurement locations have a high probability of having a defect can then be inspected.
  • this methodology uses an actual distribution function of the residuals (e.g., based on measurements), which are expected to be non-Gaussian. So, the actual use of such a distribution should bring further benefit in terms of sampling (e.g., a lower effective nuisance rate).
  • the calculation of the probability takes into account variation of the distribution of residuals over the substrate. That is, the attribute 660 / 880 / 1430 can be varied across the substrate. This can be done one of a number of ways.
  • the attribute can be scaled by the range or standard deviation of the local characteristic distribution (e.g., CDU distribution) calculated over specific areas on the substrate (e.g., either over each measurement location (e.g., FOV or image), over each die, over areas that can be addressed by a control actuator of an applicable manufacturing apparatus (such as the lithographic apparatus, etc.). This will further improve the sampling purity (e.g., achieve a lower effective nuisance rate).
  • the device manufacturing process used to create pattern instances on any given substrate has drifted or suffers from a particular excursion. This can be done by comparing a statistical defect number determined for a particular substrate to one or more previous statistical defect numbers calculated on one or more previous substrates and/or to one or more actual defect number observed on one or more previous substrates. For example, if the statistical defect number exceeds such a previous defect number by a certain amount (e.g., 5% or more, 10% or more, 15% or more, 20% or more) then a user can be flagged of this drift/excursion, the substrate for which the statistical defect number is applied can be removed from further processing, the device manufacturing process can be stopped, the device manufacturing process can be modified, controlled, etc.
  • a certain amount e.g., 5% or more, 10% or more, 15% or more, 20% or more
  • this type of assessment can also be used to assess the data that goes into the probabilistic computational method.
  • an anchor feature among the substrates can be measured to determine if current measurements are statistically different (e.g., use of confidence intervals) from a baseline (e.g., previous measurements). If a current measurement is deemed to be deviating from the baseline, then an alert can be made that a predicted defect is not or may not be valid as a result of a drift or excursion.
  • hotspot instance data variability (local critical dimension uniformity (LCDU), line edge roughness (LER), etc.) per hotspot instance type or set of a plurality of similar hotspot instance types can be analyzed against a predicted magnitude of that variability (e.g., using image log slope (ILS), MSD in, for example, the Z direction, etc.) to obtain a similar attribute as discussed above for prediction of the variability, which can one or more predictors can be used in the probabilistic method to enhance the prediction of defects.
  • LCDU local critical dimension uniformity
  • LER line edge roughness
  • a PDF for a particular hotspot instance type or set of a plurality of similar hotspot instance types might vary based on the hotspot instances created using a certain focus value because features that are out-of-focus then to have lower ILS and so would have a broader PDF compared to those features in focus.
  • a method comprising: obtaining a value of a characteristic of a pattern instance on a substrate produced by a device manufacturing process, using a non-probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue and on the value of the characteristic of the pattern instance; and determining a probability that the pattern instance is a defect, based on the attribute of the distribution of the characteristic.
  • the attribute of the distribution of the residue comprises a probability density function (PDF) of the residue.
  • the attribute of the distribution of the residue comprises a cumulative distribution function (CDF) of the residue or an empirical cumulative distribution function (eCDF) of the residue.
  • the attribute of the distribution of the residue represents a spread of the distribution of the residue.
  • the attribute of the distribution of the residue is a variance or standard deviation of the distribution of the residue.
  • the characteristic is one or more selected from: a position relative to the substrate, a position relative to one or more other pattern instances on the substrate, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
  • determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue and the value of the characteristic.
  • the attribute of the distribution of the characteristic is a PDF of the characteristic.
  • determining the probability comprises integrating the PDF of the characteristic over a range of the characteristic.
  • the method further comprises normalizing the attribute of the distribution of the characteristic.
  • determining the attribute of the distribution of the characteristic is further based on a range of the characteristic in which the pattern is considered a defect.
  • a method comprising: obtaining verified values of a characteristic of a plurality of pattern instances on a substrate produced by a device manufacturing process; obtaining computed values of the characteristic using a non-probabilistic model; obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values; and obtaining an attribute of a distribution of the residue based on the values of the residue.
  • the characteristic is one or more selected from: a position relative to the substrate, a position relative to one or more other pattern instances on the substrate, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
  • obtaining the verified values comprises measuring the pattern instances using a metrology tool or simulation using a rigorous model.
  • the metrology tool is configured to measure the pattern instances using a beam of charged particles.
  • obtaining the values of the residue comprises obtaining differences between the computed values and the verified values.
  • the attribute of the distribution of the residue comprises a PDF of the distribution of the residue.
  • the method further comprises obtaining the plurality of pattern instances based on shape, size, function, or spatial proximity of the pattern types of the pattern instances.
  • a method comprising: obtaining probabilities that a set of pattern instances on a substrate produced by a device manufacturing process are respectively defects; determining an ordered list of pattern instances to be inspected based on the probabilities; and inspecting pattern instances in the ordered list following an order of the ordered list.
  • the method further comprises obtaining locations of the set of pattern instances.
  • determining the ordered list is further based on the locations.
  • the ordered list comprises a subset of pattern instances among the set of pattern instances, wherein pattern instances in the subset have higher probabilities of being defects than pattern instances in the set but not in the subset.
  • determining the ordered list is further based on an inspection throughput, an amount of time allowed for inspection, and/or an amount of radiation the substrate is allowed to receive during inspection.
  • the order is an order of descending probabilities.
  • determining the ordered list comprises computing a cost function that is a function of the order.
  • the cost function represents the probabilities, an amount of time of inspecting the set of pattern instances, and/or distances among the set of pattern instances.
  • the method further comprises updating the probabilities based on data obtained from inspecting the pattern instances in the ordered list.
  • a method comprising: obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non -probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances, the attribute of the distribution of the residue with respect to an ensemble of physical item instances based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.
  • the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
  • the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble.
  • the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF) N ] or [1 - (1-CDF) N ], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble.
  • the number of physical item instances in the ensemble is greater than 10.
  • the physical item instance corresponds to a pattern instance on a substrate produced by a device manufacturing process.
  • the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool.
  • the method further comprises determining, based on the probability, the predicted presence of at least one physical item instance out of specification in a measurement location or field of view of a metrology tool.
  • the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
  • determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue with respect to the ensemble and the value of the characteristic.
  • determining the probability is further based on a range of the characteristic in which the physical item instance is considered out of specification.
  • the method further comprises determining, based on the probability, a sampling plan of measurement locations for measurements to determine physical item instances, if any, that are out of specification.
  • a method comprising: obtaining verified values of a characteristic of a plurality of physical item instances of a physical system or object; obtaining computed values of the characteristic using a non-probabilistic model; obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values; obtaining an attribute of a first distribution of the residue based on the values of the residue; and obtaining an attribute of a second distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances based on the attribute of the first distribution.
  • the attribute of the second distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
  • the attribute of the second distribution of the residue with respect to the ensemble involves the attribute of the first distribution of the residue to the power of a number of physical item instances in the ensemble.
  • the attribute of the second distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF) N ] or [1 - (1-CDF) N ], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function with respect to the first distribution, and eCDF is an empirical cumulative distribution with respect to the first distribution.
  • the number of physical item instances in the ensemble is greater than 10. In an embodiment, the physical item instances correspond to pattern instances on a substrate produced by a device manufacturing process. In an embodiment, the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool. In an embodiment, there is a plurality of attributes of the second distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the second distribution of the residue with respect to the ensemble is different for different physical locations.
  • the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
  • obtaining the verified values comprises measuring the physical item instances using a metrology tool or simulation using a rigorous model.
  • the metrology tool is configured to measure the physical item instances using a beam of charged particles.
  • obtaining the values of the residue comprises obtaining differences between the computed values and the verified values.
  • the attribute of the first distribution of the residue is a CDF or eCDF of the first distribution of the residue.
  • the method further comprises obtaining the plurality of physical item instances based on shape, size, function, or spatial proximity.
  • a method comprising: obtaining probabilities that a set of physical item instances of a physical system or object are respectively out of specification, the probabilities determined using an attribute of a distribution of a residue of a non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; and determining an ordered list of physical item instances to be inspected based on the probabilities.
  • the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
  • the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble.
  • the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF) N ] or [1 - (1-CDF) N ], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble.
  • the number of physical item instances in the ensemble is greater than 10.
  • the physical item instances correspond to pattern instances on a substrate produced by a device manufacturing process.
  • the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of the metrology tool.
  • determining the ordered list further comprises determining, based on the probabilities, the predicted presence of at least one defect in a measurement location or field of view of the metrology tool.
  • the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
  • the method further comprises obtaining locations of the set of physical item instances and determining the ordered list is further based on the locations.
  • the ordered list comprises a subset of physical item instances among the set of physical item instances, wherein physical item instances in the subset have higher probabilities of being out of specification than physical item instances in the set but not in the subset.
  • determining the ordered list is further based on an inspection throughput, an amount of time allowed for inspection, and/or an amount of radiation a substrate is allowed to receive during inspection.
  • the ordered list is in an order of descending probabilities.
  • the method further comprises updating the probabilities based on data obtained from inspecting the physical item instances in the ordered list.
  • the method further comprises inspecting physical item instances in the ordered list following the order of the ordered list.
  • Fig. 19 is a block diagram that illustrates a computer system 100 which can assist in implementing all or part of the methods and flows disclosed herein.
  • Computer system 100 includes a bus 102 or other communication mechanism to communicate information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 to process information.
  • Computer system 100 may also include a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 to store and/or supply information and instructions to be executed by processor 104.
  • Main memory 106 may be used to store and/or supply temporary variables or other intermediate information during execution of instructions to be executed by processor 104.
  • Computer system 100 may further include a read only memory (ROM) 108 or other static storage device coupled to bus 102 to store and/or supply static information and instructions for processor 104.
  • ROM read only memory
  • a storage device 110 such as a magnetic disk or optical disk, may be provided and coupled to bus 102 to store and/or supply information and instructions.
  • Computer system 100 may be coupled via bus 102 to a display 112, such as a cathode ray tube (CRT) or flat panel or touch panel display, to display information to a computer user.
  • a display 112 such as a cathode ray tube (CRT) or flat panel or touch panel display
  • An input device 114 may be coupled to bus 102 to communicate information and command selections to processor 104.
  • cursor control 116 such as a mouse, a trackball, or cursor direction keys, to communicate direction information and command selections to processor 104 and to control cursor movement on display 112.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of the methods disclosed herein may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may be employed to execute the sequences of instructions contained in main memory 106. In an embodiment, hard- wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device 110.
  • Volatile media include dynamic memory, such as main memory 106.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution.
  • the instructions may initially be borne on a disk or memory of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a communications path.
  • Computer system 100 can receive the data from the path and place the data on bus 102.
  • Bus 102 carries the data to main memory 106, from which processor 104 retrieves and executes the instructions.
  • the instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.
  • Computer system 100 may include a communication interface 118 coupled to bus 102.
  • Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a network 122.
  • network link 120 For example, communication interface 118 may provide a wired or wireless data communication connection.
  • communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link 120 typically provides data communication through one or more networks to other data devices.
  • network link 120 may provide a connection through network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126.
  • ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the“Internet” 128.
  • Network 122 and Internet 128 both use electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are exemplary forms of carrier waves transporting the information.
  • Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120, and communication interface 118.
  • a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, network 122 and communication interface 118.
  • One such downloaded application may provide for the code to implement a method herein, for example.
  • the received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
  • Fig. 20 schematically depicts a further exemplary lithographic apparatus 1000.
  • the lithographic apparatus 1000 includes:
  • an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation).
  • a radiation beam B e.g. EUV radiation
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device
  • a substrate table e.g. a wafer table
  • WT constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate
  • a projection system e.g. a reflective projection system
  • PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • the apparatus 1000 is of a reflective type (e.g. employing a reflective mask). It is to be noted that because most materials are absorptive within the EUV wavelength range, the patterning device may have a multilayer reflector comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon. Even smaller wavelengths may be produced with X-ray lithography.
  • a thin piece of patterned absorbing material on the patterning device topography defines where features would print (positive resist) or not print (negative resist).
  • the illuminator IL receives an extreme ultra violet (EUV) radiation beam from the source collector module SO.
  • EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam.
  • the source collector module SO may be part of an EUV radiation system including a laser, not shown in Fig.
  • the laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the illuminator IL may comprise an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously in a given direction (the so-called“scan direction’’) while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • Fig. 21 shows the apparatus 1000 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 2120 of the source collector module SO.
  • An EUV radiation emitting plasma 2110 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 2110 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma 2110 is created by, for example, an electrical discharge causing an at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 2110 is passed from a source chamber 2111 into a collector chamber 2112 via an optional gas barrier or contaminant trap 2130 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 2111.
  • the contaminant trap 2130 may include a channel structure.
  • Contamination trap 2130 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant trap 2130 further indicated herein at least includes a channel structure, as known in the art.
  • the source chamber 2111 may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side 2151 and a downstream radiation collector side 2152. Radiation that traverses collector CO can be reflected off a grating spectral filter 2140 to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line O’.
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 2121 in the enclosing structure 2120.
  • the virtual source point IF is an image of the radiation emitting plasma 2110.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 2192 and a facetted pupil mirror device 2194 arranged to provide a desired angular distribution of the radiation beam 2191, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 2192 and a facetted pupil mirror device 2194 arranged to provide a desired angular distribution of the radiation beam 2191, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter 2140 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Fig. 21.
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 2153, 2154 and 2155, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 2153, 2154 and 2155 are disposed axially symmetric around the optical axis O and a collector optic CO of this type is desirably used in combination with a discharge produced plasma source, often called a DPP source.
  • the source collector module SO may be part of an LPP radiation system.
  • a method comprising:
  • determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue with respect to the ensemble and the value of the characteristic.
  • a method comprising:
  • a method comprising:
  • probabilities that a set of physical item instances of a physical system or object are respectively out of specification the probabilities determined using an attribute of a distribution of a residue of a non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; and determining an ordered list of physical item instances to be inspected based on the probabilities.
  • determining the ordered list further comprises determining, based on the probabilities, the predicted presence of at least one defect in a measurement location or field of view of the metrology tool.
  • a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer system implementing the method of any of clauses 1-43.
  • the lithographic apparatus may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate.
  • a liquid having a relatively high refractive index e.g., water
  • An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the patterning device and the projection system. Immersion techniques are known for increasing the numerical aperture of projection systems.
  • immersion as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate and/or the patterning device and projection system, during exposure.
  • projection system or“projection optics” as used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum.
  • projection optics or“projection system” may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly.
  • the concepts disclosed herein may be used to simulate or mathematically model any device manufacturing process involving a pattern transfer step, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size.
  • Emerging technologies already in use include deep ultraviolet (DUV) lithography that is capable of producing a 193 nm wavelength with the use of an ArF laser, and even a 157 nm wavelength with the use of a fluorine laser.
  • EUV lithography is capable of producing wavelengths within a range of 5- 20 nm by, e.g., by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
  • the term“mask” or“patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term“light valve” can also be used in this context.
  • the term“light valve” can also be used in this context.
  • Examples of other such patterning devices include: a programmable mirror array and a programmable LCD array.
  • the patterning device referred to above comprises or can form a design layout.
  • the design layout can be generated utilizing a CAD (computer-aided design) program. This process is often referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patteming devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way.
  • the design rule limitations are typically referred to as "critical dimensions" (CD).
  • a critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes.
  • the CD determines the overall size and density of the designed circuit.
  • one of the goals in integrated circuit fabrication is to faithfully reproduce the original circuit design on the substrate (via the patterning device).
  • microlithography is a significant step in the manufacturing of devices such as ICs, where patterns formed on substrates define functional elements of the ICs, such as microprocessors, memory chips etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices. So, although specific reference may be made in this text to the manufacture of integrated circuits, it should be explicitly understood that the description herein has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal display panels, thin film magnetic heads, micromechanical systems (MEMs), etc.
  • MEMS micro-electro mechanical systems
  • any use of the terms“reticle”,“wafer” or“die” in this text should be considered as synonymous or interchangeable with the more general terms“patterning device”,“substrate” and “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create, for example, a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • the terms“radiation” and“beam” are used to encompass all types of radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm), as well as particle beams, such as ion beams or electron beams.
  • ultraviolet radiation e.g. with a wavelength of 365, 248, 193, 157 or 126 nm
  • EUV extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm
  • particle beams such as ion beams or electron beams.
  • a topography in a patterning device defines the pattern created on a substrate.
  • the topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof.
  • the patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
  • a lithographic apparatus using the imprint technology typically include a template holder to hold an imprint template, a substrate table to hold a substrate and one or more actuators to cause relative movement between the substrate and the imprint template so that the pattern of the imprint template can be imprinted onto a layer of the substrate.
  • an embodiment may be implemented by one or more appropriate computer programs which may be carried on an appropriate carrier medium which may be a tangible carrier medium (e.g. a disk) or an intangible carrier medium (e.g. a communications signal).
  • Embodiments of the invention may be implemented using suitable apparatus which may specifically take the form of a programmable computer running a computer program arranged to implement a method as described herein.
  • embodiments of the disclosure may be implemented in hardware, firmware, software, or any combination thereof.
  • Embodiments of the disclosure may also be implemented as instructions stored on a machine -readable medium, which may be read and executed by one or more processors.
  • a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device).
  • a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others.
  • firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.
  • illustrated components are depicted as discrete functional blocks, but embodiments are not limited to systems in which the functionality described herein is organized as illustrated.
  • the functionality provided by each of the components may be provided by software or hardware modules that are differently organized than is presently depicted, for example such software or hardware may be intermingled, conjoined, replicated, broken up, distributed (e.g. within a data center or geographically), or otherwise differently organized.
  • the functionality described herein may be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory, machine readable medium.
  • third party content delivery networks may host some or all of the information conveyed over networks, in which case, to the extent information (e.g., content) is said to be supplied or otherwise provided, the information may be provided by sending instructions to retrieve that information from a content delivery network.
  • information e.g., content
  • the word“may” is used in a permissive sense (i.e., meaning having the potential to), rather than the mandatory sense (i.e., meaning must).
  • the words “include”,“including”, and“includes” and the like mean including, but not limited to.
  • the singular forms“a,”“an,” and“the” include plural referents unless the content explicitly indicates otherwise.
  • reference to“an” element or “a” element includes a combination of two or more elements, notwithstanding use of other terms and phrases for one or more elements, such as“one or more.”
  • the term “or” is, unless indicated otherwise, non exclusive, i.e., encompassing both “and” and “or.”
  • Terms describing conditional relationships e.g., "in response to X, Y,” “upon X, Y,”,“if X, Y,” “when X, Y,” and the like, encompass causal relationships in which the antecedent is a necessary causal condition, the antecedent is a sufficient causal condition, or the antecedent is a contributory causal condition of the consequent, e.g., "state X occurs upon condition Y obtaining” is generic to "X occurs solely upon Y” and "X occurs upon Y and Z.”
  • Such conditional relationships are not limited to consequences that instantly follow the antecedent obtaining, as some consequences may be delayed, and in conditional statements, antecedents are connected to
  • Statements in which a plurality of attributes or functions are mapped to a plurality of objects encompasses both all such attributes or functions being mapped to all such objects and subsets of the attributes or functions being mapped to subsets of the attributes or functions (e.g., both all processors each performing steps A-D, and a case in which processor 1 performs step A, processor 2 performs step B and part of step C, and processor 3 performs part of step C and step D), unless otherwise indicated.
  • statements that one value or action is“based on” another condition or value encompass both instances in which the condition or value is the sole factor and instances in which the condition or value is one factor among a plurality of factors.
  • statements that“each” instance of some collection have some property should not be read to exclude cases where some otherwise identical or similar members of a larger collection do not have the property, i.e., each does not necessarily mean each and every. References to selection from a range includes the end points of the range.
  • any processes, descriptions or blocks in flowcharts should be understood as representing modules, segments or portions of code which include one or more executable instructions for implementing specific logical functions or steps in the process, and alternate implementations are included within the scope of the exemplary embodiments of the present advancements in which functions can be executed out of order from that shown or discussed, including substantially concurrently or in reverse order, depending upon the functionality involved, as would be understood by those skilled in the art.

Abstract

A method including: obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non-probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.

Description

PREDICTION OF OUT OF SPECIFICATION PHYSICAL ITEMS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of US application 62/598,427 which was filed on December 13, 2017, and which is incorporated herein in its entirety by reference.
TECHNICAL FIELD
[0002] The description herein relates to a method to predict physical items that are out of specification, such as out of specification pattern instances on a substrate produced by a device manufacturing process.
BACKGROUND
[0003] A lithography apparatus is a machine that applies a desired pattern onto a target portion of a substrate. A lithographic apparatus can be used, for example, in the manufacture of devices such as integrated circuits (ICs). In such a case, a patterning device (e.g., a mask or reticle) may be used to generate a pattern corresponding to an individual layer of the device (“design layout’’), and this pattern can be transferred onto a target portion (e.g. comprising part of, one or several dies) on a substrate (e.g., silicon wafer) that has, e.g., a layer of radiation-sensitive material (resist), by methods such as irradiating the target portion via a pattern on the patterning device. In general, a single substrate will contain a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic apparatus, one target portion at a time. In one type of lithographic apparatus, the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus is commonly referred to as a stepper. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, a projection beam scans over the patterning device in a given reference direction (the“scanning’’ direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, a lithographic projection apparatus will have a demagnification factor M (generally > 1), the speed F at which the substrate is moved will be a factor M times that at which the projection beam scans the patterning device.
[0004] Prior to the device fabrication procedure of transferring the pattern from the patterning device to the substrate of the device manufacturing process, the substrate may undergo various device fabrication procedures of the device manufacturing process, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to one or more other device fabrication procedures of the device manufacturing process, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern. This array of device fabrication procedures is used as a basis to make an individual layer of a device, e.g., an IC. The substrate may then undergo various device fabrication procedures of the device manufacturing process such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole process, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. If there is a plurality of devices, these devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
[0005] Thus, manufacturing devices, such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process. A patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
SUMMARY
[0006] Whether physical items of a physical system or object (e.g., pattern features on a substrate) are out of specification (e.g., defects) is a significant consideration in, for example, control, modification, design, etc. of the physical item or object or a process involving the physical item or object. Accordingly, there is desired a technique that enables improved prediction of out of specification physical items for, e.g., improved measurement sampling plans for taking measurements of the physical items (e.g., pattern instances on a substrate produced by a device manufacturing process).
[0007] In an embodiment, there is provided a method comprising: obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non -probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances, the attribute of the distribution of the residue with respect to an ensemble of physical item instances based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic. [0008] In an embodiment, there is provided a method comprising: obtaining verified values of a characteristic of a plurality of physical item instances of a physical system or object; obtaining computed values of the characteristic using a non-probabilistic model; obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values; obtaining an attribute of a first distribution of the residue based on the values of the residue; and obtaining an attribute of a second distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances based on the attribute of the first distribution.
[0009] In an embodiment, there is provided a method comprising: obtaining probabilities that a set of physical item instances of a physical system or object are respectively out of specification, the probabilities determined using an attribute of a distribution of a residue of a non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; and determining an ordered list of physical item instances to be inspected based on the probabilities.
[0010] In an embodiment, there is provided a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer system implementing any or part of the methods herein.
BRIEF DESCRIPTION OF FIGURES
[0011] The above aspects and other aspects and features will become apparent to those ordinarily skilled in the art upon review of the following description of specific embodiments in conjunction with the accompanying Figures, wherein:
[0012] Fig. 1 is a schematic block diagram of a lithographic apparatus.
[0013] Fig. 2 schematically depicts an embodiment of a lithographic cell or cluster.
[0014] Fig. 3 schematically depicts a method of predicting defects in a device manufacturing process.
[0015] Fig. 4 illustrates a flowchart for a method of simulating at least a portion of a pattern or a characteristic of a pattern in an image.
[0016] Figs. 5A, 5B, 5C and 5D schematically show that a probabilistic computational method can better account for random variations and thus, for example, better guide inspection of a substrate produced in the device manufacturing process, than a method using only a non-probabilistic model.
[0017] Fig. 6 schematically shows how a probabilistic computational method is used to predict defects, according to an embodiment.
[0018] Fig. 7 shows a flowchart for a method of computing a probability of defects on a substrate produced by a device manufacturing process, according to an embodiment.
[0019] Fig. 8 schematically shows how an attribute of the distribution of the residue of the non- probabilistic model may be obtained, according to an embodiment.
[0020] Fig. 9 shows a flowchart for a method of obtaining an attribute of a distribution of the residue of a non-probabilistic model, according to an embodiment.
[0021] Figs. 10A, 10B, 10C, 10D, 10E, 10F and 10G each show a histogram of the residue as an example of the attribute of the distribution of the residue.
[0022] Fig. 11 schematically shows an example, where the probability that a pattern is a defect is the integration of a PDF over a range from minus infinity to a threshold value.
[0023] Fig. 12 schematically shows a flowchart for a method of using the probability that a pattern is a defect to determine which pattern instances on a substrate are to be inspected and the order in which these pattern instances are to be inspected, according to an embodiment.
[0024] Fig. 13A and Fig. 13B schematically show that the order of descending probabilities may be inferior in terms of the inspection throughput compared to another order.
[0025] Fig. 14A and Fig. 14B schematically show that the order of descending probabilities may be inferior in terms of the inspection throughput compared to another order.
[0026] Fig. 15 is flowchart of a method a method of obtaining an attribute of a distribution of the residue of a non-probabilistic model, computing a probability of defects on a substrate produced by a device manufacturing process, and using the probability to determine which pattern instances on a substrate are to be inspected according to an embodiment.
[0027] Fig. 16 is an example graph of probability distributions for a characteristic of pattern instances based on synthetic data.
[0028] Fig. 17 is an example graph of the cumulative number of predicted defects as a function of number of measurement locations based on synthetic data.
[0029] Fig. 18 is an example visualization of sampling plans for measurement of a substrate.
[0030] Fig. 19 is a block diagram of an example computer system.
[0031] Fig. 20 is a schematic diagram of a further lithographic apparatus.
[0032] Fig. 21 is a more detailed view of the apparatus in Fig. 20.
[0033] Embodiments will now be described in detail with reference to the drawings, which are provided as illustrative examples so as to enable those skilled in the art to practice the embodiments. Notably, the Figures and examples are not meant to limit the scope to a single embodiment, but other embodiments are possible by way of interchange of some or all of the described or illustrated elements. Wherever convenient, the same reference numbers will be used throughout the drawings to refer to same or like parts. Where certain elements of these embodiments can be partially or fully implemented using known components, only those portions of such known components that are necessary for an understanding of the embodiments will be described, and detailed descriptions of other portions of such known components will be omitted so as not to obscure the description of the embodiments. In the present specification, an embodiment showing a singular component should not be considered limiting; rather, the scope is intended to encompass other embodiments including a plurality of the same component, and vice-versa, unless explicitly stated otherwise herein. Moreover, applicants do not intend for any term in the specification or claims to be ascribed an uncommon or special meaning unless explicitly set forth as such. Further, the scope encompasses present and future known equivalents to the components referred to herein by way of illustration.
DETAILED DESCRIPTION
[0034] Before describing embodiments in detail, it is instructive to present an example environment in which embodiments may be implemented.
[0035] Fig. 1 schematically depicts an embodiment of a lithographic apparatus LA. The apparatus comprises:
an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. electromagnetic radiation such as UV radiation or DUV radiation);
a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;
a substrate table (e.g. a wafer table) WT (e.g., WTa, WTb or both) constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and
a projection system (e.g. a refractive, catoptric or catadioptric projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies and often referred to as fields) of the substrate W, the projection system supported on a reference frame (RF).
[0036] As here depicted, the apparatus is of a transmissive type (e.g. employing a transmissive mask or a LCD matrix). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array or employing a reflective mask).
[0037] The illuminator IL receives a beam of radiation from a radiation source SO (e.g., a mercury lamp or excimer laser). The radiation source and the lithographic apparatus may be separate entities, for example when the radiation source is an excimer laser. In such cases, the radiation source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the radiation source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. In other cases the radiation source may be an integral part of the apparatus, for example when the radiation source is a mercury lamp. The radiation source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
[0038] The illuminator IL may alter the intensity distribution of the beam. The illuminator may be arranged to limit the radial extent of the radiation beam such that the intensity distribution is non-zero within an annular region in a pupil plane of the illuminator IL. Additionally or alternatively, the illuminator IL may be operable to limit the distribution of the beam in the pupil plane such that the intensity distribution is non-zero in a plurality of equally spaced sectors in the pupil plane. The intensity distribution of the radiation beam in a pupil plane of the illuminator IL may be referred to as an illumination mode.
[0039] So, the illuminator IL may comprise adjuster AM configured to adjust the (angular / spatial) intensity distribution of the beam. Generally, at least the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. The illuminator IL may be operable to vary the angular distribution of the beam. For example, the illuminator may be operable to alter the number, and angular extent, of sectors in the pupil plane wherein the intensity distribution is non-zero. By adjusting the intensity distribution of the beam in the pupil plane of the illuminator, different illumination modes may be achieved. For example, by limiting the radial and angular extent of the intensity distribution in the pupil plane of the illuminator IL, the intensity distribution may have a multi-pole distribution such as, for example, a dipole, quadrupole or hexapole distribution. A desired illumination mode may be obtained, e.g., by inserting an optic which provides that illumination mode into the illuminator IL or using a spatial light modulator.
[0040] The illuminator IL may be operable alter the polarization of the beam and may be operable to adjust the polarization using adjuster AM. The polarization state of the radiation beam across a pupil plane of the illuminator IL may be referred to as a polarization mode. The use of different polarization modes may allow greater contrast to be achieved in the image formed on the substrate W. The radiation beam may be unpolarized. Alternatively, the illuminator may be arranged to linearly polarize the radiation beam. The polarization direction of the radiation beam may vary across a pupil plane of the illuminator IL. The polarization direction of radiation may be different in different regions in the pupil plane of the illuminator IL. The polarization state of the radiation may be chosen in dependence on the illumination mode. For multi-pole illumination modes, the polarization of each pole of the radiation beam may be generally perpendicular to the position vector of that pole in the pupil plane of the illuminator IL. For example, for a dipole illumination mode, the radiation may be linearly polarized in a direction that is substantially perpendicular to a line that bisects the two opposing sectors of the dipole. The radiation beam may be polarized in one of two different orthogonal directions, which may be referred to as X-polarized and Y-polarized states. For a quadrupole illumination mode the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector. This polarization mode may be referred to as XY polarization. Similarly, for a hexapole illumination mode the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector. This polarization mode may be referred to as TE polarization.
[0041] In addition, the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. [0042] Thus, the illuminator provides a conditioned beam of radiation B, having a desired uniformity and intensity distribution in its cross section.
[0043] The support structure MT supports the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms“reticle” or“mask” herein may be considered synonymous with the more general term“patterning device.”
[0044] The term“patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a pattern in a target portion of the substrate. In an embodiment, a patterning device is any device that can be used to impart a radiation beam with a pattern in its cross- section so as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
[0045] A patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase- shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.
[0046] The term“projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term“projection lens” herein may be considered as synonymous with the more general term “projection system”.
[0047] The projection system PS may comprise a plurality of optical (e.g., lens) elements and may further comprise an adjustment mechanism AM configured to adjust one or more of the optical elements so as to correct for aberrations (phase variations across the pupil plane throughout the field). To achieve this, the adjustment mechanism may be operable to manipulate one or more optical (e.g., lens) elements within the projection system PS in one or more different ways. The projection system may have a co-ordinate system wherein its optical axis extends in the z direction. The adjustment mechanism may be operable to do any combination of the following: displace one or more optical elements; tilt one or more optical elements; and/or deform one or more optical elements.
Displacement of an optical element may be in any direction (x, y, z or a combination thereof). Tilting of an optical element is typically out of a plane perpendicular to the optical axis, by rotating about an axis in the x and/or y directions although a rotation about the z axis may be used for a non-rotationally symmetric aspherical optical element. Deformation of an optical element may include a low frequency shape (e.g. astigmatic) and/or a high frequency shape (e.g. free form aspheres). Deformation of an optical element may be performed for example by using one or more actuators to exert force on one or more sides of the optical element and/or by using one or more heating elements to heat one or more selected regions of the optical element. In general, it may not be possible to adjust the projection system PS to correct for apodization (transmission variation across the pupil plane). The transmission map of a projection system PS may be used when designing a patterning device (e.g., mask) MA for the lithography apparatus LA. Using a computational lithography technique, the patterning device MA may be designed to at least partially correct for apodization.
[0048] The lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate tables WTa, WTb, two or more patterning device tables, a substrate table WTa and a table WTb below the projection system without a substrate that is dedicated to, for example, facilitating measurement, and/or cleaning, etc.). In such“multiple stage’’ machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. For example, alignment measurements using an alignment sensor AS and/or level (height, tilt, etc.) measurements using a level sensor LS may be made.
[0049] So, in operation of the lithographic apparatus, a radiation beam is conditioned and provided by the illumination system IL. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. Having traversed the patterning device MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g. an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in Fig. 1) can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the support structure MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the support structure MT may be connected to a short-stroke actuator only, or may be fixed. Patterning device MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2 by the use of alignment system. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device MA, the patterning device alignment marks may be located between the dies. Small alignment markers may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features.
[0050] The depicted apparatus could be used in at least one of the following modes:
[0051] 1. In step mode, the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
[0052] 2. In scan mode, the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
[0053] 3. In another mode, the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
[0054] Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
[0055] As shown in Fig. 2, the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to a lithocell or cluster, which also includes apparatuses to perform pre- and post-exposure processes on a substrate. Conventionally these include one or more spin coaters SC to deposit one or more resist layers, one or more developers DE to develop exposed resist, one or more chill plates CH and/or one or more bake plates BK. A substrate handler, or robot, RO picks up one or more substrates from input/output port I/Ol, 1/02, moves them between the different process apparatuses and delivers them to the loading bay LB of the lithographic apparatus. These apparatuses, which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatuses can be operated to maximize throughput and processing efficiency.
[0056] Physical item instances of a physical system or object (e.g., pattern features on a substrate) may not be all perfect in terms of meeting an associated specification. That is, a physical item characteristic (e.g., a size, shape, etc.) of a population of physical item instances may have significant variability and in terms of meeting the specification. This variability can be systematic or random. Prediction of whether a physical item instance is out of specification can thus be difficult, particularly due to random variation. Accordingly, there is provided herein a technique that enables improved prediction of out of specification physical items (e.g., pattern instances on a substrate produced by a device manufacturing process) for, e.g., control, modification, design, etc. of the physical item or object or a process involving the physical item or object and/or creation of an improved measurement sampling plan for taking measurements of the physical items.
[0057] Now, to explain embodiment of technique and without any intention of limiting the generality of the invention, this disclosure focuses on pattern instances on a substrate produced by a device manufacturing process as an example of physical item instances of a physical system or object and on defects of such pattern instances as being physical item instances being out of specification.
Embodiments of the invention are not limited to these specific examples.
[0058] So, considering the example of pattern instances on a substrate, those pattern instances produced by a device manufacturing process may not be all perfect. If some of the patterns are outside their respective design specification, they can be considered defects. Defects may be caused by many factors. The factors may include systematic imperfection of the lithographic apparatus or other hardware used in the device manufacturing process. Defects caused by these factors alone, if these factors can be measured, may be predicted with relatively high degrees of certainty because the relationship between these factors and the patterns is definite. The factors may include random variations of the lithographic apparatus or other hardware used in the device manufacturing process. Random variations may be due to a variety of mechanisms such as photon shot noise, thermal noise, mechanical vibration, etc. Definite prediction of defects caused by at least some of these factors may be very difficult because of the randomness of these factors.
[0059] Fig. 3 schematically depicts a method of predicting defects in a device manufacturing process. Examples of a defect can include necking, line-end pull back, line thinning, incorrect CD, overlapping, bridging and/or others. A defect can be in a resist image, an optical image or an etch image (i.e., a pattern transferred to a layer of the substrate by etching using the resist thereon as a mask). At 213, a model is used to compute a characteristic 214 (e.g., the existence, location, type, shape, etc.) of a pattern, based on one or more process parameters 211 of the device manufacturing process and/or one or more layout parameters 212. The process parameters 211 are parameters associated with the device manufacturing process but not with the layout. For example, the process parameters 211 may include a characteristic of the illumination (e.g., intensity, pupil profile, etc.), a characteristic of the projection optics, dose, focus, a characteristic of the resist, a characteristic of development of the resist, a characteristic of post-exposure baking of the resist, and/or a characteristic of etching. The layout parameters 212 may include a shape, size, relative location, and/or absolute location of various features on a layout, and/or overlapping of features on different layouts. In an example, the model is an empirical model, where the pattern, which can be in a resist image, aerial image, or etch image, is not simulated; instead, the empirical model determines the characteristic 214 (e.g., the existence, location, type, shape, etc.) of the pattern based on a correlation between the input (e.g., the one or more process parameters 211 and/or layout parameters 212) of the empirical model and the characteristic. In an example, the model is a computational model, where at least a portion of the pattern is simulated and the characteristic 214 is determined from the portion, or the characteristic 214 is simulated without simulating the pattern itself. At 215, whether the pattern is a defect or whether there is a probability that the pattern is a defect is determined based on the characteristic 214. For example, a line-end pull back defect may be identified by finding a line end too far away from its desired location; a bridging defect may be identified by finding a location where two lines undesirably join.
[0060] Examples of applicable computational methods are described in U.S. patent application publication no. US 2015-0227654, PCT patent application publication no. WO 2016-128189, PCT patent application publication no. WO 2016-202546, PCT patent application publication no. WO 2017-114662 and U.S. patent application no. 62/365,662, each of which is incorporated herein in its entirety by reference.
[0061] In an embodiment, the model can be in the form of a polynomial comprising, as variables, one or more process parameters of the device manufacturing process. For example, the polynomial can be characterized in terms of one or more selected from: focus, dose, a moving average (MA) of lithographic apparatus table servo error, moving standard deviation (MSD) of a lithographic apparatus table servo error, a patterning device pattern error, and/or an etch parameter. In an embodiment, one or more variables can be characterized spatially (e.g., with X and Y coordinates, with radial coordinates, etc.) across the substrate. As an example, the polynomial can be specified in terms of at least focus and dose, wherein the focus and dose is spatially characterized across the substrate.
[0062] An exemplary flow chart of a method of modelling and/or simulating parts of a patterning process is illustrated in Fig. 3, for example, modelling and/or simulating at least a portion of a pattern or a characteristic of a pattern in an image (e.g., resist image, aerial image, etch image). As will be appreciated, the models may represent a different patterning process and need not comprise all the models described below.
[0063] As described above, in a lithographic projection apparatus, an illumination system provides illumination (i.e. radiation) to patterning device and projection optics directs the illumination from the patterning device onto a substrate. So, in an embodiment, the projection optics enables the formation of an aerial image (AI), which is the radiation intensity distribution at the substrate. A resist layer on the substrate is exposed and the aerial image is transferred to the resist layer as a latent“resist image’’ (RI) therein. The resist image (RI) can be defined as a spatial distribution of solubility of the resist in the resist layer. In an embodiment, simulation of a lithography process can simulate the production of the aerial image and/or resist image.
[0064] An illumination model 31 represents optical characteristics (including radiation intensity distribution and/or phase distribution) of an illumination mode used to generate a patterned radiation beam. The illumination model 31 can represent the optical characteristics of the illumination that include, but not limited to, numerical aperture settings, illumination sigma (s) settings as well as any particular illumination mode shape (e.g. off-axis radiation shape such as annular, quadrupole, dipole, etc.), where□ (or sigma) is outer radial extent of the illuminator.
[0065] A projection optics model 32 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics. The projection optics model 32 may include optical aberrations caused by various factors, for example, heating of the components of the projection optics, stress caused by mechanical connections of the components of the projection optics, etc. The projection optics model 32 can represent the optical characteristics of the projection optics, including one or more selected from: an aberration, a distortion, a refractive index, a physical size, a physical dimension, an absorption, etc. Optical properties of the lithographic projection apparatus (e.g., properties of the illumination, the patterning device pattern and the projection optics) dictate the aerial image. Since the patterning device pattern used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device pattern from the optical properties of the rest of the lithographic projection apparatus including at least the illumination and the projection optics. The illumination model 31 and the projection optics model 32 can be combined into a transmission cross coefficient (TCC) model.
[0066] A patterning device pattern model 33 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by a given patterning device pattern) of a patterning device pattern (e.g., a device design layout corresponding to a feature of an integrated circuit, a memory, an electronic device, etc.), which is the representation of an arrangement of features on or formed by a patterning device. The patterning device model 33 captures how the design features are laid out in the pattern of the patterning device and may include a representation of detailed physical properties of the patterning device and a patterning device pattern, as described, for example, in U.S. Patent No. 7,587,704, which is incorporated by reference in its entirety.
[0067] A resist model 37 can be used to calculate the resist image from the aerial image. An example of such a resist model can be found in U.S. Patent No. 8,200,468, which is hereby incorporated by reference in its entirety. The resist model typically describes the effects of chemical processes which occur during resist exposure, post exposure bake (PEB) and development, in order to predict, for example, contours of resist features formed on the substrate and so it typically is related only to such properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post exposure bake and development). In an embodiment, the optical properties of the resist layer, e.g., refractive index, film thickness, propagation and polarization effects— may be captured as part of the projection optics model 32.
[0068] Having these models, an aerial image 36 can be simulated from the illumination model 31, the projection optics model 32 and the patterning device pattern model 33. An aerial image (AI) is the radiation intensity distribution at substrate level. Optical properties of the lithographic projection apparatus (e.g., properties of the illumination, the patterning device and the projection optics) dictate the aerial image.
[0069] As noted above, a resist layer on a substrate is exposed by the aerial image and the aerial image is transferred to the resist layer as a latent“resist image’’ (RI) therein. A resist image 38 can be simulated from the aerial image 36 using a resist model 37. So, in general, the connection between the optical and the resist model is a simulated aerial image intensity within the resist layer, which arises from the projection of radiation onto the substrate, refraction at the resist interface and multiple reflections in the resist film stack. The radiation intensity distribution (aerial image intensity) is turned into a latent“resist image’’ by absorption of incident energy, which is further modified by diffusion processes and various loading effects. Efficient simulation methods that are fast enough for full-chip applications approximate the realistic 3 -dimensional intensity distribution in the resist stack by a 2- dimensional aerial (and resist) image.
[0070] In an embodiment, the resist image can be used an input to a post-pattern transfer process model 39. The post-pattern transfer process model 39 defines performance of one or more post-resist development processes (e.g., etch, CMP, etc.) and can produce a post-etch image 40. That is, an etch image 40 can be simulated from the resist image 36 using a post-pattern transfer process model 39.
[0071] Thus, this model formulation describes most, if not all, of the known physics and chemistry of the overall process, and each of the model parameters desirably corresponds to a distinct physical or chemical effect. The model formulation thus sets an upper bound on how well the model can be used to simulate the overall manufacturing process.
[0072] Simulation of the patterning process can, for example, predict contours, CDs, edge placement (e.g., edge placement error), pattern shift, etc. in the aerial, resist and/or etch image. That is, the aerial image 34, the resist image 36 or the etch image 40 may be used to determine a characteristic (e.g., the existence, location, type, shape, etc. of) of a pattern. Thus, the objective of the simulation is to accurately predict, for example, edge placement, and/or contours, and/or pattern shift, and/or aerial image intensity slope, and/or CD, etc. of the printed pattern. These values can be compared against an intended design to, e.g., correct the patterning process, identify where a defect is predicted to occur, etc. The intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
[0073] Details of techniques and models used to transform a patterning device pattern into various lithographic images (e.g., an aerial image, a resist image, etc.), apply OPC using those techniques and models and evaluate performance (e.g., in terms of process window) are described in U.S. Patent Application Publication Nos. US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, 2010-0180251 and 2011-0099526, the disclosure of each which is hereby incorporated by reference in its entirety.
[0074] To facilitate the speed of evaluating the models, from the patterning device pattern, one or more portions may be identified, which are referred to as“clips.” In a specific embodiment, a set of clips is extracted, which represents the complicated patterns in the patterning device pattern (typically about 50 to 1000 clips, although any number of clips may be used). As will be appreciated by those skilled in the art, these patterns or clips represent small portions (i.e. circuits, cells or patterns) of the design and especially the clips represent small portions for which particular attention and/or verification is needed. In other words, clips may be the portions of the patterning device pattern or may be similar or have a similar behavior of portions of the patterning device pattern where critical features are identified either by experience (including clips provided by a customer), by trial and error, or by running a full-chip simulation. Clips usually contain one or more test patterns or gauge patterns. An initial larger set of clips may be provided a priori by a customer based on known critical feature areas in a patterning device pattern which require particular consideration. In an embodiment, the initial larger set of clips may be extracted from the entire patterning device pattern by using some kind of automated (such as, machine vision) or manual algorithm that identifies the critical feature areas.
[0075] Furthermore, various patterns on or provided by a patterning device may have different process windows i.e., a space of processing variables under which a pattern will be produced within specification. Examples of pattern specifications that relate to potential systematic defects include checks for necking, line-end pull back, line thinning, CD, edge placement, overlapping, resist top loss, resist undercut and/or bridging. The process window of all the patterns on a patterning device or an area thereof may be obtained by merging (e.g., overlapping) process windows of each individual pattern. The boundary of the process window of all the patterns contains boundaries of process windows of some of the individual patterns. In other words, these individual patterns limit the process window of all the patterns. These patterns can be referred to as“hot spots” or“process window limiting patterns (PWLPs),” which are used interchangeably herein. When designing, modifying, etc. a part of a patterning process using, for example, the modeling described herein, it is possible and economical to focus on the hot spots. When the hot spots are not defective, it is most likely that all the patterns are not defective.
[0076] So, a current approach to identifying actual defects on substrates based on relatively fast optical inspection can run into resolution problems in trying to detect small defects (e.g., sub-lOnm defects). On the other hand, e-beam systems are typically too slow to be used in high-volume manufacturing (HVM) to inspect a high number of locations for defects. So, as described above, computational methods can be used to help identify locations where defects should be located on substrates and then guiding an e-beam inspection (EBI) tool to those locations. This can increase the effective inspection speed of EBI and making it useful for finding small defects (e.g., sub-lOnm defects) in HVM.
[0077] Of course, the effectiveness of using a computational method to identify potential defects to improve the inspection speed depends on the model used to guide the EBI tool to relevant defect locations with high accuracy. But, a problem faced by such computational methods in finding small defects (e.g., sub-lOnm defects) is that the modelling used to identify defect locations cannot predict perfectly how patterns will be produced on product substrates. As a result, there is a model residual, namely a difference between the predicted size of a pattern and that as measured.
[0078] These model residuals (e.g., noise) can be commensurate in size with the small defects that the computational methods attempt to predict. This results in significant uncertainty about whether a defect will or will not manifest itself at the predicted locations. So, to reach an acceptable level of certainty of finding all defects on a substrate during inspection, the EBI tool should visit all the locations that the computational method indicates that there is a fair chance that a defect is present; that is the thresholding used to identify defects may need to be wider than optimal in order to be sure to capture all, or most, defects. This means that the EBI tool will have to inspect a sizeable number of unnecessary locations (nuisance) in order to capture the real defects. This is likely to result in unnecessary inspection time and poor correlation between the number of points measured and the actual number of defects. That is, for example, the number of points that would need to be sampled on any given substrate to ascertain the actual number of defects is significantly larger than the actual number of defects and its ratio to the actual number of defects on any given substrate is not necessarily a constant. So, the inspection time can be significantly longer than needed.
[0079] Now, although random variations are random, their statistics may not be. Therefore, predicting defects statistically, in other words, predicting the probabilities of defects, may be possible. Accordingly, in an embodiment, a probabilistic model or method, i.e., a model or method that computes a probability of the characteristic of defects having a certain value, is used at 213 of Fig. 3. For example, the probabilistic model or method can predict the probability that a pattern in an image has a certain shape or a certain CD. A probabilistic model or method may better capture random variations in the device manufacturing process than only use of a non-probabilistic model.
[0080] Figs. 5 A, 5B, 5C and 5D schematically show examples of how a probabilistic model or method can better account for random variations and thus, for example, better guide inspection of a substrate produced in the device manufacturing process, than a computational method using only a non-probabilistic model. Fig. 5A and Fig. 5B each show that a non-probabilistic model computes a value 420 of a characteristic of an instance of a pattern on a substrate produced by the device manufacturing process. A non-exhaustive list of examples of the characteristic may include one or more selected from: a position of a pattern relative to the substrate, a position of the pattern relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), line edge roughness (LER), etc.). If the characteristic fails to satisfy a condition (here, for example, if the characteristic is smaller than a threshold 410), the instance of the pattern is a defect; if the characteristic satisfies the condition (here, for example, if the characteristic is larger than the threshold 410), the instances of the pattern is not a defect. The value 420 is larger than the threshold 410 in the examples shown in Fig. 5A and Fig. 5B. Therefore, based on the non-probabilistic model, this instance of the pattern should not be considered a defect.
[0081] However, the non-probabilistic model, like any model, may not be perfectly accurate. The computed value of a characteristic of an instance of the pattern by the non-probabilistic model and the actual value of the characteristic of the instance of the pattern as produced may have a difference. This difference is called a residue. The residue may be attributed to, for example, random variations, imperfection of the non-probabilistic model, the input of the non-probabilistic model, or a combination thereof. In the examples of Fig. 5 A and Fig. 5B, if the residue is large enough (e.g., larger than the difference between the value 420 and the threshold 410), the actual value of the characteristic of the instance of the pattern for which value 420 was determined may be smaller than the threshold 410 and that instance of the pattern is a defect.
[0082] In practice, the residue may have a distribution (e.g., distribution 430 in Fig. 5A and distribution 431 in Fig. 5B) characterized, for example, in term of the number of instances of the occurrence of residue values, in terms of probabilities of the occurrence of the residue values, etc. For example, a particular pattern may in practice be produced at different sizes across a substrate, but the predicted size of those pattern instances across the substrate could be the same or be predicted with a different variation than the actual produced sizes. Accordingly, there would be a distribution of the residue values.
[0083] The distribution 430 of the residue in the example of Fig. 5A is wider than the distribution 431 of the residue in the example of Fig. 5B. In particular, the residue in the example of Fig. 5A has a larger probability than in the example of Fig. 5B to be larger than the difference between the value 420 and the threshold 410. In other words, the actual value of the characteristic of the instance of the pattern for which the value 420 was determined in the example of Fig. 5A has a larger probability than in the example of Fig. 5B to be smaller than the threshold 410; the instance of the pattern in the example of Fig. 5 A has a larger probability than in the example of Fig. 5B to be a defect. The non- probabilistic model cannot capture the distribution (e.g., distributions 430 and 431) of the residue and thus fails to capture some defects (or many defects in the example of Fig. 5 A).
[0084] Similarly, the non-probabilistic model may predict a non-defect as a defect. Fig. 5C and Fig. 5D each show that a non-probabilistic model computes a value 421 of the characteristic of another instance of the pattern on the substrate. In an embodiment, the pattern for which value 421 is computed is the same as the pattern for which value 420 was computed. The difference in determined values can be, for example, due to differing pattern transfer conditions prevailing for the instance of the pattern for which value 421 is computed than for which value 420 is computed. As an example, the instance of the pattern for which value 421 is computed may have a different focus, dose, etc. condition than the instance of the pattern for which value 420 is computed, resulting in the difference in the computed values 420 and 421.
[0085] So, if the characteristic (e.g., value 421) of the instance of the pattern fails to satisfy a condition (here, for example, if the characteristic is smaller than a threshold 410), the instance of the pattern is a defect; if the characteristic satisfies the condition (here, for example, if the characteristic is larger than the threshold 410), the instance of the pattern is not a defect. In this example, the value 421 is smaller than the threshold 410 as shown in Fig. 5C and Fig. 5D. Therefore, based on the non- probabilistic model, this pattern instance should be considered a defect.
[0086] However, in the examples of Fig. 5C and Fig. 5D, if the residue is large enough (e.g., larger than the difference between the value 421 and the threshold 410), the actual value of the characteristic of the pattern instance may be larger than the threshold 410 and that pattern is not a defect. The distribution 430 of the residue in the example of Fig. 5C is wider than the distribution 431 of the residue in the example of Fig. 5D. In particular, the residue in the example of Fig. 5C has a larger probability than in the example of Fig. 5D to be larger than the difference between the value 421 and the threshold 410. In other words, the actual value of the characteristic of the pattern instance for which the value 421 was determined in the example of Fig. 5C has a larger probability than in the example of Fig. 5D to be larger than the threshold 410; the pattern instance in the example of Fig. 5C has a larger probability than in the example of Fig. 5D not to be a defect. The non-probabilistic model cannot capture the distribution (e.g., distributions 430 and 431) of the residue and thus predicts some non-defects (or many non-defects in the example of Fig. 5C) as defects.
[0087] So, in an embodiment, a probabilistic computational method is used to produce a‘statistical defect’, which is the probability that a certain location identified by a computational method that determines whether a location is a defect is actually a defect. In an embodiment, the probability can be a probability number between 0 and 1.
[0088] In an embodiment, the probabilistic computational method uses a set of one or more hotspots (wherein a hotspot is a process-sensitive feature within a die to be patterned) along with process information obtained using metrology, to assign a probability that each hotspot considered would become a defect for one or more locations on the substrate where an instance of each hotspot is printed. In an embodiment, the total number of defects expected statistically on the substrate from the considered set of hotspot instances on the substrate is then the sum of all the probabilities for the hotspots at all the predicted locations on the substrate. [0089] Fig. 6 schematically shows how a probabilistic computational method is used to predict defects, according to an embodiment. A non-probabilistic model 555 is used to compute a value 520 of a characteristic of an instance of a pattern 510 on a substrate as produced by the device manufacturing process. A non-exhaustive list of examples of the characteristic may include one or more selected from: position relative to the substrate, position relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), etc.). The non- probabilistic model 555 may compute the value 520 based on one or more process parameters or layout parameters, or empirically. In an embodiment, a distribution 530 of residues of the non- probabilistic model 555 is added to the value 520 to produce a distribution 540 of the characteristic. The distribution 540 may be used to compute the probability that the pattern 510 is a defect (e.g., the probability that the characteristic falls beyond a range between threshold values 551 and 552).
[0090] Fig. 7 shows a flowchart for a method of computing a probability of defects on a substrate produced by the device manufacturing process, according to an embodiment. At 610, an attribute 620 of a distribution of the residue of a non-probabilistic model is obtained. One example of the attribute 620 is a probability density function (PDF) of the residue. In an embodiment, the PDF can be normalized so that the sum of the probabilities under the distribution is a particular value, e.g., 1. A further example of the attribute 620 is a cumulative distribution function (CDF) of the residue or an empirical cumulative distribution function (eCDF) (also called an empirical distribution function (EDF)). The eCDF may be determined from the values of the residue. An eCDF is the distribution function associated with the empirical measure of a sample (e.g., the values of the residue obtained from a plurality of pattern instances as discussed below). The eCDF is a step function that steps up by 1/n at each of the n data points (e.g., the values of the residue obtained from the plurality of pattern instances). The eCDF may be defined using the following formula: F(t) =
Figure imgf000020_0001
where (xi, . . . , Xn) are the values in the sample, and 1A is the indicator of event A. The value of the eCDF F(t) at any specified value t is the fraction of the sample that is less than or equal to t. It converges with probability 1 to that underlying distribution with increasing n, according to the Glivenko- Cantelli theorem. The CDF may be estimated based on an eCDF. For example, the CDF F(t) may be estimated based on the eCDF F(t) using, for example, the Dvorestzky-Kiefer-Wolfowitz (DKW) inequality. The estimation error e of the CDF based on the eCDF is bounded by the DKW inequality: p jsup|F(t)— F(t) | > ej < 2e~2ne2. The DKW inequality shows that the estimation error e may be determined by the number of the values of the residue n used to construct the eCDF F(t).
[0091] . In an embodiment, the attribute 620 is one that represents the spread of the distribution (e.g., variance and/or standard deviation). In an embodiment, the attribute 620 is for a particular pattern type or collection of pattern types. In an embodiment, the attribute 620 is for a particular hotspot or a collection of hotspots. As will be appreciated, a plurality of different attributes 620 can be obtained, each corresponding to a different pattern type or collection of pattern types.
[0092] At 630, a value 640 of a characteristic of an instance of a pattern on the substrate is computed using the non-probabilistic model. A non-exhaustive list of examples of the characteristic may include one or more selected from: position relative to the substrate, position relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), etc.). In an embodiment, the value 640 is calculated for a type of pattern for which there is an attribute 620 (e.g., it matches the pattern type for the attribute 620 or matches to a collection of pattern types for the attribute 620).
[0093] At 650, an attribute 660 of the distribution of the characteristic is determined based on the attribute 620 of the distribution of the residue and on the value 640 computed using the non- probabilistic model. In an example, the attribute 660 is a sum of the value 640 computed using the non-probabilistic model and the attribute 620 of the distribution of the residue.
[0094] At 670, a probability 680 that the pattern instance is a defect is determined based on the attribute 660. In an example, the attribute 660 is a PDF of the characteristic and the probability 680 may be the integration of the PDF over a range of the characteristic. For example, the probability 680 may be the integration of the PDF over a range below a defect threshold and/or a range above a defect threshold. In an example, the attribute 660 is a CDF or eCDF of the characteristic and the probability 680 may be the value of the CDF or eCDF at an upper bound of a range of the characteristic (e.g., where a defect occurs below a defect threshold) and/or 1 (assuming the CDF or eCDF characterizes the probability in the range of 0 to 1) minus the value of the CDF or eCDF at a lower bound of the range (e.g., where a defect occurs above a defect threshold). In an embodiment, the attribute 660 is normalized, for example, by requiring the expected value of the total number of defects of instances of one or more pattern instances on the substrate under consideration to equal the sum of the probabilities that each instance of those one or more pattern instances on the substrate is a defect.
[0095] As will be appreciated, steps 610, 630, 650 and 670 can be repeated for as many instances of a pattern as desired and for as many different pattern types as desired. In an embodiment, steps 610, 630, 650 and 670 are repeated for each instance of a pattern type across the substrate.
[0096] As noted above, the method of Figs. 6 and 7 involves the attribute 620 of the distribution of the residue of the non-probabilistic model. Fig. 8 schematically shows how the attribute 620 of the distribution of the residue of the non-probabilistic model may be obtained (e.g., as in step 610 of Fig. 7), according to an embodiment.
[0097] A plurality of pattern instances (e.g., 710a, 710b, ... 71 Oi, ... ) on a substrate produced by the device manufacturing process are selected. In an embodiment, the instances can all be of a same pattern type. In an embodiment, each of the instances can be of a different pattern type. In an embodiment, the instances can comprise a plurality of instances of each of a plurality of different pattern types. Where there is a plurality of pattern types, a set of a plurality of pattern types may be selected using one or more criteria. For example, in an embodiment, the pattern types of set are those having similar shape, similar size, similar function, and/or in spatial proximity. In an embodiment, the pattern types of a set are those having a similar sensitivity to variation in the device manufacturing process. In this context, similar can be a difference of no more than 20% of the applicable criteria, no more than 15% of the applicable criteria, no more than 10% of the applicable criteria, no more than 5% of the applicable criteria or no more than 1% of the applicable criteria. Thus, generally, the pattern types of a set have a similar behavior in terms of being a defect or not when produced. As an example, the plurality of pattern types can be each a type of isolated contact hole, each a type of dense contact hole, etc. As discussed above, each of the one or more pattern types can be hotspot (which enables focusing on pattern features likely to be defective rather than pattern features that have little to no risk of being defective).
[0098] Computed values (e.g., 730a, 730b, ... 730i, ...) of a characteristic of these pattern instances are obtained using the non-probabilistic model. A non-exhaustive list of examples of the characteristic may include one or more selected from: a position of the pattern instance relative to the substrate, position of the pattern instance relative to one or more other pattern instances on the substrate, a geometric size (e.g., a CD), a geometric shape, and/or a measure of a stochastic effect (e.g., CD uniformity (CDU), line width roughness (LWR), line edge roughness (LER), etc.). Verified values (e.g., 720a, 720b, ... 720i, ...) of the characteristic of these pattern instances may be actual values of the characteristic obtained by measuring the pattern instances, for example, using a suitable metrology tool or simulated values of the characteristic using a rigorous model. Examples of the metrology tool may include an optical metrology tool that measures an optical image, diffraction, scattering, or other suitable optical signal from the substrate, and/or a metrology tool using a beam of charged particles (e.g., electrons). Values of the residue of the non-probabilistic model are obtained from the differences between the verified value and the computed value of each of these pattern instances.
[0099] The attribute 620 of the distribution of the residue is obtained from the values of the residue. In one example, the attribute 620 is a PDF of the residue, which may be determined from a histogram of the residue. In a further example, the attribute 620 is a CDF or eCDF of the residue.
[00100] In an embodiment, an attribute 620 can be obtained for each of a plurality of different pattern types and/or pattern type groups. That is, in an embodiment, a plurality of attributes 620 can be obtained from the residues computed using the computed values (e.g., 730a, 730b, ... 730i, ...) of a characteristic of the relevant pattern type instances (e.g., 710a, 710b, ... 71 Oi, ...) and the verified values (e.g., 720a, 720b, ... 720i, ...) of the characteristic of the relevant pattern type instances. For example, at least one of the attributes 620 can be for a different pattern type than another of the attributes 620. Additionally or alternatively, at least one of the attributes 620 can be for a different set of pattern types than another of the attributes 620.
[00101] Fig. 9 shows a flowchart for a method (e.g., step 610 in Fig. 7) of obtaining an attribute 880 (e.g., the attribute 620 in Fig. 7) of a distribution of the residue of a non-probabilistic model, according to an embodiment. At 810, verified values 820 of a characteristic of a plurality of pattern instances on a substrate are obtained, for example, by measuring the pattern instances using a metrology tool or by simulation using a rigorous model. At 830, computed values 840 of the characteristic are obtained using a non-probabilistic model for the pattern instances.
[00102] At 850, values 860 of the residue of the non-probabilistic model are obtained based on the verified values 820 and the computed values 840. In an example, the values 860 of the residues are the differences between the computed values 840 and the verified values 820. At 870, the attribute 880 (e.g., the attribute 620 in Fig. 7 in the form of, e.g., a PDF or CDF or eCDF) of the distribution of the residue is obtained based on the values 860 of the residue. In an embodiment, the number of instances of the differences between the computed values 840 and the verified values 820 can be turned into probabilities for those differences to produce a PDF or CDF or eCDF in the form of probabilities (e.g., a probability in the range of 0 to 1).
[00103] As will be appreciated, the steps 810, 830, 850 and 870 can be repeated for each attribute 880 desired to be obtained (e.g., for different pattern types, for different sets of a plurality of pattern types, etc.). Furthermore, once the one or more attributes 880 are obtained along with the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect, the one or more attributes 880 as one or more attributes 620 along with the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect can be used a high volume or production use of the method of Figs. 6 and 7. That is, the one or more attributes 620 and the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect can be obtained in an initial (e.g., one time) learning stage and then used repeatedly in the method of Figs. 6 and 7 for one or more substrates in a high volume or production use.
[00104] Where the verified values 820 are obtained by metrology, the attribute 880 can be obtained using the results from one produced substrate or the attribute 880 can be obtained using the results from more than one produced substrate. In an embodiment, the verified values 820 can be obtained using one or more“test” substrates, which can be one or more substrates obtained from production using the device manufacturing process or one or more specially created substrates (e.g., a CDU substrate, a focus exposure matrix (FEM) substrate, a programmed overlay substrate, etc. depending on, for example, the characteristic of interest).
[00105] Figs. 10A, 10B, 10C, 10D, 10E, 10F and 10G each show an example histogram of the residue as an example of the attribute 880. The horizontal axis is the values of the residue and the vertical axis is the frequency of the values or the probability thereof. The histograms shown in Figs. 10A-10G are respectively for each of one of seven sets of pattern types, each set having a different nominal CD for its one or more pattern types than another set.
[00106] The attribute (e.g., 660 in Fig. 7) of the distribution of the characteristic is one factor in the determination of the probability that a pattern is a defect but is not necessarily the only factor. The selection of the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect may be another factor. Other factors are also possible. In an example schematically shown in Fig. 11, the probability that a pattern is a defect is the integration of a PDF 1030 of a CD (as an example of the attribute of the distribution of the characteristic) over a range from minus infinity to a threshold value 1010. Practical considerations 1020 may affect the choice of the threshold value 1010. For example, the acceptance of variance of the characteristic from its nominal value in the device manufacturing process dictates at least in part the threshold value 1010. That is, only a certain amount of variance can be tolerated at which points the pattern instance is considered a defect (e.g., the device may not operate properly). As a further example, if the total number of inspections or the amount of time available for inspection is limited, the threshold value 1010 may be made smaller or larger as the case may be, thereby reducing the number of pattern instances identified as potential defects and thus reducing the number of inspections or inspection time of that reduced number of potential defects.
[00107] In an embodiment, the threshold value 1010 may be configured using data from, for example, one or more produced test or production substrates. For example, the threshold value 1010 may be chosen such that the total probability of defects as computed using the probabilistic computation method is equal to or comparable to (e.g., within an order of magnitude from) the actual number of defects on the one or more test or production substrates according to that threshold value. For example, the method as described above with respect to Figs. 6 and 7 can be used with one or more attributes 620 as determined using, for example, the method of Figs. 8 and 9 using the one or more test or production substrates to determine the probability of defects using an initial threshold value 1010. The probability of defects can then be used to compute the number of defects on the one or more production or substrates (e.g., the sum of probabilities). This predicted number of defects can be compared to the number of defects measured on the one or more test or production substrates using that initial threshold value 1010. If those measured number of defects are equal to or comparable to (e.g., within an order of magnitude from) the predicted number of defects then the threshold value 1010 is adequate and can be used in a high volume or production use of the method of Figs. 6 and 7. However, if the measured number of defects are not equal to or comparable to (e.g., within an order of magnitude from) the predicted number of defects, the threshold value 1010 can be adjusted and then the analysis using the method of Figs. 6 and 7 with the one or more attributes 620 can be repeated using the adjusted threshold value 1010 to obtained a predicted number of defects. This new prediction of the number of defects can be compared against the measured defects as determined using the adjusted threshold value 1010 and that comparison can be used to settle on the threshold value 1010 or require repeat of these procedures until an appropriate threshold value 1010 is obtained. This can be characterized as a machine learning process of arriving at an appropriate threshold value 1010 (or more generally the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect).
[00108] In an embodiment, the probabilistic computational method can adapt (by machine learning) the resulting statistical defect accuracy over time by adding data points while the probabilistic computational method is used in high volume or production use. For example, data from one or more substrates analyzed in high volume or production use of the probabilistic methods of Figs. 6 and 7 can be used to compute updated or additional values of one or more attributes 620 and/or the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect. In an embodiment, from time to time, data from one or more test substrates analyzed outside of the high volume or production use of the probabilistic methods of Figs. 6 and 7 can be used to compute updated or additional values of one or more attributes 620 and/or the range of the characteristic (e.g. the applicable one or more defect thresholds) in which the pattern is considered a defect. For example, the prediction (adaptive prediction) can be significantly improved through regular or continuous update of the PDF through a feedback mechanism from verified data (e.g., if ~ 1 substrate / lot is measured that could be about ~ 200 wafers/day and then with ~ 2000 measurements / substrate and more 100 CD values / measurement that yield, for example, more than 40 million data points per lithographic apparatus per day, which is data that significantly improve the prediction).
[00109] The probability that one or more pattern instances are a defect may be used for various purposes. For example, the probabilities can be used to derive a statistical defect count per substrate that should be close to the actual number of defects actually present on any given substrate. In an embodiment, a statistical process chart can be created based on this statistical defect count. This also allows a decision to be made about further processing of the substrate(s) analyzed using this probabilistic computational method with, e.g., a rapid turn-around time.
[00110] The probabilities and/or statistical defect count can be used to prioritize locations to be inspected with a metrology tool (such as an electron beam inspection tool). Based on the probabilities and/or statistical defect count, a sampling scheme can be defined where locations are added to the sampling scheme until, e.g., a desired level of capture rate is achieved (e.g., when the sum of probabilities for the sampling sites reaches 90%) or a desired level of nuisance rate is achieved. A capture rate can be defined as the number of true positive defects divided by the total of the true positive defects and the false negative defects. The nuisance rate can be defined as the number of false positive defects divided by the total of the true positive defects and the false positive defects. As a result, an improved (shorter) inspection time may be achieved. As a related benefit, the full set of sampling locations identified in such a way should provide a spatial signature on the substrate analyzed with the probabilistic computational method of the predicted defects, which can correlate with or improve a correlation with, a processing‘fingerprint’ of one or more certain process steps as part of the device manufacturing method. A processing‘fingerprint’ is a spatial distribution of errors typically caused by one or more certain process steps. For example, a substrate table may have a warp in a support surface which will consistently introduce certain errors at certain locations on the substrate patterned using that substrate table. So, the sampling locations can provide a user with information that helps identify and/or solve a root cause of defects on the substrate.
[00111] The probability that the pattern is a defect may be used to guide inspection of a substrate produced by the device manufacturing process. A pattern instance with a higher probability of being a defect may be prioritized in the inspection over a pattern instance with a lower probability of being a defect. Fig. 12 schematically shows a flowchart for a method of using the probability that a pattern instance is a defect to determine which pattern instances on a substrate are to be inspected and the order in which these pattern instances are to be inspected, according to an embodiment. The probabilities 1110 that a set of pattern instances on the substrate are respectively defects are obtained, e.g., using the method shown in Figs. 6 and 7. The pattern instances can be of a same pattern type or of different pattern types. Locations 1120 of the pattern instances may also be obtained, e.g., using the design layout as represented on the substrate.
[00112] At 1130, an ordered list 1140 of pattern instances to be inspected is determined based on the probabilities 1110, and optionally based on the locations 1120. At 1150, the pattern instances in the ordered list 1140 are inspected following the order of the ordered list.
[00113] In an embodiment, the number of pattern instances to be inspected (or the measurement locations where those pattern instances are located) per pattern type or set of a particular plurality of pattern types can be determined based on the statistical expectation of number of defects for such each such pattern type or set of a particular plurality of pattern types. In an embodiment, for each pattern type or set of a particular plurality of pattern types, the inspection location can be determine based on, for example, (i) probability of a defect, and (ii) a spatial distribution of the associated pattern instance on the substrate so to, e.g., maximize the benefit of a measurement spot, FOV, or image obtained by a metrology tool. In an embodiment, a fixed fraction of inspection time can be assign to uniform sampling and measuring certain anchor features.
[00114] In an example, the ordered list 1140 includes those pattern instances with the highest probabilities of being defects; in other words, the ordered list 1140 comprises a subset of pattern instances among the set of pattern instances, where the pattern instances in the subset have higher probabilities of being defects than the pattern instances in the set but not in the subset. The number of pattern instances in the ordered list 1140 may be determined by the inspection throughput or may be empirically determined. The number of pattern instances in the ordered list 1140 may be limited by the amount of time before the next substrate for inspection arrives. The number of pattern instances in the ordered list 1140 may be limited by the amount of radiation the substrate is allowed to receive during the inspection. In an example, the order of the pattern instances in the ordered list 1140 may be a descending order of the probabilities. In other words, the order may be that a pattern instance with higher probability of being a defect is inspected before a pattern instance with a lower probability of being a defect (“the order of descending probabilities’’). In an example, the order of the pattern instances in the ordered list 1140 may be an order that causes a cost function to be at an extremum. In an embodiment, the cost function is a function of the order of the pattern instances and may represent the probabilities, the amounts of time needed for inspecting the pattern instances, the distance from one pattern instance to the next pattern instance, and/or other indicators of the performance of the inspection. In optional step 1160, the probabilities 1110 are updated based on data obtained from inspecting the pattern instances in the ordered list 1140.
[00115] Fig. 13A and Fig. 13B schematically show that the order of descending probabilities may be inferior in terms of the inspection throughput compared to another order. In the example in Fig. 13A and Fig. 13B, there are three pattern instances 1211, 1212 and 1213 on the substrate. The pattern instance 1211 has the largest probability (as represented by the size of the circle) of being a defect.
The pattern instance 1212 has the second largest probability of being a defect, which is slightly smaller than the probability of the pattern 1211. The pattern instance 1213 has the smallest probability of being a defect, which is much smaller than the probability of the pattern 1211 and the probability of the pattern 1212. The pattern instance 1212 is far away from the pattern instances 1211 and 1213; the pattern instances 1211 and 1213 are close to each other. Fig. 13A shows an order of the ordered list of pattern instance 121 l pattem instance 1212 pattem instance 1213, which is the order of descending probabilities. Fig. 13B shows a different order of the ordered list of pattern instance 1211 pattern instance 1213 pattern instance 1212, which is not the order of descending probabilities. By following the order in Fig. 13A, the metrology tool has to travel relatively long distances from pattern instance 1211 to pattern instance 1212 and from pattern instance 1212 to pattern instance 1213. By following the order in Fig. 13B, the metrology tool has to travel one relatively short distance from pattern instance 1211 to pattern instance 1213 and one relatively long distance from pattern instance 1213 to pattern instance 1212. Therefore, the total time needed for inspecting the three pattern instances is shorter (and thus the inspection throughput higher) by following the order in Fig. 13B.
[00116] A metrology tool may have the capability of inspecting multiple pattern instances without moving the field of view (“FOV”) or measurement spot. For example, some metrology tools using beams of charged particles have a FOV that may encompass multiple pattern instances, but moving the FOV is relatively slow. The multiple pattern instances inspected before the metrology tool is moved can be considered inspected in one shot. Fig. 14A and Fig. 14B schematically show that the order of descending probabilities may be inferior in terms of inspection throughput than another order, when such a metrology tool is used for inspection. In the example shown in Fig. 14A and Fig. 14B, there are seventeen pattern instances on the substrate and these pattern instances may be encompassed by three FOVs 1311, 1312 and 1313. The pattern instances are represented by the + signs and the associated circles represent the probability of the pattern instances being defective with the larger the circle, the greater the probability. Because moving the FOV is relatively slow, inspecting the pattern instances using more than three FOVs would reduce the inspection throughput. The total probability of defects within the FOV 1311 (i.e., the sum of the probability for each of the pattern instances in the FOV 1311 to be a defect) is the largest among the three FOVs. The total probability of defects within the FOV 1313 is the smallest, although the FOV 1313 has a pattern that is most probable to be a defect among the seventeen pattern instances (as shown by its relatively large circle). The FOV 1312 is far away from the FOVs 1311 and 1313; the FOVs 1311 and 1313 are close to each other. Fig. 14A shows an order of the ordered list of pattern instances in FOV 131 l pattem instances in FOV 1312 pattem instances in FOV 1313. Fig. 14B shows a different order of the ordered list of pattern instances in FOV 131 l pattem instances in FOV 1313 pattem instances in FOV 1312. By following the order in Fig. 14A, the metrology tool has to move the FOV by relatively long distances from FOV 1311 to FOV 1312 and from FOV 1312 to FOV 1313. By following the order in Fig. 14B, the metrology tool has to travel one relatively short distance from FOV 1311 to FOV 1313 and one relatively long distance from FOV 1313 to FOV 1312. Therefore, the total time needed for inspecting the three pattern instances is shorter by following the order in Fig. 14B.
[00117] So, in an embodiment, there is provided a probabilistic computational methodology to assign a probability distribution for one or more pattern instance types (e.g., one or more hotspot instance types) based on a determination of model residuals, e.g., by using measurements on substrate (e.g., a difference between a measured characteristic (e.g., CD) value and a predicted characteristic value). The probability distribution can be used to determine, e.g., the defectivity probability of pattern instances of the one or more pattern instance types. That defectivity probability can be used for statistical process control (e.g., by the use of a statistical defect). That defectivity probability can be used to aid in finding actual defects on substrates with a metrology tool, desirably a high capture rate and/or low nuisance rate and/or with improved time of inspection (e.g., no more or no fewer measurements than is needed, given a target criteria (e.g., defect size and, e.g.., capture rate and/or nuisance rate).
[00118] Now, as discussed above, the attribute 660 / 880 of the residue distribution is computed based on a plurality of pattern instances of the same pattern type or of a set comprising a plurality of different pattern types (where typically the pattern types share a similar behavior as described above). Then, the attribute 660 / 880 is used in the probabilistic method of Fig. 6 and 7 to determine a probability of a defect (which can then be used for various purposes as described above such as creating a list of sampling locations for a metrology tool). In an embodiment, the one or more pattern types are hotspots.
[00119] But, the probability that any one pattern instance within a relatively large ensemble of pattern instances is deviating significantly from the norm (e.g., such that it is actually a defect) is much more localized and peaked compared to the probability of a single pattern instance deviating from the norm. So, in an embodiment, there is provided a prediction of defectivity within an ensemble of pattern instances, which means a probabilistic computational method capable of predicting the statistical behavior of a group of pattern instances to determine whether any of the group members is a defect. So, in an embodiment, this can provide a better differentiation between inspection locations (e.g., FOVs) where there are defects and those without defects. In turn, this should reduce the number of locations to be sampled by the metrology tool to capture the defects, compared to, for example, a situation where the metrology tool is guided to visit pattern instance locations individually.
[00120] Referring to Fig. 15, an example method of obtaining the attribute 660 / 880 of the residue distribution for this probabilistic computation method based on the statistical behavior of an ensemble of pattern instances is presented. At 1400, an attribute 1410 (e.g. attribute 660 / 880) of the distribution of a non-probabilistic (e.g., deterministic) model residual / residue (‘noise’) is determined using, for example, the techniques described with respect to Figs. 7 and 8. In a nutshell, for example, a verified value of a characteristic (e.g., CD) of each of a plurality of pattern instances measured from a substrate that was created at a nominal condition is obtained. Further, predicted values of the characteristic (e.g., CD) for the pattern instances is obtained using, e.g., a non-probabilistic (e.g., deterministic) model for the prediction of the characteristic. Then, a distribution of the residue is obtained by, e.g., determining a pattern instance-by-pattem instance difference between the predicted characteristic (e.g., CDpred) for the pattern instance and the verified characteristic (e.g., CDmeas) for the same pattern instance (e.g., ACD = CDmeas - CDpred). An example of attribute 1410 in the form of a PDF of this residue distribution as determined from synthetic data is shown in Figure 16 as curve 1500, wherein the horizontal axis is ACD in nanometers and the vertical axis is the probability fraction in the range from 0 to 1. The distribution of residuals in this example is characterized by a standard deviation of s = 1 nm. This attribute 1410 in the form of a PDF can be used for statistical prediction as discussed above in respect of Figs. 6 and 7. From the PDF, a CDF or an eCDF can be calculated by integrating the PDF. So, the attribute 1410 can be in the form a CDF or eCDF and, like the attribute 1410 in the form of a PDF, can be used for statistical prediction as discussed above in respect of Figs. 6 and 7. An example of attribute 1410 in the form of an eCDF of the residue distribution determined from synthetic data corresponding to the PDF 1500 is shown in Figure 16 as curve 1510. As described above in respect of Figs. 6 and 7, the PDF, CDF or eCDF can be calculated for a plurality of pattern instances of a particular pattern type or set of a plurality of pattern types, wherein the data can be obtained from a plurality of the pattern instances spread across a substrate.
[00121] At 1420, the concept of the prediction of defectivity within an ensemble of pattern instances is introduced. In an embodiment, this involves calculating an attribute 1430 of the residue for an ensemble of N pattern instances. In an embodiment, the attribute 1430 of the residue for an ensemble of N pattern instances is determined based on an attribute (e.g., a PDF, CDF or eCDF) of the residue for one or more pattern instances of at least pattern type of the ensemble. In an embodiment, the attribute 1430 comprises a cumulative distribution function for the ensemble ofN pattern instances or CCDFN for short. This attribute can also be sometimes referred to as an extreme value statistics characteristic. In an embodiment, the attribute 1430 is specified for a particular pattern type and/or set of a plurality of pattern types. So, where there is a plurality of attributes 1430, each can be specified for a different pattern type and/or different sets of a plurality of pattern types. [00122] In an embodiment, the N pattern instances of the ensemble comprise one or more hotspot instances. Where the N pattern instances of the ensemble correspond to a measurement location (such as FOV or image), the modeling techniques described herein can be used to identify one or more measurement locations where at least one of the patterns is a hotspot and determine the number of the same or similar patterns (like the hotspot) in the measurement location to form an ensemble of patterns within this measurement location.
[00123] In an embodiment, the value of N corresponds to a number of the pattern instances of interest (and associated with an eCDF) within a measurement location of a metrology tool. In an embodiment, the value of N corresponds to all the pattern instances of interest within the measurement location. In an embodiment, the measurement location corresponds to a field of view or measurement spot of a metrology tool (such as an electron beam metrology tool). In an embodiment, the measurement location corresponds to a measurement location that can be effectively measured in one shot by the applicable metrology tool. The reason that the value of N is specified for a measurement location is to enable more effective selection of measurement locations where it is expected that defects will actually occur; there will inevitably be some amount of time to transition from one measurement location to another measurement location and so it is desirable to be able to identify those one or more measurement locations were defects are likely to actually occur, which can cut down on, e.g., wasted measurement and/or time to transition between measurement locations. In an embodiment, the value of N is 10 or more, 20 or more, 50 or more, 100 or more, 200 or more, 400 or more, 500 or more,
1000 or more, 2000 or more or 5000 or more. In an embodiment, the value of N can be different for different measurement locations (e.g., FOVs or images).
[00124] In an embodiment, the eCDFN can be calculated as: eCDFN = [1 - (l-eCDF)N] In an embodiment, eCDFN = [1 - (l-eCDF)N] is used where one is interested in the probability of the smallest pattern or hotspot instance within a measurement area (e.g., the smallest CD within a FOV). Mathematically, a cumulative probability distribution (eCDFN) for an ensemble of N similar pattern instances (e.g., within a FOV) is thus much sharper than that of a single pattern instances (eCDFi): CCDFN = eCDFfyN. So, for a situation where it desired to find the cumulative probability that an ensemble of pattern instances has a characteristic value less than a certain threshold (or greater than a certain threshold), the attribute 1430 (e.g., in the form of an CCDFN where number of pattern instances in the ensemble is N) is used to calculate this probability.
[00125] An example of attribute 1430 in the form of an CCDFN of the residue distribution determined from synthetic data corresponding to the PDF 1500 and the eCDF 1510 is shown in Figure 16 as curve 1520. In this example, the eCDFN 1520 was determined for an ensemble of N = 400 similar pattern instances within, in this example, a field-of-view of an electron beam inspection tool.
[00126] With the attribute 1430 established, essentially the same probabilistic computational method 1440 as described with respect to Figs. 6 and 7 can be used to calculate the probability 1450 of pattern instances. For example, instead of using a PDF, CDF or eCDF, the method can use the attribute 1430, e.g., in the form of an eCDFN. In an embodiment, the probability is calculated for a measurement location (e.g., a FOV) to contain at least one defect. In an embodiment, the probability, if there is one, can be calculated for all the measurement locations across a substrate (e.g., all the possible FOVs across a substrate). The probability 1450 can be used for the various uses as described herein, such as for statistic process chart creation, for sampling plan creation, etc.
[00127] For example, optionally, the probability 1450 can be used to create a sampling plan for measurement by a metrology tool of a substrate. The sampling plan can be used to identify defects across the substrate; the identified defects can be used for device manufacturing process modification, control, design, etc. The probability that a certain measurement location (e.g., FOV or image) has an extremum characteristic (e.g., CD) that exceeds a control limit (i.e. contains a defect) provides a methodology to prioritize the locations to be inspected with a metrology tool. For example, starting from the measurement location with highest probability, a sampling scheme can be defined where locations are added until a desired criteria of capture of defects is achieved (e.g., a level of capture rate or nuisance rate is achieved or, for example, when the sum of probabilities for the sampling locations reaches 80% or higher, reaches 85% or higher, reaches 90% or higher, reaches 95% or higher). In this way, an improved (shorter) inspection time may be achieved.
[00128] As a more concrete example, in an embodiment, the probability values of the measurement locations can be sorted, for example, in order of increasing values and then be used to calculate a cumulative probability. An example of this cumulative probability represented as a number of predicted defects is shown in Figure 17, wherein the horizontal axis corresponds to the number of measurement locations (e.g., FOV or images) as sorted and the vertical axis corresponds to the cumulative number of defects predicted for the number of measurement locations. Curve 1600 is an example of the cumulative number of predicted defects for a probabilistic computational method based on a PDF, CDF or eCDF for a set of synthetic data. Curve 1610 is an example of the cumulative number of predicted defects for a probabilistic computational method based on attribute 1430 in this case in the form of an eCDFN for the set of synthetic data. The sampling number of measurement locations to find, e.g., 90% or more defects (or 85% or higher, or 95% or higher) would be given by intercept of the number of measurement locations with curves at 90% of the total number of defects.
In this example, as seen in the curves, the total number of defects is about 29 (the asymptotes of the curves 1600 and 1610). So, 90% of that is about 26 defects, which is shown by line 1620. Where line 1620 intersects curves 1600 and 1610 respectively indicates then the number of respective sorted measurement locations that should be inspected in order to detect 26 defects. In the case of curve 1600, this is shown by line 1630 as about 220 images. For that number of images corresponding to calculations for curve 1600, it was computed that the nuisance rate was about 86%. In contrast, in the case of curve 1610, this is shown by line 1640 as about 103 images. For that number of images corresponding to calculations for curve 1610, it was computed that the nuisance rate was about 71%. So, for this specific case, there is about a ~2X improvement in inspection time (103 images versus 220 images) and about a 18% relative improvement in effective nuisance rate.
[00129] With two sampling plans according to curve 1600 and curve 1610, it can be visualized how the two sampling plans compare (i.e., prediction by a PDF, CDF or eCDF for a particular pattern instance (e.g., hotspot) versus prediction by an ensemble of pattern instances (e.g., defined for a measurement such as a FOV or image) using, e.g., CCDFN) to actual measurement locations that contain defects. Figure 18 shows such a highly schematic visualization of the measurement locations across at least part of a substrate 1700, where the solid circles 1710 correspond to measurement locations with actual defects, the inner (or smaller) open circles correspond to the sampling measurement locations predicted by a PDF, CDF or eCDF for a particular pattern instance (e.g., hotspot), and the outer (or larger) open circles correspond to the sampling measurement locations predicted by an ensemble of pattern instances (e.g., defined for a measurement such as a FOV or image) using, e.g., eCDFN. As seen in Figure 18, the sampling measurement locations predicted by an ensemble of pattern instances (e.g., defined for a measurement such as a FOV or image) using, e.g., eCDFN significantly more closely match the defect fingerprint 1710 than the sampling measurement locations predicted by a PDF, CDF or eCDF for a particular pattern instance (e.g., hotspot).
[00130] So, in an embodiment, there is provided a probabilistic computational method that performs defect prediction based on statistics for an ensemble (or group) of similar pattern instances (e.g., hotspot instances). With that methodology, in embodiment, a probability distribution of measurement locations (e.g., FOVs or images) having at least one defect can be formed and a sampling strategy based on ranked predicted probabilities or number of defects can be created.
[00131] In an embodiment, this probabilistic computation method involves calculate a statistic of an ensemble of pattern instances within, e.g., a measurement location such as a field-of-view (FOV) of a metrology tool (e.g., of an electron metrology system) in order to establish a probability of a particular pattern instance (e.g., hotspot) become a defect for a particular location on a substrate where this pattern instance is created. This statistic can be determined by comparing measured values of a characteristic (e.g., CD) of pattern instances on a substrate to predicted values for those pattern instances. In an embodiment, the statistic of the ensemble can be used to predict a probability of a defect of a pattern instance. For example, the statistic of an ensemble of a group of pattern instances within a specified measurement location (e.g., a FOV or image) can be used to determine whether any of pattern instances of the group within the measurement location is predicted to be a defect. For example, a relatively large field-of-view metrology system can measure the ensemble of pattern instances in essentially one shot. So it can be advantageous consider the probability in terms of the ensemble of a particular FOV on the substrate to enable more effective determination of whether to inspect a measurement location on the substrate corresponding to the FOV and/or to select measurement locations so as to, e.g., reduce inspection time. In an embodiment, those one or more measurement locations have a high probability of having a defect can then be inspected.
[00132] In an embodiment, this methodology uses an actual distribution function of the residuals (e.g., based on measurements), which are expected to be non-Gaussian. So, the actual use of such a distribution should bring further benefit in terms of sampling (e.g., a lower effective nuisance rate).
[00133] In an embodiment, the calculation of the probability takes into account variation of the distribution of residuals over the substrate. That is, the attribute 660 / 880 / 1430 can be varied across the substrate. This can be done one of a number of ways. For example, the attribute can be scaled by the range or standard deviation of the local characteristic distribution (e.g., CDU distribution) calculated over specific areas on the substrate (e.g., either over each measurement location (e.g., FOV or image), over each die, over areas that can be addressed by a control actuator of an applicable manufacturing apparatus (such as the lithographic apparatus, etc.). This will further improve the sampling purity (e.g., achieve a lower effective nuisance rate).
[00134] In an embodiment, it is assessed whether the device manufacturing process used to create pattern instances on any given substrate has drifted or suffers from a particular excursion. This can be done by comparing a statistical defect number determined for a particular substrate to one or more previous statistical defect numbers calculated on one or more previous substrates and/or to one or more actual defect number observed on one or more previous substrates. For example, if the statistical defect number exceeds such a previous defect number by a certain amount (e.g., 5% or more, 10% or more, 15% or more, 20% or more) then a user can be flagged of this drift/excursion, the substrate for which the statistical defect number is applied can be removed from further processing, the device manufacturing process can be stopped, the device manufacturing process can be modified, controlled, etc. to eliminate or reduce the drift/excursion, etc. In an embodiment, this type of assessment can also be used to assess the data that goes into the probabilistic computational method. In an embodiment, an anchor feature among the substrates can be measured to determine if current measurements are statistically different (e.g., use of confidence intervals) from a baseline (e.g., previous measurements). If a current measurement is deemed to be deviating from the baseline, then an alert can be made that a predicted defect is not or may not be valid as a result of a drift or excursion.
[00135] In an embodiment, hotspot instance data variability (local critical dimension uniformity (LCDU), line edge roughness (LER), etc.) per hotspot instance type or set of a plurality of similar hotspot instance types can be analyzed against a predicted magnitude of that variability (e.g., using image log slope (ILS), MSD in, for example, the Z direction, etc.) to obtain a similar attribute as discussed above for prediction of the variability, which can one or more predictors can be used in the probabilistic method to enhance the prediction of defects. For example, a PDF for a particular hotspot instance type or set of a plurality of similar hotspot instance types might vary based on the hotspot instances created using a certain focus value because features that are out-of-focus then to have lower ILS and so would have a broader PDF compared to those features in focus.
[00136] So, there are provided herein, for example, methods of computing a probability of defects on a substrate produced by the device manufacturing process, methods of obtaining an attribute of a distribution of a residue of a non-probabilistic model, and methods of determining an ordered list of pattern instances to be inspected based on probabilities of defects.
[00137] In an embodiment, there is provided a method comprising: obtaining a value of a characteristic of a pattern instance on a substrate produced by a device manufacturing process, using a non-probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue and on the value of the characteristic of the pattern instance; and determining a probability that the pattern instance is a defect, based on the attribute of the distribution of the characteristic.
[00138] According to an embodiment, the attribute of the distribution of the residue comprises a probability density function (PDF) of the residue. According to an embodiment, the attribute of the distribution of the residue comprises a cumulative distribution function (CDF) of the residue or an empirical cumulative distribution function (eCDF) of the residue. According to an embodiment, the attribute of the distribution of the residue represents a spread of the distribution of the residue.
According to an embodiment, the attribute of the distribution of the residue is a variance or standard deviation of the distribution of the residue. According to an embodiment, the characteristic is one or more selected from: a position relative to the substrate, a position relative to one or more other pattern instances on the substrate, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom. According to an embodiment, determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue and the value of the characteristic. According to an embodiment, the attribute of the distribution of the characteristic is a PDF of the characteristic. According to an embodiment, determining the probability comprises integrating the PDF of the characteristic over a range of the characteristic. According to an embodiment, the method further comprises normalizing the attribute of the distribution of the characteristic. According to an embodiment, determining the attribute of the distribution of the characteristic is further based on a range of the characteristic in which the pattern is considered a defect.
[00139] In an embodiment, there is provided a method comprising: obtaining verified values of a characteristic of a plurality of pattern instances on a substrate produced by a device manufacturing process; obtaining computed values of the characteristic using a non-probabilistic model; obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values; and obtaining an attribute of a distribution of the residue based on the values of the residue.
[00140] According to an embodiment, the characteristic is one or more selected from: a position relative to the substrate, a position relative to one or more other pattern instances on the substrate, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom. According to an embodiment, obtaining the verified values comprises measuring the pattern instances using a metrology tool or simulation using a rigorous model. According to an embodiment, the metrology tool is configured to measure the pattern instances using a beam of charged particles. According to an embodiment, obtaining the values of the residue comprises obtaining differences between the computed values and the verified values. According to an embodiment, the attribute of the distribution of the residue comprises a PDF of the distribution of the residue. According to an embodiment, the method further comprises obtaining the plurality of pattern instances based on shape, size, function, or spatial proximity of the pattern types of the pattern instances.
[00141] In an embodiment, there is provided a method comprising: obtaining probabilities that a set of pattern instances on a substrate produced by a device manufacturing process are respectively defects; determining an ordered list of pattern instances to be inspected based on the probabilities; and inspecting pattern instances in the ordered list following an order of the ordered list.
[00142] According to an embodiment, the method further comprises obtaining locations of the set of pattern instances. According to an embodiment, determining the ordered list is further based on the locations. According to an embodiment, the ordered list comprises a subset of pattern instances among the set of pattern instances, wherein pattern instances in the subset have higher probabilities of being defects than pattern instances in the set but not in the subset. According to an embodiment, determining the ordered list is further based on an inspection throughput, an amount of time allowed for inspection, and/or an amount of radiation the substrate is allowed to receive during inspection. According to an embodiment, the order is an order of descending probabilities. According to an embodiment, determining the ordered list comprises computing a cost function that is a function of the order. According to an embodiment, the cost function represents the probabilities, an amount of time of inspecting the set of pattern instances, and/or distances among the set of pattern instances. According to an embodiment, the method further comprises updating the probabilities based on data obtained from inspecting the pattern instances in the ordered list.
[00143] In an embodiment, there is provided a method comprising: obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non -probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances, the attribute of the distribution of the residue with respect to an ensemble of physical item instances based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.
[00144] In an embodiment, the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances. In an embodiment, the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble. In an embodiment, the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1-CDF)N], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble. In an embodiment, the number of physical item instances in the ensemble is greater than 10. In an embodiment, the physical item instance corresponds to a pattern instance on a substrate produced by a device manufacturing process. In an embodiment, the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool. In an embodiment, the method further comprises determining, based on the probability, the predicted presence of at least one physical item instance out of specification in a measurement location or field of view of a metrology tool. In an embodiment, there is a plurality of attributes of the distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the distribution of the residue with respect to the ensemble is different for different physical locations. In an embodiment, the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom. In an embodiment, determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue with respect to the ensemble and the value of the characteristic. In an embodiment, determining the probability is further based on a range of the characteristic in which the physical item instance is considered out of specification. In an embodiment, the method further comprises determining, based on the probability, a sampling plan of measurement locations for measurements to determine physical item instances, if any, that are out of specification.
[00145] In an embodiment, there is provided a method comprising: obtaining verified values of a characteristic of a plurality of physical item instances of a physical system or object; obtaining computed values of the characteristic using a non-probabilistic model; obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values; obtaining an attribute of a first distribution of the residue based on the values of the residue; and obtaining an attribute of a second distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances based on the attribute of the first distribution.
[00146] In an embodiment, the attribute of the second distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances. In an embodiment, the attribute of the second distribution of the residue with respect to the ensemble involves the attribute of the first distribution of the residue to the power of a number of physical item instances in the ensemble. In an embodiment, the attribute of the second distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1-CDF)N], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function with respect to the first distribution, and eCDF is an empirical cumulative distribution with respect to the first distribution. In an embodiment, the number of physical item instances in the ensemble is greater than 10. In an embodiment, the physical item instances correspond to pattern instances on a substrate produced by a device manufacturing process. In an embodiment, the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool. In an embodiment, there is a plurality of attributes of the second distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the second distribution of the residue with respect to the ensemble is different for different physical locations. In an embodiment, the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom. In an embodiment, obtaining the verified values comprises measuring the physical item instances using a metrology tool or simulation using a rigorous model. In an embodiment, the metrology tool is configured to measure the physical item instances using a beam of charged particles. In an embodiment, obtaining the values of the residue comprises obtaining differences between the computed values and the verified values. In an embodiment, the attribute of the first distribution of the residue is a CDF or eCDF of the first distribution of the residue. In an embodiment, the method further comprises obtaining the plurality of physical item instances based on shape, size, function, or spatial proximity.
[00147] In an embodiment, there is provided a method comprising: obtaining probabilities that a set of physical item instances of a physical system or object are respectively out of specification, the probabilities determined using an attribute of a distribution of a residue of a non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; and determining an ordered list of physical item instances to be inspected based on the probabilities.
[00148] In an embodiment, the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances. In an embodiment, the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble. In an embodiment, the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1-CDF)N], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble. In an embodiment, the number of physical item instances in the ensemble is greater than 10. In an embodiment, the physical item instances correspond to pattern instances on a substrate produced by a device manufacturing process. In an embodiment, the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of the metrology tool. In an embodiment, determining the ordered list further comprises determining, based on the probabilities, the predicted presence of at least one defect in a measurement location or field of view of the metrology tool. In an embodiment, there is a plurality of attributes of the distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the distribution of the residue with respect to the ensemble is different for different physical locations. In an embodiment, the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom. In an embodiment, the method further comprises obtaining locations of the set of physical item instances and determining the ordered list is further based on the locations. In an embodiment, the ordered list comprises a subset of physical item instances among the set of physical item instances, wherein physical item instances in the subset have higher probabilities of being out of specification than physical item instances in the set but not in the subset. In an embodiment, determining the ordered list is further based on an inspection throughput, an amount of time allowed for inspection, and/or an amount of radiation a substrate is allowed to receive during inspection. In an embodiment, the ordered list is in an order of descending probabilities. In an embodiment, the method further comprises updating the probabilities based on data obtained from inspecting the physical item instances in the ordered list. In an embodiment, the method further comprises inspecting physical item instances in the ordered list following the order of the ordered list.
[00149] Fig. 19 is a block diagram that illustrates a computer system 100 which can assist in implementing all or part of the methods and flows disclosed herein. Computer system 100 includes a bus 102 or other communication mechanism to communicate information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 to process information. Computer system 100 may also include a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 to store and/or supply information and instructions to be executed by processor 104. Main memory 106 may be used to store and/or supply temporary variables or other intermediate information during execution of instructions to be executed by processor 104. Computer system 100 may further include a read only memory (ROM) 108 or other static storage device coupled to bus 102 to store and/or supply static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, may be provided and coupled to bus 102 to store and/or supply information and instructions.
[00150] Computer system 100 may be coupled via bus 102 to a display 112, such as a cathode ray tube (CRT) or flat panel or touch panel display, to display information to a computer user. An input device 114, including alphanumeric and other keys, may be coupled to bus 102 to communicate information and command selections to processor 104. Another type of user input device may be cursor control 116, such as a mouse, a trackball, or cursor direction keys, to communicate direction information and command selections to processor 104 and to control cursor movement on display 112. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
[00151] According to one embodiment, portions of the methods disclosed herein may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may be employed to execute the sequences of instructions contained in main memory 106. In an embodiment, hard- wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
[00152] The term“computer-readable medium’’ as used herein refers to any medium that participates in providing instructions to processor 104 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device 110. Volatile media include dynamic memory, such as main memory 106. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
[00153] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be borne on a disk or memory of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a communications path.
Computer system 100 can receive the data from the path and place the data on bus 102. Bus 102 carries the data to main memory 106, from which processor 104 retrieves and executes the instructions. The instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.
[00154] Computer system 100 may include a communication interface 118 coupled to bus 102. Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a network 122. For example, communication interface 118 may provide a wired or wireless data communication connection. In any such implementation, communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
[00155] Network link 120 typically provides data communication through one or more networks to other data devices. For example, network link 120 may provide a connection through network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126. ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the“Internet” 128. Network 122 and Internet 128 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are exemplary forms of carrier waves transporting the information.
[00156] Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120, and communication interface 118. In the Internet example, a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, network 122 and communication interface 118. One such downloaded application may provide for the code to implement a method herein, for example. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
[00157] Fig. 20 schematically depicts a further exemplary lithographic apparatus 1000. The lithographic apparatus 1000 includes:
[00158] - a source collector module SO
[00159] - an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation).
[00160] - a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; [00161] - a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and
[00162] - a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
[00163] As here depicted, the apparatus 1000 is of a reflective type (e.g. employing a reflective mask). It is to be noted that because most materials are absorptive within the EUV wavelength range, the patterning device may have a multilayer reflector comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon. Even smaller wavelengths may be produced with X-ray lithography. Since most material is absorptive at EUV and x-ray wavelengths, a thin piece of patterned absorbing material on the patterning device topography (e.g., a TaN absorber on top of the multi-layer reflector) defines where features would print (positive resist) or not print (negative resist).
[00164] Referring to Fig. 20, the illuminator IL receives an extreme ultra violet (EUV) radiation beam from the source collector module SO. Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system including a laser, not shown in Fig. 20, to provide the laser beam to excite the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.
[00165] In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
[00166] The illuminator IL may comprise an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
[00167] The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
[00168] The depicted apparatus could be used in at least one of the following modes:
[00169] 1. In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
[00170] 2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously in a given direction (the so-called“scan direction’’) while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
[00171] 3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
[00172] Fig. 21 shows the apparatus 1000 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 2120 of the source collector module SO. An EUV radiation emitting plasma 2110 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 2110 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 2110 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation. [00173] The radiation emitted by the hot plasma 2110 is passed from a source chamber 2111 into a collector chamber 2112 via an optional gas barrier or contaminant trap 2130 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 2111. The contaminant trap 2130 may include a channel structure. Contamination trap 2130 may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant trap 2130 further indicated herein at least includes a channel structure, as known in the art.
[00174] The source chamber 2111 may include a radiation collector CO which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 2151 and a downstream radiation collector side 2152. Radiation that traverses collector CO can be reflected off a grating spectral filter 2140 to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line O’. The virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 2121 in the enclosing structure 2120. The virtual source point IF is an image of the radiation emitting plasma 2110.
[00175] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device 2192 and a facetted pupil mirror device 2194 arranged to provide a desired angular distribution of the radiation beam 2191, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the radiation beam 2191 at the patterning device MA, held by the support structure MT, a patterned beam 2196 is formed and the patterned beam 2196 is imaged by the projection system PS via reflective elements 2198, 3190 onto a substrate W held by the substrate table WT.
[00176] More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 2140 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Fig. 21.
[00177] Collector optic CO, as illustrated in Fig. 21, is depicted as a nested collector with grazing incidence reflectors 2153, 2154 and 2155, just as an example of a collector (or collector mirror). The grazing incidence reflectors 2153, 2154 and 2155 are disposed axially symmetric around the optical axis O and a collector optic CO of this type is desirably used in combination with a discharge produced plasma source, often called a DPP source. Alternatively, the source collector module SO may be part of an LPP radiation system.
[00178] The embodiments may further be described using the following clauses:
1. A method comprising:
obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non-probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances, the attribute of the distribution of the residue with respect to an ensemble of physical item instances based on an attribute of a distribution of a residue of the non- probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble;
determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and
determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.
2. The method of clause 1 , wherein the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
3. The method of clause 1 or clause 2, wherein the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble.
4. The method of any of clauses 1-3, wherein the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1-CDF)N], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble.
5. The method of any of clauses 1-4, wherein the number of physical item instances in the ensemble is greater than 10.
6. The method of any of clauses 1-5, wherein the physical item instance corresponds to a pattern instance on a substrate produced by a device manufacturing process.
7. The method of any of clauses 1-6, wherein the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool.
8. The method of any of clauses 1-7, further comprising determining, based on the probability, the predicted presence of at least one physical item instance out of specification in a measurement location or field of view of a metrology tool. 9. The method of any of clauses 1-8, wherein there is a plurality of attributes of the distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the distribution of the residue with respect to the ensemble is different for different physical locations.
10. The method of any of clauses 1-9, wherein the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
11. The method of any of clauses 1-10, wherein determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue with respect to the ensemble and the value of the characteristic.
12. The method of any of clauses 1-11, wherein determining the probability is further based on a range of the characteristic in which the physical item instance is considered out of specification.
13. The method of any of clauses 1-12, further comprising determining, based on the probability, a sampling plan of measurement locations for measurements to determine physical item instances, if any, that are out of specification.
14. A method comprising:
obtaining verified values of a characteristic of a plurality of physical item instances of a physical system or object;
obtaining computed values of the characteristic using a non-probabilistic model;
obtaining values of a residue of the non-probabilistic model based on the verified values and the computed values;
obtaining an attribute of a first distribution of the residue based on the values of the residue; and obtaining an attribute of a second distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances based on the attribute of the first distribution.
15. The method of clause 14, wherein the attribute of the second distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
16. The method of clause 14 or clause 15, wherein the attribute of the second distribution of the residue with respect to the ensemble involves the attribute of the first distribution of the residue to the power of a number of physical item instances in the ensemble.
17. The method of any of clauses 14-16, wherein the attribute of the second distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1- CDF)n], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function with respect to the first distribution, and eCDF is an empirical cumulative distribution with respect to the first distribution.
18. The method of any of clauses 14-17, wherein the number of physical item instances in the ensemble is greater than 10. 19. The method of any of clauses 14-18, wherein the physical item instances correspond to pattern instances on a substrate produced by a device manufacturing process.
20. The method of any of clauses 14-19, wherein the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool.
21. The method of any of clauses 14-20, wherein there is a plurality of attributes of the second distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the second distribution of the residue with respect to the ensemble is different for different physical locations.
22. The method of any of clauses 14-21, wherein the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
23. The method of any of clauses 14-22, wherein obtaining the verified values comprises measuring the physical item instances using a metrology tool or simulation using a rigorous model.
24. The method of clause 23, wherein the metrology tool is configured to measure the physical item instances using a beam of charged particles.
25. The method of any of clauses 14-24, wherein obtaining the values of the residue comprises obtaining differences between the computed values and the verified values.
26. The method of any of clauses 14-25, wherein the attribute of the first distribution of the residue is a CDF or eCDF of the first distribution of the residue.
27. The method of any of clauses 14-26, further comprising obtaining the plurality of physical item instances based on shape, size, function, or spatial proximity.
28. A method comprising:
obtaining probabilities that a set of physical item instances of a physical system or object are respectively out of specification, the probabilities determined using an attribute of a distribution of a residue of a non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; and determining an ordered list of physical item instances to be inspected based on the probabilities.
29. The method of clause 28, wherein the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
30. The method of clause 28 or clause 29, wherein the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble.
31. The method of any of clauses 28-30, wherein the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1-CDF)N], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble.
32. The method of any of clauses 28-31, wherein the number of physical item instances in the ensemble is greater than 10.
33. The method of any of clauses 28-32, wherein the physical item instances correspond to pattern instances on a substrate produced by a device manufacturing process.
34. The method of any of clauses 28-33, wherein the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of the metrology tool.
35. The method of any of clauses 28-34, wherein determining the ordered list further comprises determining, based on the probabilities, the predicted presence of at least one defect in a measurement location or field of view of the metrology tool.
36. The method of any of clauses 28-35, wherein there is a plurality of attributes of the distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the distribution of the residue with respect to the ensemble is different for different physical locations.
37. The method of any of clauses 28-36, wherein the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
38. The method of any of clauses 28-37, further comprising obtaining locations of the set of physical item instances and determining the ordered list is further based on the locations.
39. The method of any of clauses 28-38, wherein the ordered list comprises a subset of physical item instances among the set of physical item instances, wherein physical item instances in the subset have higher probabilities of being out of specification than physical item instances in the set but not in the subset.
40. The method of any of clauses 28-39, wherein determining the ordered list is further based on an inspection throughput, an amount of time allowed for inspection, and/or an amount of radiation a substrate is allowed to receive during inspection. 41. The method of any of clauses 28-40, wherein the ordered list is in an order of descending probabilities.
42. The method of any of clauses 28-41, further comprising updating the probabilities based on data obtained from inspecting the physical item instances in the ordered list.
43. The method of any of clauses 28-42, further comprising inspecting physical item instances in the ordered list following the order of the ordered list.
44. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer system implementing the method of any of clauses 1-43.
[00179] The lithographic apparatus may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the patterning device and the projection system. Immersion techniques are known for increasing the numerical aperture of projection systems. The term“immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate and/or the patterning device and projection system, during exposure.
[00180] The term“projection system” or“projection optics” as used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. The term“projection optics” or“projection system” may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly.
[00181] The concepts disclosed herein may be used to simulate or mathematically model any device manufacturing process involving a pattern transfer step, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size. Emerging technologies already in use include deep ultraviolet (DUV) lithography that is capable of producing a 193 nm wavelength with the use of an ArF laser, and even a 157 nm wavelength with the use of a fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 5- 20 nm by, e.g., by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
[00182] The term“mask” or“patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term“light valve” can also be used in this context. Besides the classic mask
(transmissive or reflective; binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include: a programmable mirror array and a programmable LCD array.
[00183] The patterning device referred to above comprises or can form a design layout. The design layout can be generated utilizing a CAD (computer-aided design) program. This process is often referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patteming devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way. The design rule limitations are typically referred to as "critical dimensions" (CD). A critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes. Thus, the CD determines the overall size and density of the designed circuit. Of course, one of the goals in integrated circuit fabrication is to faithfully reproduce the original circuit design on the substrate (via the patterning device).
[00184] While the concepts disclosed herein may be used for device manufacturing on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of pattern transfer systems, e.g., those used for imaging on substrates other than silicon wafers.
[00185] As noted, microlithography is a significant step in the manufacturing of devices such as ICs, where patterns formed on substrates define functional elements of the ICs, such as microprocessors, memory chips etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices. So, although specific reference may be made in this text to the manufacture of integrated circuits, it should be explicitly understood that the description herein has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal display panels, thin film magnetic heads, micromechanical systems (MEMs), etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms“reticle”,“wafer” or“die” in this text should be considered as synonymous or interchangeable with the more general terms“patterning device”,“substrate” and “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create, for example, a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
[00186] In the present document, the terms“radiation” and“beam” are used to encompass all types of radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm), as well as particle beams, such as ion beams or electron beams. [00187] Although specific reference may have been made above to the use of embodiments in the context of optical lithography, it will be appreciated that an embodiment of the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography, a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured. Thus, a lithographic apparatus using the imprint technology typically include a template holder to hold an imprint template, a substrate table to hold a substrate and one or more actuators to cause relative movement between the substrate and the imprint template so that the pattern of the imprint template can be imprinted onto a layer of the substrate.
[00188] Aspects of the invention can be implemented in any convenient form. For example, an embodiment may be implemented by one or more appropriate computer programs which may be carried on an appropriate carrier medium which may be a tangible carrier medium (e.g. a disk) or an intangible carrier medium (e.g. a communications signal). Embodiments of the invention may be implemented using suitable apparatus which may specifically take the form of a programmable computer running a computer program arranged to implement a method as described herein. Thus, embodiments of the disclosure may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure may also be implemented as instructions stored on a machine -readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.
[00189] In block diagrams, illustrated components are depicted as discrete functional blocks, but embodiments are not limited to systems in which the functionality described herein is organized as illustrated. The functionality provided by each of the components may be provided by software or hardware modules that are differently organized than is presently depicted, for example such software or hardware may be intermingled, conjoined, replicated, broken up, distributed (e.g. within a data center or geographically), or otherwise differently organized. The functionality described herein may be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory, machine readable medium. In some cases, third party content delivery networks may host some or all of the information conveyed over networks, in which case, to the extent information (e.g., content) is said to be supplied or otherwise provided, the information may be provided by sending instructions to retrieve that information from a content delivery network.
[00190] Unless specifically stated otherwise, as apparent from the discussion, it is appreciated that throughout this specification discussions utilizing terms such as“processing,”“computing,” “calculating,”“determining” or the like refer to actions or processes of a specific apparatus, such as a special purpose computer or a similar special purpose electronic processing/computing device.
[00191] The reader should appreciate that the present application describes several inventions. Rather than separating those inventions into multiple isolated patent applications, these inventions have been grouped into a single document because their related subject matter lends itself to economies in the application process. But the distinct advantages and aspects of such inventions should not be conflated. In some cases, embodiments address all of the deficiencies noted herein, but it should be understood that the inventions are independently useful, and some embodiments address only a subset of such problems or offer other, unmentioned benefits that will be apparent to those of skill in the art reviewing the present disclosure. Due to costs constraints, some inventions disclosed herein may not be presently claimed and may be claimed in later filings, such as continuation applications or by amending the present claims. Similarly, due to space constraints, neither the Abstract nor the Summary sections of the present document should be taken as containing a comprehensive listing of all such inventions or all aspects of such inventions.
[00192] It should be understood that the description and the drawings are not intended to limit the present disclosure to the particular form disclosed, but to the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the inventions as defined by the appended claims.
[00193] Modifications and alternative embodiments of various aspects of the inventions will be apparent to those skilled in the art in view of this description. Accordingly, this description and the drawings are to be construed as illustrative only and are for the purpose of teaching those skilled in the art the general manner of carrying out the inventions. It is to be understood that the forms of the inventions shown and described herein are to be taken as examples of embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed or omitted, certain features may be utilized independently, and embodiments or features of embodiments may be combined, all as would be apparent to one skilled in the art after having the benefit of this description. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims. Headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description.
[00194] As used throughout this application, the word“may” is used in a permissive sense (i.e., meaning having the potential to), rather than the mandatory sense (i.e., meaning must). The words “include”,“including”, and“includes” and the like mean including, but not limited to. As used throughout this application, the singular forms“a,”“an,” and“the” include plural referents unless the content explicitly indicates otherwise. Thus, for example, reference to“an” element or "a” element includes a combination of two or more elements, notwithstanding use of other terms and phrases for one or more elements, such as“one or more.” The term "or" is, unless indicated otherwise, non exclusive, i.e., encompassing both "and" and "or." Terms describing conditional relationships, e.g., "in response to X, Y," "upon X, Y,",“if X, Y,” "when X, Y," and the like, encompass causal relationships in which the antecedent is a necessary causal condition, the antecedent is a sufficient causal condition, or the antecedent is a contributory causal condition of the consequent, e.g., "state X occurs upon condition Y obtaining" is generic to "X occurs solely upon Y" and "X occurs upon Y and Z." Such conditional relationships are not limited to consequences that instantly follow the antecedent obtaining, as some consequences may be delayed, and in conditional statements, antecedents are connected to their consequents, e.g., the antecedent is relevant to the likelihood of the consequent occurring. Statements in which a plurality of attributes or functions are mapped to a plurality of objects (e.g., one or more processors performing steps A, B, C, and D) encompasses both all such attributes or functions being mapped to all such objects and subsets of the attributes or functions being mapped to subsets of the attributes or functions (e.g., both all processors each performing steps A-D, and a case in which processor 1 performs step A, processor 2 performs step B and part of step C, and processor 3 performs part of step C and step D), unless otherwise indicated. Further, unless otherwise indicated, statements that one value or action is“based on” another condition or value encompass both instances in which the condition or value is the sole factor and instances in which the condition or value is one factor among a plurality of factors. Unless otherwise indicated, statements that“each” instance of some collection have some property should not be read to exclude cases where some otherwise identical or similar members of a larger collection do not have the property, i.e., each does not necessarily mean each and every. References to selection from a range includes the end points of the range.
[00195] In the above description, any processes, descriptions or blocks in flowcharts should be understood as representing modules, segments or portions of code which include one or more executable instructions for implementing specific logical functions or steps in the process, and alternate implementations are included within the scope of the exemplary embodiments of the present advancements in which functions can be executed out of order from that shown or discussed, including substantially concurrently or in reverse order, depending upon the functionality involved, as would be understood by those skilled in the art.
[00196] To the extent certain U.S. patents, U.S. patent applications, or other materials (e.g., articles) have been incorporated by reference, the text of such U.S. patents, U.S. patent applications, and other materials is only incorporated by reference to the extent that no conflict exists between such material and the statements and drawings set forth herein. In the event of such conflict, any such conflicting text in such incorporated by reference U.S. patents, U.S. patent applications, and other materials is specifically not incorporated by reference herein.
[00197] While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the present disclosures. Indeed, the novel methods, apparatuses and systems described herein can be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the methods, apparatuses and systems described herein can be made without departing from the spirit of the present disclosures. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the present disclosures.

Claims

What is claimed is:
1. A method comprising:
obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non-probabilistic model;
obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances, the attribute of the distribution of the residue with respect to an ensemble of physical item instances based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble;
determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and
determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.
2. The method of claim 1 , wherein the attribute of the distribution of the residue with respect to the ensemble comprises a cumulative distribution function for the ensemble of physical item instances.
3. The method of claim 1 , wherein the attribute of the distribution of the residue with respect to the ensemble involves the attribute of the distribution of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble to the power of a number of physical item instances in the ensemble.
4. The method of claim 1 , wherein the attribute of the distribution of the residue with respect to the ensemble is a function defined at least by [1 - (l-eCDF)N] or [1 - (1-CDF)N], wherein N is the number of physical item instances in the ensemble, CDF is a cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble, and eCDF is an empirical cumulative distribution function of the residue with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble.
5. The method of claim 1, wherein the number of physical item instances in the ensemble is greater than 10.
6. The method of claim 1 , wherein the physical item instance corresponds to a pattern instance on a substrate produced by a device manufacturing process.
7. The method of claim 1 , wherein the number of physical item instances in the ensemble corresponds to a number of the physical item instances of a particular physical item type or of a set of a particular plurality of physical item types, in a measurement location or field of view of a metrology tool.
8. The method of claim 1, further comprising determining, based on the probability, the predicted presence of at least one physical item instance out of specification in a measurement location or field of view of a metrology tool.
9. The method of claim 8, wherein the metrology tool is configured to measure the physical item instances using a beam of charged particles.
10. The method of claim 1, wherein there is a plurality of attributes of the distribution of the residue with respect to the ensemble and each attribute of the plurality of attributes of the distribution of the residue with respect to the ensemble is different for different physical locations.
11. The method of claim 1 , wherein the characteristic is one or more selected from: a position relative to a substrate, a position relative to one or more other physical item instances, a geometric size, a geometric shape, a measure of a stochastic effect, and/or any combination selected therefrom.
12. The method of claim 1 , wherein determining the attribute of the distribution of the characteristic comprises adding the attribute of the distribution of the residue with respect to the ensemble and the value of the characteristic.
13. The method of claim 1, wherein determining the probability is further based on a range of the characteristic in which the physical item instance is considered out of specification.
14. The method of claim 1, further comprising determining, based on the probability, a sampling plan of measurement locations for measurements to determine physical item instances, if any, that are out of specification.
15. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer system implementing the method of claim 1.
PCT/EP2018/084100 2017-12-13 2018-12-10 Prediction of out of specification physical items WO2019115426A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762598427P 2017-12-13 2017-12-13
US62/598,427 2017-12-13

Publications (1)

Publication Number Publication Date
WO2019115426A1 true WO2019115426A1 (en) 2019-06-20

Family

ID=64899251

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2018/084100 WO2019115426A1 (en) 2017-12-13 2018-12-10 Prediction of out of specification physical items

Country Status (2)

Country Link
TW (1) TW201937300A (en)
WO (1) WO2019115426A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
US11733613B2 (en) 2018-11-08 2023-08-22 Asml Netherlands B.V. Prediction of out of specification based on a spatial characteristic of process variability
WO2023156182A1 (en) * 2022-02-21 2023-08-24 Asml Netherlands B.V. Field of view selection for metrology associated with semiconductor manufacturing

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20110099526A1 (en) 2009-10-28 2011-04-28 Asml Netherlands B.V. Pattern Selection for Full-Chip Source and Mask Optimization
US8200468B2 (en) 2007-12-05 2012-06-12 Asml Netherlands B.V. Methods and system for lithography process window simulation
US20150213596A1 (en) * 2012-04-23 2015-07-30 Hitachi High-Technologies Corporation Semiconductor defect categorization device and program for semiconductor defect categorization device
US20150227654A1 (en) 2014-02-12 2015-08-13 Asml Netherlands B.V. Process window optimizer
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
WO2016202546A1 (en) 2015-06-16 2016-12-22 Asml Netherlands B.V. Methods for defect validation
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017144343A1 (en) * 2016-02-23 2017-08-31 Asml Netherlands B.V. Method of controlling a patterning process, lithographic apparatus, metrology apparatus lithographic cell and associated computer program
WO2017194281A1 (en) * 2016-05-12 2017-11-16 Asml Netherlands B.V. Identification of hot spots or defects by machine learning

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8200468B2 (en) 2007-12-05 2012-06-12 Asml Netherlands B.V. Methods and system for lithography process window simulation
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20110099526A1 (en) 2009-10-28 2011-04-28 Asml Netherlands B.V. Pattern Selection for Full-Chip Source and Mask Optimization
US20150213596A1 (en) * 2012-04-23 2015-07-30 Hitachi High-Technologies Corporation Semiconductor defect categorization device and program for semiconductor defect categorization device
US20150227654A1 (en) 2014-02-12 2015-08-13 Asml Netherlands B.V. Process window optimizer
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
WO2016202546A1 (en) 2015-06-16 2016-12-22 Asml Netherlands B.V. Methods for defect validation
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017144343A1 (en) * 2016-02-23 2017-08-31 Asml Netherlands B.V. Method of controlling a patterning process, lithographic apparatus, metrology apparatus lithographic cell and associated computer program
WO2017194281A1 (en) * 2016-05-12 2017-11-16 Asml Netherlands B.V. Identification of hot spots or defects by machine learning

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DEKONG ZENG: "Statistical Methods for Enhanced Metrology in Semiconductor/Photovoltaic Manufacturing", TECHNICAL REPORT NO. UCB/EECS-2012-237, 12 December 2012 (2012-12-12), pages 1 - 171, XP055583017, Retrieved from the Internet <URL:http://digitalassets.lib.berkeley.edu/techreports/ucb/text/EECS-2012-237.pdf> [retrieved on 20190424] *
RUI CASTRO: "The Empirical Distribution Function and the Histogram", LECTURE NOTES, 5 July 2016 (2016-07-05), pages 1 - 8, XP055583031, Retrieved from the Internet <URL:https://web.archive.org/web/20160705041836if_/http://www.win.tue.nl:80/~rmcastro/2WS17/files/ecdf_hist.pdf> [retrieved on 20190424] *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11733613B2 (en) 2018-11-08 2023-08-22 Asml Netherlands B.V. Prediction of out of specification based on a spatial characteristic of process variability
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
WO2023156182A1 (en) * 2022-02-21 2023-08-24 Asml Netherlands B.V. Field of view selection for metrology associated with semiconductor manufacturing

Also Published As

Publication number Publication date
TW201937300A (en) 2019-09-16

Similar Documents

Publication Publication Date Title
WO2020120050A1 (en) Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
TWI808901B (en) A method for training a machine learning model of a patterning process and coumputer program product
US11733613B2 (en) Prediction of out of specification based on a spatial characteristic of process variability
TWI785504B (en) Methods for adjusting a process window and related non-transitory computer-readable medium
US20220035256A1 (en) Determining hot spot ranking based on wafer measurement
US11630396B2 (en) Model calibration and guided metrology based on smart sampling
TW202321835A (en) Methods for improving process based contour information of structure in image
WO2016142169A1 (en) Focus-dose co-optimization based on overlapping process window
US11460784B2 (en) Method for determining candidate patterns from set of patterns of a patterning process
WO2019115426A1 (en) Prediction of out of specification physical items
WO2020078729A1 (en) System and method for facilitating chemical mechanical polishing
TWI781374B (en) Improve gauge selection for model calibration
EP4200671A1 (en) Systems, products, and methods for image-based pattern selection
WO2022263104A1 (en) Inspection data filtering systems and methods
WO2021043596A1 (en) Method for determining aberration sensitivity of patterns

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18826208

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18826208

Country of ref document: EP

Kind code of ref document: A1