JP2011166107A - 保持体機構、ロードロック装置、処理装置及び搬送機構 - Google Patents

保持体機構、ロードロック装置、処理装置及び搬送機構 Download PDF

Info

Publication number
JP2011166107A
JP2011166107A JP2010159193A JP2010159193A JP2011166107A JP 2011166107 A JP2011166107 A JP 2011166107A JP 2010159193 A JP2010159193 A JP 2010159193A JP 2010159193 A JP2010159193 A JP 2010159193A JP 2011166107 A JP2011166107 A JP 2011166107A
Authority
JP
Japan
Prior art keywords
support
holding body
processed
semiconductor wafer
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010159193A
Other languages
English (en)
Other versions
JP5549441B2 (ja
Inventor
Hiromitsu Sakagami
博充 阪上
Takashi Horiuchi
孝 堀内
Kaoru Fujiwara
馨 藤原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010159193A priority Critical patent/JP5549441B2/ja
Priority to TW100101258A priority patent/TW201145442A/zh
Priority to KR1020110003785A priority patent/KR101274897B1/ko
Priority to US13/006,787 priority patent/US20110168330A1/en
Priority to CN201110021902.XA priority patent/CN102163573B/zh
Publication of JP2011166107A publication Critical patent/JP2011166107A/ja
Application granted granted Critical
Publication of JP5549441B2 publication Critical patent/JP5549441B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】半導体ウエハ等の被処理体を支持する際に、この裏面(下面)にスクラッチや傷等が付くことを防止することが可能な保持体機構を提供する。
【解決手段】板状の被処理体Wを保持するための保持体構造において、被処理体の荷重を受けるための保持体本体104と、保持体本体の上面に形成された複数の凹部状の支持体収容部106と、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で転動可能になされた支持体108とを備える。これにより、半導体ウエハ等の被処理体を支持する際に、この裏面(下面)にスクラッチや傷等が付くことを防止する。
【選択図】図3

Description

本発明は、板状の半導体ウエハ等の被処理体を保持する保持体構造、及びこれを用いたロードロック装置、処理装置及び搬送機構に関する。
一般に、半導体デバイス等を製造するためには、板状の半導体ウエハやガラス基板等の被処理体に対して、成膜処理、エッチング処理、酸化拡散処理、改質処理等の各種の処理を繰り返し施す必要がある。例えば枚葉式の真空処理装置で半導体ウエハに対して上記処理を施す場合には、真空処理装置の前段側に、小容量で真空及び大気圧復帰を迅速に行うことができるようになされたロードロック装置を設けている。そして、半導体ウエハを上記真空処理装置に対して搬入、或いは搬出する際には、上記ロードロック装置を介して操作を行うことで、真空処理装置内の真空を破ることなく上記搬入、搬出操作を行うことができるようになっている(特許文献1等)。
ところで、上記半導体ウエハは、真空処理装置内での処理により例えば300〜700℃程度の高温状態になっている場合が多く、このような高温状態の半導体ウエハを、上記ロードロック装置を介して搬出する場合には、スループット向上のためにロードロック装置内にて半導体ウエハに熱伸縮によるスクラッチ等を生ぜしめることなく迅速に安全温度、例えば100℃前後まで冷却して、後段側へ搬出するようになっている。ここで従来のロードロック装置の構成について説明する。図31は、従来のロードロック装置の内部の一例を示す概略構成図である。
図示するように、ロードロック装置内には、保持体構造1が設けられている。この保持体構造1は、半導体ウエハWの荷重を受ける保持体本体2を有しており、この保持体本体2が支柱4で支持されている。上記半導体ウエハWは、この保持体本体2にこの上方へ出没可能に設けられた複数本、例えば3本の昇降ピン5に受け渡すことで保持体本体2上に載置される。
この保持体本体2には、半導体ウエハWの温度を冷却する冷却ジャケット6が設けられており、これに冷媒を流すことにより高温状態の半導体ウエハWを安全温度まで冷却するようになっている。また、上記保持体本体2上には、非常に短い複数本、例えば9本程度の支持ピン8が固定的に設けられており、この支持ピン8の上端で半導体ウエハWの裏面を当接させてこれを支持するようになっている。
このように、半導体ウエハWの裏面(下面)を支持ピン8で支持することにより、半導体ウエハWの裏面と保持体本体2の平坦な上面との間に1mm以下の僅かな隙間を形成している。この目的は、半導体ウエハWに割れ等が生ずる恐れのある急激な冷却を生ぜしめることなく、半導体ウエハWを迅速に冷却するためである。
特開2007−260624号公報
上述したように、保持体本体2の上面に設けた短い支持ピン8で半導体ウエハWを支持することにより、半導体ウエハWに割れ等を生ぜしめることなくこの温度を迅速に冷却することができるようになっている。
ところで、上記保持体本体2上に支持される半導体ウエハWは前述したように施された処理の態様によっては300〜700℃程度の高温状態になっている場合がある。この場合、半導体ウエハWの温度やサイズにもよるが、冷却に伴って半導体ウエハ自体に0.1〜0.4mm程度の熱伸縮が生ずることは避けられない。この結果、半導体ウエハWの裏面とこれに当接する支持ピン8の上端との間に生ずる摩擦により半導体ウエハ裏面にスクラッチや傷等が生じ、この傷によりパーティクルが発生したり、後工程においてこの傷の部分を核として集中的に厚く形成される不要な膜に起因して露光工程時にフォーカスズレ等が生ずる、といった問題があった。
また半導体デバイスの製造装置の関連技術として、特開昭62−193139号公報に開示されているようなボール接触型半導体ウエハチャックも知られてはいるが、これは半導体ウエハをチャック本体の鋼球上に真空吸着で固定すると共に、必要に応じて所定の形状に変形させるものであり、上記問題点を解決するものではない。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明は、半導体ウエハ等の被処理体を支持する際に、この裏面(下面)にスクラッチや傷等が付くことを防止することが可能な保持体機構、ロードロック装置、処理装置及び搬送機構である。
請求項1に係る発明は、板状の被処理体を保持するための保持体構造において、前記被処理体の荷重を受けるための保持体本体と、前記保持体本体の上面に形成された複数の凹部状の支持体収容部と、前記各支持体収容部内に収容されると共に上端が前記保持体本体の上面よりも上方へ突出して前記上端で前記被処理体の下面と当接して支持しつつ前記支持体収容部内で転動可能になされた支持体と、を備えたことを特徴とする保持体構造である。
このように、板状の被処理体を保持するための保持体構造において、被処理体の荷重を受けるための保持体本体の上面に複数の凹部状の支持体収容部を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で転動可能になされた支持体を設けるようにしたので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
請求項10に係る発明は、板状の被処理体を保持するための保持体構造において、前記被処理体の荷重を受けるための保持体本体と、前記保持体本体の上面に形成された複数の凹部状の支持体収容部と、前記各支持体収容部内に収容されると共に上端が前記保持体本体の上面よりも上方へ突出して前記上端で前記被処理体の下面と当接して支持しつつ前記支持体収容部内で揺動可能になされた支持体と、を備えたことを特徴とする保持体構造である。
このように、板状の被処理体を保持するための保持体構造において、被処理体の荷重を受けるための保持体本体の上面に複数の凹部状の支持体収容部を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で揺動可能になされた支持体を設けるようにしているので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
請求項14に係る発明は、板状の被処理体を保持するための保持体構造において、前記被処理体の荷重を受けるための保持体本体と、前記保持体本体の上面に形成された複数の凹部状の支持体収容部と、前記各支持体収容部内に収容されると共に上端が前記保持体本体の上面よりも上方へ突出して前記上端で前記被処理体の下面と当接して支持しつつ前記支持体収容部内で回転可能に支持された支持体と、を備えたことを特徴とする保持体構造である。
このように、板状の被処理体を保持するための保持体構造において、被処理体の荷重を受けるための保持体本体の上面に複数の凹部状の支持体収容部を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で回転可能に支持された支持体を設けるようにしているので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
請求項18に係る発明は、真空室と大気室との間にゲートバルブを介して連結されると共に真空雰囲気と大気圧雰囲気とを選択的に実現することができるロードロック装置において、真空引き及び大気圧復帰が可能になされたロードロック用容器と、前記ロードロック用容器内に設けられた請求項1乃至15のいずれか一項に記載の保持体構造と、前記被処理体を加熱及び/又は冷却する熱源部と、前記被処理体を保持体本体上に降下及び保持体本体上から離間させるリフタ機構と、前記ロードロック用容器内の雰囲気を真空引きする排気手段と、を備えたことを特徴とするロードロック装置である。
請求項19に係る発明は、真空室と大気室との間にゲートバルブを介して連結されると共に真空雰囲気と大気圧雰囲気とを選択的に実現することができるロードロック装置において、ロードロック用容器と、前記ロードロック用容器内に設けられて複数枚の被処理体を複数段に亘って支持するために請求項1乃至15のいずれか一項に記載の保持体構造を複数個有する支持手段と、大気圧復帰用のガスを冷却ガスとして噴射するために前記保持体構造に対応させて設けられたガス噴射孔を有するガス導入手段と、前記ロードロック用容器内の雰囲気を真空引きする排気手段と、を備えたことを特徴とするロードロック装置である。
請求項23に係る発明は、被処理体に所定の処理を施すための処理装置において、前記被処理体を収容する処理容器と、前記処理容器内に設けられた請求項1乃至17のいずれか一項に記載の保持体構造と、前記被処理体を加熱する加熱手段と、前記被処理体を保持体本体上に降下及び保持体本体上から離間させるリフタ機構と、前記処理容器内へ必要なガスを供給するガス供給手段と、前記処理容器内の雰囲気を排気する排気手段と、を備えたことを特徴とする処理装置である。
請求項26に係る発明は、被処理体を搬送するための搬送機構において、屈伸及び旋回可能になされたアーム部と、前記アーム部の先端に設けられた請求項1乃至15のいずれか一項に記載の保持体構造と、を備えたことを特徴とする搬送機構である。
本発明に係る保持体機構、ロードロック装置、処理装置及び搬送機構によれば、次のような優れた作用効果を発明することができる。
請求項1及びこれを引用する請求項の発明によれば、板状の被処理体を保持するための保持体構造において、被処理体の荷重を受けるための保持体本体の上面に複数の凹部状の支持体収容部を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で転動可能になされた支持体を設けるようにしたので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
請求項10及びこれを引用する請求項の発明によれば、板状の被処理体を保持するための保持体構造において、被処理体の荷重を受けるための保持体本体の上面に複数の凹部状の支持体収容部を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で揺動可能になされた支持体を設けるようにしているので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
請求項14及びこれを引用する請求項の発明によれば、板状の被処理体を保持するための保持体構造において、被処理体の荷重を受けるための保持体本体の上面に複数の凹部状の支持体収容部を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で回転可能に支持された支持体を設けるようにしているので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
請求項18〜27の発明によれば、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
本発明に係る保持体構造を有するロードロック装置を備えた一般的な処理システムの一例を示す概略平面図である。 図1に示す処理システムを示す概略断面図である。 ロードロック装置内に設けた本発明に係る保持体構造を示す断面図である。 保持体構造の保持体本体を示す平面図である。 保持体本体の表面に形成される1つの支持体ユニットを示す拡大図である。 保持体構造の第1変形実施例に示す図である。 本発明の保持体構造の第2変形実施例を示す図である。 本発明の保持体構造の第3変形実施例の支持体ユニットの部分を示す拡大断面図である。 本発明の保持体構造の第4変形実施例の支持体ユニットの部分を示す拡大断面図である。 本発明の保持体構造の第5変形実施例の支持体ユニットの部分を示す拡大断面図である。 本発明の保持体構造の第6変形実施例の支持体ユニットを示す図である。 本発明の保持体構造の第7変形実施例の支持体ユニットを示す図である。 本発明の保持体構造の第8変形実施例の支持体ユニットを示す図である。 本発明の保持体構造の第9変形実施例の支持体ユニットを示す図である。 本発明の保持体構造の第10変形実施例の支持体ユニットを示す図である。 本発明の保持体構造の第11変形実施例の支持体ユニットを示す図である。 測定されたパーティクル数の値を示す図である。 支持体と接触した半導体ウエハ裏面の状態の一例を示す電子顕微鏡写真である。 保持体構造の保持体本体の変形例を示す斜視図である。 本発明の保持体構造をトランスファチャンバ内に設けられた第1の搬送機構に適用した時の状態を示す概略平面図である。 ピック形状の第1変形例を示す図である。 ピック形状の第2変形例を示す図である。 本発明の保持体構造を適用した複数枚用のロードロック装置を示す縦断面図である。 被処理体を支持する支持手段の一部を示す拡大部分断面図である。 支持手段の支持部の一例を示す平面図である。 ロードロック装置の変形実施例の支持手段の断面を示す拡大図である。 本発明の保持体構造が適用されたリフタ機構の一例を示す図である。 図27に示すリフタ機構の動作を説明するための動作説明図である。 本発明の保持体構造が適用されたセミバッチ式の処理装置の載置台を示す斜視図である。 図29に示す処理装置の載置台の一部を示す部分拡大断面図である。 従来のロードロック装置の内部の一例を示す概略構成図である。
以下に、本発明に係る保持体機構、ロードロック装置、処理装置及び搬送機構の一実施例を添付図面に基づいて詳述する。
図1は本発明に係る保持体構造を有するロードロック装置を備えた一般的な処理システムの一例を示す概略平面図、図2は図1に示す処理システムを示す概略断面図、図3はロードロック装置内に設けた本発明に係る保持体構造を示す断面図、図4は保持体構造の保持体本体を示す平面図、図5は保持体本体の表面に形成される1つの支持体ユニットを示す拡大図であり、図5(A)は断面図を示し、図5(B)は平面図を示す。
まず、本発明に係る保持体構造を有するロードロック装置と処理装置とを備えた処理システムの一例について説明する。図1及び図2に示すように、この処理システム12は、真空引き可能になされた4つの処理装置14A、14B、14C、14Dを有している。これらの処理装置14A〜14Dとしては、成膜処理やエッチング処理等の真空雰囲気下で行われる全ての処理装置が適用される。これらの処理装置14A〜14Dは、真空引き可能になされた六角形状のトランスファチャンバ16の周囲にそれぞれゲートバルブGを介して接続されている。また、この処理システム12は、上記トランスファチャンバ16内に対して、この真空を破ることなく被処理体としての半導体ウエハWを搬送するためのロードロック装置20A、20Bを有しており、両ロードロック装置20A、20Bは上記トランスファチャンバ16にそれぞれゲートバルブGを介して接続されている。
そして、上記各処理装置14A〜14D内には、半導体ウエハWを載置するための載置台22A〜22Dがそれぞれ設けられている。また、上記トランスファチャンバ16内には、半導体ウエハWを搬送するために屈伸及び旋回可能になされた第1の搬送機構24が設けられ、各処理装置14A〜14D間及びこれらと各ロードロック装置20A、20Bとの間で半導体ウエハWを移載できるようになっている。具体的には、この第1の搬送機構24は、上述のように屈伸及び旋回可能になされたアーム部25と、このアーム部25の先端に設けられた2つのピック25A、25Bとにより主に構成されており、これらのピック25A、25B上に半導体ウエハWを直接的に載置保持して、上述のように搬送できるようになっている。
また各ロードロック装置20A、20B内には、半導体ウエハWを一時的に保持するために本発明に係る保持体構造26A、26Bがそれぞれ設けられている。この保持体構造26A、26Bについては後述する。また上記ロードロック装置20A、20Bの反対側には、それぞれゲートバルブGを介して横長のロードモジュール30が取り付けられ、このロードモジュール30の一側には、複数枚の半導体ウエハを収容できるカセット(図示せず)を載置するI/Oポート32が設けられている。そして、このロードモジュール30内には、屈伸及び旋回可能になされた第2の搬送機構34が設けられている。
具体的には、この第2の搬送機構34は、上述のように屈伸及び旋回可能になされたアーム部35と、このアーム部35の先端に設けられた2つのピック35A、35Bとにより主に構成されており、これらのピック35A、35B上に半導体ウエハWを直接的に載置保持して搬送できるようになっている。また、この第2の搬送機構34は案内レール36に沿ってその長手方向へ移動可能になされている。そして、このロードモジュール30の一端には、半導体ウエハWの位置合わせ及び方向付けを行うオリエンタ37が設けられており、処理装置14A〜14Dに半導体ウエハWを搬入する前に、ここで半導体ウエハWの位置合わせ及び方向付けを行うようになっている。
<処理装置>
ここで図2を参照して各処理装置について説明する。尚、図2中において、4つの処理装置14A〜14Dを代表して処理装置14Aを示しており、この中に載置台22Aが設けられている。また2つのロードロック装置20A、20Bを代表してロードロック装置20Aを示している。
この処理装置14Aは、例えばアルミニウム合金等により箱状に成形された処理容器40を有している。この処理容器40内に設けられる上記載置台22Aは、容器底部より起立された支柱42の上端に取り付けられている。この載置台22A内には、例えば抵抗加熱ヒータよりなる加熱手段44が埋め込むようにして設けられており、載置台22A上に載置した半導体ウエハWを所定の温度に加熱し得るようになっている。また、この載置台22A上には、半導体ウエハWの搬出入時にこの半導体ウエハWを押し上げ、押し下げるリフタ機構46が設けられる。
具体的には、このリフタ機構46は、3本(図示例では2本のみ記す)の昇降ピン48を有しており、各昇降ピン48の下端部は円弧状になされた昇降板50により共通に支持されている。そして、この昇降板50は、容器底部を貫通させて設けた昇降ロッド51の上端で支持されると共に、この昇降ロッド51は、アクチュエータ52により昇降可能になされている。また上記昇降ロッド51の貫通部には、上記処理容器40内の気密性を維持しつつこの昇降ロッド51の昇降を許容するために伸縮可能になされた金属性のベローズ54が設けられる。
そして、上記載置台22Aには、上記昇降ピン48を挿通させるためのピン挿通孔56が設けられており、半導体ウエハWの搬出入時に上記昇降ピン48を昇降させて、このピン挿通孔56より上方へ出没させることができるようになっている。また処理容器40の天井部には、例えばシャワーヘッドよりなるガス供給手段58が設けられており、処理容器40内に必要なガスを供給するようになっている。このガス供給手段58は、シャワーヘッドに限定されているのは勿論である。
また容器底部には排気口60が設けられており、この排気口60には、処理容器40内の雰囲気を排気するための排気手段62が設けられる。具体的には、上記排気手段62は、上記排気口60に連結されたガス通路64を有している。そして、このガス通路64には、容器内の圧力を調整する圧力調整弁66及び真空ポンプ68が順次介設されており、処理容器40内の雰囲気を真空引きしつつ圧力調整できるようになっている。このように形成された処理装置14A内で、例えば成膜処理を行うようになっている。
また、他の処理装置14B〜14Dとしては、必要に応じて半導体ウエハWに対して施すべき種々の処理に対応した処理装置が用いられ、またプラズマ処理装置も用いることができる。また、上記各処理装置14A〜14Dに連結されるトランスファチャンバ16は、例えばN ガス等の不活性ガスが供給可能になされると共に、この内部雰囲気も真空引き可能になされているが、動作時には真空雰囲気に常時維持されている。
<ロードロック装置>
次にロードロック装置について説明する。上記2つのロードロック装置20A、20Bは全く同様に構成されているで、ここでは一方のロードロック装置20Aの構成について説明する。
まず、このロードロック装置20Aは、例えばアルミニウム合金等により箱状に成形されたロードロック用容器70を有している。このロードロック用容器70内に設けられる上記本発明に係る保持体構造26Aは、図3にも示すように容器底部より起立された支柱72の上端に取り付けられている。ここでは上記保持体構造26Aは、半導体ウエハWのサイズよりも少し大きな厚肉の円板状に形成されている。また、この保持体構造26A上には、半導体ウエハWの搬出入時にこの半導体ウエハWを押し上げ、押し下げるリフタ機構74が設けられる。
具体的には、このリフタ機構74は、3本(図示例では2本のみ記す)の昇降ピン76を有しており、各昇降ピン76の下端部は円弧状になされた昇降板78により共通に支持されている。そして、この昇降板78は、容器底部を貫通させて設けた昇降ロッド80の上端で支持されると共に、この昇降ロッド80は、アクチュエータ82により昇降可能になされている。また上記昇降ロッド80の貫通部には、上記ロードロック用容器70内の気密性を維持しつつこの昇降ロッド80の昇降を許容するために伸縮可能になされた金属性のベローズ84が設けられる。
そして、上記保持体構造26Aには、上記昇降ピン76を挿通させるためのピン挿通孔86が設けられており、半導体ウエハWの搬出入時に上記昇降ピン76を昇降させて、このピン挿通孔86より上方へ出没させることができるようになっている。またロードロック用容器70の底部には、ガス導入口88が設けられている。このガス導入口88には、途中に開閉弁90が介設されたガス導入通路92が接続されており、必要に応じて不活性ガスとして例えばN ガスを供給できるようになっている。
また容器底部には排気口94が設けられており、この排気口94には、ロードロック用容器70内の雰囲気を排気するための排気手段96が設けられる。具体的には、上記排気手段96は、上記排気口94に連結されたガス通路98を有している。そして、このガス通路98には、開閉弁100及び真空ポンプ102が順次介設されており、ロードロック用容器70内の雰囲気を真空引きできるようになっている。
上記保持体構造26Aは、図3乃至図5にも示すように、上記半導体ウエハWの荷重を受けるための保持体本体104と、この上面に形成された複数の支持体収容部106と、この支持体収容部106内に収容されて上端で上記半導体ウエハWを当接して支持しつつ転動可能になされた支持体108とを主に有している。
具体的には、上記保持体本体104は、上記半導体ウエハWの直径よりも僅かに大きな肉厚な円板状に成形されており、その上面は平坦面になされている。この保持体本体104は、例えばアルミニウム合金やニッケル合金、或いは窒化アルミニウムやアルミナ等のセラミック材により構成されている。この保持体本体104内には、半導体ウエハWを加熱及び/又は冷却する熱源部110が設けられている。ここでは上記熱源部110としては、冷媒を流す冷却ジャケット112が上記保持体本体104の略全面に亘って埋め込むようにして設けられており、この上面側に支持される半導体ウエハWに冷熱を与えてこれを冷却するようになっている。
ここで処理されるべき半導体ウエハWを予熱する場合には、上記熱源部110として上記冷却ジャケット112に替えて抵抗加熱ヒータ等を設けて半導体ウエハWに温熱を与えるようにすればよい。また、半導体ウエハWの冷却と加熱とを選択的にできるようにする場合には、上記熱源部110としてペルチェ素子のような熱電変換素子を設けて、これに流れる電流の方向を必要に応じて切り替えることによって加熱と冷却とを選択的に行うことができるようにすればよい。
そして、上記保持体本体104の平坦な上面に、上記支持体収容部106が凹部状に複数個形成されている。ここでは、支持体収容部106は、上記保持体本体104の中周部分に120度間隔で3個設けられ、外周部分に60度間隔で6個設けられ、全体で9個設けられている。尚、これらの個数は特に限定されるものではない。そして、上記各支持体収容部106内にそれぞれ1個の上記支持体108が収容されている。すなわち、上記1つの支持体収容部106とこれに収容される1つの支持体108とで1つの支持体ユニット114が形成され、ここでは全体で9つの支持体ユニット114が設けられることになる。
具体的には、上記支持体108は、図5にも示すように、ここでは直径が数mm、例えば3〜7mmの範囲内の球形状に形成されており、転動するようになっている。尚、支持体108の直径は上記値に限定されない。この球形の支持体108の材料としては、耐熱性の材料、例えば石英、窒化アルミニウム等のセラミック材料を用いることができ、更には金属汚染の恐れが少ない場合には、ニッケルやチタン等の金属も用いることができる。そして、前述したように、上記支持体108の上端に半導体ウエハWの下面を当接させてこれを支持するようになっている。従って、半導体ウエハWが熱伸縮しても、上記球形状の支持体108が転がることで半導体ウエハWの熱伸縮量を吸収できるようになっている。
また上記支持体収容部106の底面116は、曲面形状に成形されており、上記半導体ウエハWを支持体108から離間させた時にこの支持体108を元の位置、すなわち原点位置に自重で戻らせるようになっている。具体的には、この支持体収容部106の底面116は、その中央部が最も低い曲面形状に成形されており、この中央部が支持体108の元の位置(原点位置)となっている。上記したような支持体収容部106の底面116の曲面は、例えば上記支持体108よりも半径の大きな球の外殻の一部のように形成され、断面が円弧形状になされている。
この場合、上記支持体108が支持体収容部106の中央部である原点位置に位置する時に、上記保持体本体104の上面の水平レベルより上記支持体108が上方へ突出する長さL1は、数mm程度、例えば0.3〜2.0mm程度の範囲内に設定されている。この場合、上記断面円弧状の上記支持体収容部106の半径は、例えば3〜10mm程度に設定されている。
ここで、上記半導体ウエハWが熱伸縮する量は、先に説明したように0.1〜0.4mm程度の長さなので、この長さに対応する上記支持体108の回転角度は非常に僅かであり、支持体108が支持体収容部106の外へ転がり出ることはない。
<第1変形実施例>
尚、上記支持体収容部106の底面116の曲面形状は、上述のように断面円弧形状に限定される必要はなく、例えば図6に示す保持体構造の第1変形実施例に示すように、支持体収容部106の底面116を断面楕円弧状に形成してもよく、更には、支持体収容部106の中央部が最も低く(深く)形成されている曲面形状であって、半導体ウエハWを支持体108から離間させた時にこの支持体108が自重で元の位置に戻るような形状ならばどのような曲面形状でもよく、上述したような曲面形状に限定されない。
次に、以上のように、構成された処理システム12における概略的な動作の一部について説明する。まず、I/Oポート32に設置されたカセット容器(図示せず)からは、未処理の半導体ウエハWが第2の搬送機構34によりロードモジュール30内に取り込まれ、この取り込まれた半導体ウエハWはロードモジュール30の一端に設けたオリエンタ37へ搬送されて、ここで位置決め及び方向付けがなされる。上記半導体ウエハWは例えば板状のシリコン基板よりなる。
位置決め等がなされた半導体ウエハWは、上記第1の搬送機構34により再度搬送され、2つのロードロック装置20A、20Bの内のいずれか一方のロードロック装置内へ搬入される。このロードロック装置内が真空引きされた後に、予め真空引きされたトランスファチャンバ16内の第1の搬送機構24を用いて、上記ロードロック装置内の半導体ウエハWがトランスファチャンバ16内に取り込まれる。
そして、このトランスファチャンバ16内へ取り込まれた未処理の半導体ウエハは、第1の搬送機構24によって各処理装置14A〜14Dへ必要に応じて順次搬送され、各処理装置14A〜14D内においてそれぞれ所定の処理が施されることになる。例えば半導体ウエハWに対して、成膜処理やエッチング処理や酸化拡散処理等が施されることになる。ここで施された処理の態様によっては半導体ウエハWは例えば300〜700℃程度の高温状態になっている。
このようにして施すべき各種の処理が全て施されて処理済みとなった高温状態の半導体ウエハWは、第1の搬送機構24により2つのロードロック装置20A、20Bの内のいずれか一方のロードロック装置内へ搬入され、ここで安全温度である100℃前後まで冷却されることになる。この時に処理済みの半導体ウエハWを収容している真空状態のロードロック装置内は半導体ウエハの冷却と同時に大気圧復帰されている。そして、大気圧復帰後に、このロードロック装置内の半導体ウエハWは第2の搬送機構34を用いてロードモジュール30内へ取り込まれ、更に、I/Oポート32の処理済み半導体ウエハ用のカセット容器(図示せず)内へ収容されることになる。
ここで上記ロードロック装置内で行われる半導体ウエハWの冷却時の動作について、一方のロードロック装置20Aを例にとって説明する。尚、他方のロードロック装置20Bでも同様に冷却されるのは勿論である。まず、図2及び図3にも示すように、高温状態の処理済みの半導体ウエハWを冷却する時には、ロードロック装置20Aの保持体構造26Aに設けた冷却ジャケット112には冷媒が流されている。そして、リフタ機構74の昇降ピン76を昇降させることによって高温状態の半導体ウエハWを保持体本体104の上面に載置する。この時、半導体ウエハWの下面は、保持体本体104に9個設けた各支持体収容部106内に設置されている球形状の各支持体108の上端に当接し、これによって支持される。
そして、両側のゲートバルブGが閉じられた状態でこのロードロック用容器70内にN ガスを導入すると同時に、上記高温状態の半導体ウエハWは保持体本体104側より供給される冷熱によって徐々に冷却されることになる。すなわち、半導体ウエハWの温熱が輻射や熱伝導によって冷却状態の保持体本体104側へ供給されて半導体ウエハWが冷却されることになる。
この冷却によって半導体ウエハWは、熱収縮し、この熱収縮の方向は主として半導体ウエハWの中心方向へ向くことになり、図5(A)中では例えば矢印120の方向へ熱伸縮するものと仮定する。この熱伸縮の長さは、前述したように、半導体ウエハWの温度にもよるが、例えば0.1〜0.4mm程度である。この場合、図31に示すような従来の保持体構造の場合には、熱収縮時に半導体ウエハWの裏面と支持ピン80の上端とが擦れ合って半導体ウエハWの裏面にスクラッチや傷が発生したが、本発明の場合には、球形状の支持体108が図5(A)中の矢印122の方向へ僅かに転がることで上記半導体ウエハWの熱収縮を吸収することができる。この結果、半導体ウエハWの裏面と支持体108の表面とが擦れ合うことがなくなり、半導体ウエハWの裏面にスクラッチや傷等が付くことを抑制することができる。
そして、冷却が完了して、半導体ウエハWを搬出するために、この半導体ウエハWを昇降ピン76で持ち上げることによって半導体ウエハWを支持体108から離間させると、球形状の支持体108は、断面円弧形状に成形された支持体収容部106の底面116に沿って自重で転がって、元の位置、すなわち中央部の原点位置に戻ることになる。従って、半導体ウエハWを連続的に冷却して搬出しても、常に球形状の支持体108は元の位置に戻ることになり、上記した操作を連続的に行うことができる。
また、実際には、半導体ウエハWは、その中心方向に熱収縮するだけではなく、半導体ウエハWの温度分布によってはあらゆる方向へ熱収縮するが、この場合にもその熱収縮する方向へ球状の支持体108が転がることで熱収縮を吸収することができる。従って、この場合にも半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
また、上記説明では、処理済みの高温状態の半導体ウエハWを冷却する場合について説明したが、前述したように、スループットを上げるためにロードロック装置の保持体構造に加熱手段を設けておき、処理前の室温の半導体ウエハWをこの加熱手段である程度の温度まで予備加熱する場合がある。そして、上記した予備加熱を行う場合にも、上記実施例で説明した保持体構造を採用することで(この場合には熱源部110としてヒータ等の加熱手段を用いる)、半導体ウエハWが熱伸長しても、上述したような原理でこの半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
このように、本発明によれば、板状の被処理体である例えば半導体ウエハWを保持するための保持体構造において、被処理体の荷重を受けるための保持体本体104の上面に複数の凹部状の支持体収容部106を形成し、各支持体収容部内に収容されると共に上端が保持体本体の上面よりも上方へ突出して上端で被処理体の下面と当接して支持しつつ支持体収容部内で転動可能になされた支持体108を設けるようにしたので、半導体ウエハ等の被処理体を支持する際に、例えば冷却や加熱により被処理体に熱伸縮が生じてもこの被処理体の裏面(下面)にスクラッチや傷等が付くことを防止することができる。
<第2変形実施例>
次に、本発明の保持体構造の第2変形実施例について説明する。先の実施例においては、球形状の支持体108が、半導体ウエハWに帯電している静電気や僅かな衝撃等によって支持体収容部106の外側へ飛び出る恐れがあるが、これを防止するために飛び出し防止カバー部材を設けるようにしてもよい。図7はこのような本発明の保持体構造の第2変形実施例を示す図であり、図7(A)は支持体ユニットの部分を示す拡大断面図、図7(B)は平面図である。尚、図7中において、先の図1〜図6に示す構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図示するように、ここでは支持体収容部106の開口部には、これより水平方向中心に向けて延在させたリング状の飛び出し防止カバー部材124がネジ126等により取り付け固定されている。この飛び出し防止カバー部材124の開口の直径は、上記球形状の支持体108の直径よりも僅かに小さく設定されており、上記半導体ウエハWの熱伸縮時に支持体108の転動を規制しないような位置まで支持体108に接近させて設けている。具体的には、支持体108の直径が5mmと仮定すると、上記飛び出しカバー部材124の開口の直径は4.5mm程度である。ここでは支持体ユニット114は支持体収容部106及び支持体108に飛び出し防止カバー部材121を加えて構成されている。
尚、後述する各実施例における飛び出しカバー部材124の開口と球形状の支持体108の直径との関係は、全て上述のようになって支持体108の飛び出しを防止している。これによれば、球形状の支持体108が支持体収容部106より外側へ飛び出そうとしても、上記飛び出し防止カバー部材124により阻止されて支持体108が外側へ飛び出ることを防止することができる。
<第3変形実施例>
次に、本発明の保持体構造の第3変形実施例について説明する。先の実施例においては、支持体収容部106内へごみ等のパーティクルが入った場合、このパーティクルが底部116の最も低い(深い)部分に集中して貯って支持体108の転動を阻害する恐れがあるが、これを防止するために水平止め面を設けるようにしてもよい。図8はこのような本発明の保持体構造の第3変形実施例の支持体ユニットの部分を示す拡大断面図である。尚、図8中において、先の図1〜図7に示す構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
図示するように、ここでは支持体収容部106の底面116の周辺部に水平状態になされた水平止め面116Aを形成しており、この支持体収容部106に侵入したパーティクルを上記水平止め面116Aに止めるようにしている。そして、この水平止め面116Aの更に外周側に上記飛び出し防止カバー部材124をネジ126で止めるようになっている。これによれば、支持体収容部106内にパーティクルが侵入した場合に、このパーティクルを水平止め面116A上に止めて中央部にパーティクルが集中することを防止することができる。尚、この水平止め面116Aは、上記飛び出し防止カバー部材124を設けていない先の実施例にも適用することができるのは勿論である。
<第4変形実施例>
上記第2及び第3変形実施例では、飛び出し防止カバー部材124をネジ126により保持体本体104側へ固定するようにしたが、これに限定されない。すなわち、図9に本発明の保持体構造の第4変形実施例の支持体ユニットの部分を示す拡大断面図のように、保持体本体104の上面と側面とを一体的に覆う薄い表面カバー体128を設け、この表面カバー体128に、上記支持体収容部106に対応させて支持体108の上端部が表面より上方へ突出して露出するように開口130を設けるようにし、この表面カバー体128に上記飛び出し防止カバー部材124の機能を持たせるようにしてもよい。この表面カバー体128の材料としては、例えばアルミニウム、ステンレススチール、ニッケル、チタンなどの金属や石英ガラスなどのガラス材料や窒化アルミニウムなどのセラミックを用いるができる。
<第5変形実施例>
次に、本発明の保持体構造の第5変形実施例について説明する。先の第2及び第3変形実施例では飛び出し防止カバー部材124をネジ126により保持体本体104側へ固定すると共に、支持体収容部106を保持体本体104に直接的に形成していたが、これに限定されず、これらを支持体108と共に、保持体本体104へ着脱自在に設けるようにしてもよい。図10はこのような本発明の保持体構造の第5変形実施例の支持体ユニット114の部分を示す拡大断面図である。
図10(A)に示す場合には、上記飛び出し防止カバー部材124を、下端が開口された円筒体状に成形し、この円筒体状の飛び出し防止カバー部材124内に、上端に上記支持体収容部106が形成された挿入片132をねじ込むようにして挿入し、この支持体収容部106内に上記球形状の支持体108を収容するようにして支持体ユニット114を形成している。そして、保持体本体104には、上記筒体状の飛び出し防止カバー部材124を挿入できる大きさの収容穴134を形成し、この収容穴134内に上記支持体ユニット114を挿入するようになっている。また、図10(B)に示す場合には、上記飛び出し防止カバー部材124を収容穴134の上端の開口部に対応させて上記保持体本体104側に設ける。そして、挿入片132の外側表面に雄ネジを形成し、上記収容穴134の内側表面に雌ネジを形成する。この収容穴134は下方へ貫通されており、挿入片132の上端に上記支持体108を支持させた状態で収容穴134の下方より上記収容穴134内にねじ込むようにしてもよい。この図10に示す場合にも、上記した飛び出し防止カバー部材124の機能を発揮することができる。
<第6及び第7変形実施例>
次に本発明の保持体構造の第6及び第7変形実施例について説明する。先の各実施例では、支持体収容部106の底部116の形状を例えば断面円弧形状や断面楕円弧形状の曲面形状としたが、これに限定されず、熱伸縮方向に対して傾斜した傾斜面としたり、円錐形状としてもよい。図11はこのような本発明の保持体構造の第6変形実施例の支持体ユニットを示す図であり、図11(A)は拡大断面図、図11(B)は平面図である。図12はこのような本発明の保持体構造の第7変形実施例の支持体ユニットを示す図であり、図12(A)は拡大断面図、図12(B)は平面図である。尚、先に説明した実施例と同一構成部分については同一参照符号を付してその説明を省略する。
図11に示す第6変形実施例では、支持体収容部106の底面116は熱伸縮方向に対して傾斜している。ここでは、例えば水平方向に対して1〜10度程度傾斜した傾斜面136となっており、この傾斜面136の下端側が球形状の支持体108が転動して戻る元の位置(原点位置)となっている。従って、傾斜面136の上端側が保持体本体104の中心方向となっており、この中心方向に向けて上向き傾斜している。この実施例では、半導体ウエハWが矢印138に示す方向へ熱収縮すると、球形状の支持体108は上記傾斜面136を登るように転動して熱収縮量を吸収し、そして、半導体ウエハWが支持体108から離れると、この傾斜面136を下る方向へ転動して自重で元の位置に戻ることになる。
従って、この場合にも半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。尚、半導体ウエハWを予備加熱する場合には、半導体ウエハWの加熱により半導体ウエハWは伸長するので上記保持体本体104の底面116である傾斜面136の傾斜方向は上記の場合とは逆方向になり、保持体本体104の中心部側が下端部となり、周辺部側が上端部となる。この場合にも上述したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
また図12に示す第7変形実施例の場合には、支持体収容部106の底面116は傾斜している。ここでは、例えば水平方向に対して1〜10度程度傾斜した円錐面140となっており、この円錐面140の中心部は球形状の支持体108が転動して戻る元の位置(原点位置)となっている。従って、支持体108は円錐面140の中心部よりどの方向に向けても転動できるようになっている。この実施例では、半導体ウエハWが、仮に矢印138に示す方向へ熱収縮すると、球形状の支持体108は、中心部の原点位置より上記円錐面140を登るように転動して熱収縮量を吸収し、そして、半導体ウエハWが支持体108から離れると、この円錐面140を中心部の原点位置に向けて下る方向へ転動して自重で元の位置に戻ることになる。この場合、円錐面140は断面三角形状なので、球形状の支持体108は上述のように支持体収容106の中心部に位置しており、従って、球形状の支持体108は水平面内のすべての方向に対して転動して熱伸縮を吸収することができる。
<第8変形実施例>
次に本発明の保持体構造の第8変形実施例について説明する。先の各実施例では、支持体108の形状を球形状としたが、これに限定されず、これを円柱状に成形してもよい。図13はこのような本発明の保持体構造の第8変形実施例の支持体ユニットを示す図であり、図13(A)は拡大断面図、図13(B)は平面図である。尚、先に説明した実施例と同一構成部分については同一参照符号を付してその説明を省略する。
図13に示す第8変形実施例では、支持体108は先の球形状の支持体と同じ直径の円柱状となっている。そして、支持体収容部106の底面116は熱伸縮方向に対して傾斜している。ここでは、図11に示す場合と同様に、例えば水平方向に対して1〜10度程度傾斜した傾斜面136となっており、この傾斜面136の下端側が円柱状の支持体108が転動して戻る元の位置(原点位置)となっている。従って、傾斜面136の上端側が保持体本体104の中心方向となっており、この中心方向に向けて上向き傾斜している。この実施例では、半導体ウエハWが矢印138に示す方向へ熱収縮すると、円柱状の支持体108は上記傾斜面136を登るように転動して熱収縮量を吸収し、そして、半導体ウエハWが支持体108から離れると、この傾斜面136を下る方向へ転動して自重で元の位置に戻ることになる。
従って、この場合にも半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。尚、半導体ウエハWを予備加熱する場合には、半導体ウエハWの加熱により半導体ウエハWは伸長するので上記保持体本体104の底面116である傾斜面136の傾斜方向は上記の場合とは逆方向になり、保持体本体104の中心部側が下端部となり、周辺部側が上端部となる。この場合にも上述したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
<第9変形実施例>
次に本発明の保持体構造の第9変形実施例について説明する。先の各実施例では、支持体108の形状を球形状、或いは円柱状としたが、これに限定されず、支持体収容部の底面を平面として、半導体ウエハを支持体から離間させた時に自重で元の位置に復帰可能となるような形状にしてもよい。図14はこのような本発明の保持体構造の第9変形実施例の支持体ユニットを示す図であり、図14(A)は拡大断面図、図14(B)は平面図である。尚、先に説明した実施例と同一構成部分については同一参照符号を付してその説明を省略する。
図14に示す第9変形実施例では、支持体収容部106の底面116は、水平な平坦な面、すなわち平面142として形成されている。そして、支持体108の平面形状が円形になされると共に断面が略楕円形状になされており、外力によりいずれか一方に傾いても、外力が解除されると自重で元の水平状態に復元するように揺動可能な状態となっている。このような形状は、例えば凸レンズと同じ形状である。
この実施例では、半導体ウエハWが矢印138に示す方向へ熱収縮すると、断面が略楕円形状の支持体108は上記平面142上で揺動(傾斜)して熱収縮量を吸収し、そして、半導体ウエハWが支持体108から離れると、自重で元の位置、すなわち元の水平状態へ揺動して戻ることになる。
従って、この場合にも半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。尚、この実施例の場合には、半導体ウエハWを予備加熱する場合にも同じ構造で対応することができ、しかも、水平面内のあらゆる方向に対する熱伸縮を吸収することができる。この場合にも上述したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。また、先の図7に示す第2変形実施例から図10に示す第5変形実施例で説明した各実施形態を、上記図11に示す第6変形実施例から図14に示す第9変形実施例にも適用できるのは勿論である。
<第10及び第11変形実施例>
次に本発明の保持体構造の第10及び第11変形実施例について説明する。先の各実施例では、支持体収容部106内で支持体108は転動、或いは揺動可能となるように設けられていたが、これに限定されず、支持体108を回転軸で回転可能となるように支持するようにしてもよい。図15はこのような本発明の保持体構造の第10変形実施例の支持体ユニットを示す図であり、図15(A)は拡大断面図、図15(B)は平面図である。図16はこのような本発明の保持体構造の第11変形実施例の支持体ユニットを示す図であり、図16(A)は拡大断面図、図16(B)は平面図である。尚、先に説明した実施例と同一構成部分については同一参照符号を付してその説明を省略する。
図15に示す第10変形実施例では、支持体108は球形状に成形されており、図16に示す第11変形実施例では支持体108は円筒状に成形されている。これらの支持体108は、共に支持体収容部106内に、その上端を保持体本体104の上面の水平レベルより僅かに上方に突出させた状態になっており、その直径方向の両端より水平方向へ回転軸150が延びている。そして、この回転軸150の両端が保持体本体104に回転自在に支持されている。この場合、上記支持体108は、半導体ウエハWの熱伸縮方向(保持体本体104の中心方向、或いは支持される半導体ウエハWの中心方向)である矢印152に対して直交する方向で支持されている。
これらの実施例では、半導体ウエハWが矢印152に示す方向に熱伸縮すると、この球形状、或いは円柱状の支持体108が回転軸150の両端を支点として回転することで熱収縮量を吸収することができる。尚、上記説明では、球形状、或いは円柱状の支持体108を回転軸150に固定していたが、この回転軸150に替えて、両端が上記保持体本体104側に固定された固定軸を設け、この固定軸に上記支持体108を回転自在となるように取り付けるようにしてもよい。この場合にも、上述したと同様な作用効果を発揮することができる。
従って、この場合にも半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。尚、半導体ウエハWを予備加熱する場合には、半導体ウエハWの加熱により半導体ウエハWは伸長するので支持体108の回転方向は上記した方向とは逆方向になる。この場合にも上述したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
<本発明の保持体構造の検証実験>
次に、上述したような本発明の保持体構造の検証実験を行ったので、その評価結果について説明する。ここでの検証実験では、図7に示した第2変形実施例に示す保持体構造をロードロック装置に用いて行った。
この時の球形状の支持体108の直径は5mm、飛び出し防止カバー部材124の開口の直径は4.5mm、底面116の曲面の半径は10mmである。半導体ウエハWのサイズとしては直径が300mmのものを用い、内側3個、外側6個の合計9個の支持体ユニット114を設けてそれぞれ球形状の支持体108で支持させて、各支持体108との接触点を中心として4mm の領域のパーティクルや傷を走査型顕微鏡(SEM)で調べた。用いた半導体ウエハは何も処理をしていないシリコン基板、すなわちベア(Bare)シリコン基板と裏面側に僅かにTEOS膜(SiO 膜)が付着したものを用いた。この時に測定されたパーティクル数の値を図17に示す。
尚、パーティクルは直径80nm以上をカウントしている。図18は支持体と接触した半導体ウエハ裏面の状態の一例を示す電子顕微鏡写真である。尚、比較のために比較例として従来の支持ピン(図31参照)を用いた保持体構造についても検証を行った。
図17において測定1〜3は、内側3個の支持体の接触点の結果を示し、測定4〜8は、外側5個の支持体の接触点の結果を示す。尚、外側の支持体の1つについては、測定時に誤ってピンセットで上記支持体の接触点を挟み込んだため、測定が無効になってしまった。また、本発明の保持体構造では、6300枚搬送した後についても検討を行っている。図17に示すように、比較例の場合には各測定1〜8の全てにおいて数十個のパーティクルがカウントされて、多くのパーティクルが発生しているのが判る。
これに対して、本発明では、ベアシリコン基板の場合でも、裏面に軟らかくて傷付き易いTEOS膜が付着している場合にもパーティクルのカウント数は共にゼロであった。また、6300枚の半導体ウエハ搬送後においても、パーティクル数はゼロであり、半導体ウエハの裏面にパーティクルや傷がほとんど付かないことを確認することができた。
このような結果は、図18に示す電子顕微鏡写真からも明らかであり、比較例の場合には半導体ウエハの裏面に多数の黒点状の傷が付着しており(200μmのスケール)、これを拡大すると明らかに傷が付いているのが判った(20μmのスケール)。これに対して、本発明の場合には、半導体ウエハの裏面に全く傷が付いておらず(均一に黒色に見える)、本発明の保持体構造の有効性を確認することができた。
<ロードロック装置の保持体構造の保持体本体の変形例>
先のロードロック装置の保持体構造で用いた保持体本体は、単一の円板状のものを用いた場合を例にとって説明したが、これに限定されず、図19に示すように構成してもよい。図19は保持体構造の保持体本体の変形例を示す斜視図である。先に説明した実施例と同一構成部分については同一参照符号を付してその説明を省略する。
このロードロック装置の保持体構造に用いる保持体本体104は、水平方向に離間させて2つに分割された板状の保持体本体片104Aよりなり、これらの2つの保持体本体片104Aの上面側で半導体ウエハWの周縁部の下面を支持するようになっている。すなわち、2つの保持体本体片104Aの上面側に半導体ウエハWを掛け渡して保持するようになっている。上記各保持体本体片104Aは、同期して昇降される昇降ロッド80に取り付けられており、同時に昇降できるようになっている。尚、上記2つの昇降ロッド80を途中で連結して1つのアクチュエータで昇降させるようにしてもよい。
そして、上記各保持体本体片104Aの上面側に、それぞれ複数、図示例ではそれぞれ2つの支持体ユニット114を設け、この各支持体ユニット114の支持体108で半導体ウエハWの裏面を支持するようになっている。上記支持体ユニット114としては、先に図1乃至図16において説明した全ての支持体ユニットを適用することができる。この場合にも、先に説明したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
<処理装置への適用>
先の図1乃至図16に示す各実施例では、本発明の保持体構造を、半導体ウエハWを1枚ずつ搬送させる枚葉式のロードロック装置に適用する場合を例にとって説明したが、これに限定されず、この保持体構造を処理装置14A〜14Dに適用するようにしてもよい。この場合には、載置台22A〜22Dとして前述したような保持体構造が用いられることになる。また、保持体本体104には、必要に応じて熱源部110として加熱手段44が設けられる。この場合にも、半導体ウエハWの冷却時に、半導体ウエハWに熱伸縮が生じても、半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
<搬送機構への適用>
先の図1乃至図16に示す各実施例では、本発明の保持体構造を、半導体ウエハWを1枚ずつ搬送させる枚葉式のロードロック装置に適用する場合を例にとって説明したが、これに限定されず、この保持体構造を搬送機構24、34に適用するようにしてもよい。
図20は、本発明の保持体構造をトランスファチャンバ16(図1参照)内に設けられた第1の搬送機構24に適用した時の状態を示す概略平面図である。この場合には、アーム部25の先端に取り付けた両ピック25A、25Bとして前述したような保持体構造がそれぞれ用いられることになる。すなわち、保持体構造の保持体本体104が薄い二股形状の上記ピック形状に成形されており、この表面に前述した支持体108等を有する支持体ユニット114が設けられる。
ここでは支持体ユニット114は、ピックの付け根部分と両先端部とに合計3個設けられ、この3個の支持体ユニット114で半導体ウエハWを支持することになる。この個数は特に限定されず、更に多くの支持体ユニット114を設けるようにしてもよい。
また、ここでは第1の搬送機構24を例にとって説明しているが、本発明の保持体構造を第2の搬送機構34にも同様に適用することができるのは勿論である。この実施例の場合には、熱伸縮に関係なく、半導体ウエハWの裏面にスクラッチ等の傷が付くことを防止することができる。
また上記説明では、上記ピック25A、25Bとして、いわゆる2股形状のピックを例にとって説明したが、これに限定されず、どのような形状のピックについても適用することができる。例えば図21はピック形状の第1変形例を示す図である。図21には断面図と平面図を併記してある。上記保持体本体104となるこのピック25A(104)は、板状のベース板202を有しており、このベース板202上に、半導体ウエハWの直径以上の距離が隔てられた一対の円弧状になされた基板保持部品204が設けられている。この基板保持部品204は、上記ベース板202上に互いに接近及び離間可能に支持されている。
図21(A)の場合には、一方(左側)の基板保持部品204が、ベース板202の長さ方向に沿ってスライド移動できるようになっている。そして、これらの一対の基板保持部品204は、段部204Aを形成するように断面L字状に成形されており、上記段部204Aが互いに対向するように配置されている。そして、上記段部204A上に、上記半導体ウエハWの周辺部の下面を当接させて、これを支持するようになっている。
そして、上記段部204Aの両端側の上面に前述した支持体108等を有する支持体ユニット114が設けられる。従って、ここでは合計4つの支持体ユニット114が設けられることになるが、この数は特に限定されない。図21(A)は、半導体ウエハWを挟持する前の状態を示し、図21(B)は半導体ウエハWを基板保持部品で挟持している状態を示している。
上記支持体ユニット104を設けていない従来のピック形状の場合には、半導体ウエハWを挟持する際に、半導体ウエハWの裏面と基板保持部品204の段部204Aの上面との間で摩擦が生じて半導体ウエハの裏面にスクラッチや傷等が生ずる恐れがあった。しかし、上述のように支持体ユニット104を設けることによって挟み込み時に支持体ユニット104の支持体108が転動、或いは揺動することになり、結果的に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
また図22はピック形状の第2変形例を示す図である。図22(A)は半導体ウエハWを挟持する前の状態を示し、図22(B)は半導体ウエハWを基板保持部品で挟持している状態を示している。ここでは、上記一対の基板保持部品204は、先の段部204Aを設けておらず、単なる円弧形状の枠組みとして形成されている。そして、前述した支持体108等を有する支持体ユニット114は、上記ベース板202の上面であって上記一対の支持体ユニット114間に直接的に設けられる。図22に示す場合にも一方(左側)の基板保持部品204がベース板202の長さ方向に沿ってスライド移動できるようになっている。
この第2変形例のピックの場合も、上記第1変形例のピックと同様な作用効果を発揮することができる。尚、図21及び図22において、他方(右側)の基板保持部品204がスライド移動できるようにしてもよいし、或いは両方の基板保持部品204が互いに接近、或いは離間するようにスライド移動可能に設けるようにしてもよい。また、図21及び図22において、他方のピック25Bも上記ピック25Aと同様に構成されているのは勿論である。更に上記支持体ユニット114としては、先に説明した全ての支持体ユニット114を適用できるのは勿論である。
<複数枚用のロードロック装置への適用>
先の図1乃至図16に示す各実施例では、本発明の保持体構造を、半導体ウエハWを1枚ずつ搬送させる枚葉式のロードロック装置に適用する場合を例にとって説明したが、これに限定されず、この保持体構造を一度に複数枚の半導体ウエハを冷却できるロードロック装置に適用するようにしてもよい。このような複数枚用のロードロック装置は、処理装置として一度に複数枚の半導体ウエハを同時に処理できる処理装置を用いた場合に有効である。
図23は本発明の保持体構造を適用した複数枚用のロードロック装置を示す縦断面図、図24は被処理体を支持する支持手段の一部を示す拡大部分断面図、図25は支持手段の支持部の一例を示す平面図である。尚、図1乃至図16に示す構成部分と同一構成部分については同一参照符号を付してある。
図示するように、このロードロック装置160は、縦長に成形されたロードロック用容器70を有している。このロードロック用容器70は、例えばアルミニウム合金やステンレススチール等の金属により箱状に形成されている。このロードロック用容器70の一側の中段には半導体ウエハWを搬出入するための真空側搬出入口162が設けられており、この真空側搬出入口162には、ゲートバルブGを介して上記トランスファチャンバ16が連結されている。また、上記ロードロック用容器70の他側の中段には上記真空側搬出入口162に対向する位置に半導体ウエハWを搬出入するための大気側搬出入口164が設けられており、この大気側搬出入口164には、ゲートバルブGを介して上記ロードモジュール30が連結されている。
そして、このロードロック用容器70の底部70Aには排気口94が設けられており、この排気口94にはこのロードロック用容器70内の雰囲気を真空引きする排気手段96が設けられる。具体的には、この排気手段96は、上記排気口94に接続されたガス通路98を有しており、このガス通路98には、開閉弁100及び真空ポンプ102が順次介設されている。
そして、このロードロック用容器70内には、複数枚の被処理体である半導体ウエハWを複数段に亘って支持する支持部166を有する支持手段168が設けられている。そして、この支持部166として先に説明した保持体構造が適用されることになる。上記支持手段168は、図25にも示すように起立した複数本、ここでは四角形状に配置された4本の支柱170A、170B、170C、170Dを有している。そして、これらの4本の支柱170A〜170Dの上端部は天板172に一体的に連結されており、また下端部は底板174に一体的に連結されている。そして、この支柱170A〜170Dは、支柱170A、170Bと支柱170C、170Dとの2つのグループに分かれており、上記2つのグループの支柱170A、170Bと支柱170C、170Dとの間の距離は、この間に半導体ウエハWを挿入できるように半導体ウエハWの直径よりも僅かに大きな距離に設定されている。
そして、上記支柱170A〜170Dに、その長手方向に沿って本発明の保持体構造を用いた上記支持部166が所定のピッチで複数段、すなわち4段に亘って取り付けられており、ここに4枚の半導体ウエハを保持できるようになっている。ここで、上記支持部166は、対向されて配置された一対の棚部材176A、176Bよりなり、この一対の棚部材176A、176Bの内の一方の棚部材176Aを上記一方の2本の支柱170A、170Bに掛け渡すようにして水平に取り付け固定し、他方の棚部材176Bを他方の2本の支柱170C、170Dに掛け渡すようにして水平の取り付け固定している。ここで上記一対の棚部材176A、176Bにより、本発明の保持体構造の保持体本体104を構成することになる。
そして、この棚部材176A、176Bの対向面側は半導体ウエハWの周囲に沿った円弧形状に形成されており、この棚部材176A、176Bの上面側に、上記半導体ウエハWを載置することにより、半導体ウエハWを支持し得るようになっている。具体的には、保持体本体104を構成する一対の棚部材176A、176Bの両端側に、それぞれ支持体108等を有する先の支持体ユニット114を設けるようにし、合計4つの支持体ユニット114を設置している。従って、これらの合計4つの支持体ユニット114の支持体108の上端部で半導体ウエハWの裏面を当接させて、これを支持するようになっている。
尚、設ける支持体ユニット114の個数はこれに限定されず、更に増加させるようにしてもよい。上記支持部166が設けられる所定のピッチは、半導体ウエハWを保持した各搬送機構24、34の各ピック25A、25B及び各ピック35A、35Bが侵入できるように、例えば10〜30mmの範囲内に設定されている。
この場合、図25においては、支柱170A、170Bと支柱170C、170Dとの間に、上記各ピック25A、25B、35A、35Bが侵入することになり、矢印178に示す方向が搬出入方向となる。ここで上記支持手段168は、セラミック材、石英、金属及び耐熱性樹脂よりなる群より選択される1以上の材料により形成される。具体的には、上記支柱170A〜170B、天板172、底板174は、アルミニウム合金等の金属で作るのが好ましく、半導体ウエハWの荷重を支持する支持部166は石英やセラミック材等の耐熱部材で作るのが好ましい。
そして、上記支持手段168に、大気圧復帰用のガスを冷却ガスとして噴射するために上記支持部166に対応させて設けられたガス噴射孔180を有するガス導入手段182が設けられる。具体的には、上記ガス導入手段182は、上記支持手段168に形成されたガス導入通路184を有している。ここでは上記4本の各支柱170A〜170D内にその長手方向に沿ってガス導入通路184がそれぞれ形成されており、各ガス導入通路184からは上記支持部166である各棚部材176A、176B内を貫通するようにガスノズル186が水平方向に向けて形成されている。
従って、このガスノズル186の先端が上記ガス噴射孔180となっている。これにより、各支持部166に対応させて冷却ガスを水平方向に向けて噴射できるようになっている。従って、ここでは1枚の半導体ウエハWに対して4つのガス噴射孔180から噴射した冷却ガスで冷却するようになっている。尚、この1枚の半導体ウエハWに対するガス噴射孔180の数は4個に限定されず、それよりも少なくしてもよいし、或いは多くしてもよい。
また上記底板174には、2本の上記ガス導入通路184が通っており、4本のガス導入通路184は1本にまとめられてロードロック用容器70の底部70Aを気密に貫通して外部へ引き出されている。またロードロック用容器70内に位置するガス導入通路184の一部には伸縮可能になされた蛇腹部184Aが設けられており、上記支持手段168の昇降に応じて蛇腹部184Aが追従して伸縮できるようになっている。
また、このガス導入通路184の途中には、開閉弁90が介設されており、大気圧復帰用のガスを冷却ガスとして必要に応じて供給できるようになっている。この大気圧復帰用のガス(冷却ガス)としては、Heガス、Arガス等の希ガスやN ガス等の不活性ガスを用いることができ、ここではN ガスを用いている。この場合、冷却ガスの温度が過度に低いと高温状態の半導体ウエハが急激に冷却されて破損等する恐れがあるので、冷却ガスの温度は冷却すべき半導体ウエハ温度に応じて設定し、例えば冷却ガスの温度は室温程度で十分である。
そして、上述のように形成された上記支持手段168の底板174は、昇降台188上に設置されており、この支持手段168を上下方向へ昇降できるようになっている。具体的には、上記昇降台188は、ロードロック用容器70の底部70Aに形成した貫通孔190に挿通された昇降ロッド192の上端部に取り付けられている。この昇降ロッド192の下端部にはアクチュエータ194が取り付けられており、この昇降ロッド192を上下方向へ昇降できるようになっている。
この場合、このアクチュエータ194は、上記昇降台188を上下方向の任意の位置の上記支持部166が搬送機構のピックの水平レベルの位置に対応させて多段階に停止することができるようになっている。また昇降ロッド192の貫通孔190の部分には、伸縮可能になされた金属製のベローズ196が取り付けられており、ロードロック用容器70内の気密性を維持しつつ昇降ロッド192を上下動できるようになっている。
このようなロードロック装置160の動作は次のようになる。まず、ピックに保持された半導体ウエハWを支持手段168の支持部166上に移載させるには、半導体ウエハWを保持しているピックを、支持させる対象の支持部166の上方に挿入し、この状態でアクチュエータ194を駆動することにより、支持手段168の全体を所定の距離だけ上昇させ、これによりピックに保持されていた半導体ウエハWは支持部166上に受け渡されて支持される。そして、ピックを抜き出すことにより移載が完了する。
上記とは逆に、支持部166上に支持されてた半導体ウエハWをピックに移載させるには、空のピックを移載の対象となっている半導体ウエハWを支持している支持部166の下方に挿入し、この状態でアクチュエータ194を駆動することにより支持手段168の全体を所定の距離だけ降下させる。これにより支持部166に支持されていた半導体ウエハWはピック上に受け渡されて保持される。そして、半導体ウエハWが保持されているピックを抜き出すことにより移載が完了する。
具体的には、まず処理済みの高温状態の半導体ウエハWは、予め真空状態になされたロードロック用容器70内の支持手段168の各支持部166にトランスファチャンバ16側の第1の搬送機構24を用いて前述のように多段に支持される。この際、半導体ウエハWの裏面は支持部166を構成する保持体構造の各支持体108に当接し、これによって支持される。
そして、トランスファチャンバ16側のゲートバルブGを閉じることにより、このロードロック用容器70内を密閉する。次に、ガス導入手段182の開閉弁90を開いて大気圧復帰ガスと冷却ガスとを兼用するN ガスを所定の流量で導入する。この導入されたN ガスは、支持手段168の各支柱170A〜170Dに形成した各ガス導入通路184内を流れ、更にこのガス導入通路184に連通された各ノズル186の先端である各ガス噴射孔180から水平方向に向けて噴射されて半導体ウエハWの裏面に当たることになる。
この結果、このガス噴射孔180は、各支持部166に対応させて設けてあることから、この各支持部166に支持されている4枚の半導体ウエハWは噴射されたN ガスにより略同時に冷却されることになる。この場合、一枚の半導体ウエハWについて4つのガス噴射孔180から噴射されるN ガスにより冷却されるので、半導体ウエハWを効率的に冷却することができる。
この場合にも、半導体ウエハWは支持部166を構成する保持体構造の支持体108上に当接して支持されているので、半導体ウエハWの冷却時に、半導体ウエハWの熱伸縮が生じても、半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
尚、上記図23乃至図25に示す実施例にあっては、半導体ウエハWを支持する支持部166として棚部材176A、176Bを2本の支柱170A、170B間、或いは支柱170C、170D間に掛け渡すようにそれぞれ設けたが、これに限定されず、各支柱170A〜170Dに対して個別にピン部材を設けるようにしてもよい。図26はこのようなロードロック装置の変形実施例の支持手段の断面を示す拡大図である。尚、図26において、図23乃至図25にて説明した構成部分と同一構成部分については同一参照符号を付してある。
上述したように、ここでは支持手段168の各支柱170A〜170Dに対して、支持部166として個別にピン部材200A、200B、200C、200Dを水平方向に向けて設けている。この4つのピン部材200A〜200Dで、1つの保持体本体104を構成することになり、各ピン部材200A〜200Dにそれぞれ支持体108等を有する支持体ユニット114が設けられる。
そして、このピン部材200A〜200Dに設けた支持体108上に半導体ウエハWの裏面を当接させて、これを支持するようになっている。この場合、上記ピン部材200A〜200Dの材料として上記棚部材176A、176Bと同じ材料を用いることができる。そして、このピン部材200A〜200Dに、上記ガス導入通路184に連通させて図25において示したものと同じ構造のノズル186及びガス噴射孔180をそれぞれ形成して大気圧復帰用ガスと冷却ガスとを兼用する不活性ガスとして、例えばN ガスを噴射するようになっている。この変形実施例の場合にも、先の実施例と同様な作用効果を発揮することができる。
<保持体構造のリフタ機構への適用>
次に、前述のように形成された保持体構造をリフタ機構へ適用した場合について説明する。上記保持体構造は図2に示すロードロック装置20A(20B)のリフタ機構74や処理装置14A(14B〜14D)のリフタ機構46等へ適用することができる。図27は本発明の保持体構造が適用されたリフタ機構の一例を示す図、図28は図27に示すリフタ機構の動作を説明するための動作説明図である。図27(A)はリフタ機構の斜視図、図27(B)はリフタ機構の昇降ピンの拡大断面図である。
一般に、リフタ機構にあっては、半導体ウエハの裏面を3本の昇降ピンで支持して持ち上げたり、持ち下げたりするが、半導体ウエハの荷重等により全体が撓んで3本の昇降ピンの先端が同一水平レベル上に位置せずに、高さ方向に高低差が生ずる場合がある。このような場合、半導体ウエハを載置する載置台22Aや保持体本体10(図2参照)に対して半導体ウエハを移載する際に、半導体ウエハの裏面に対して3本の昇降ピンの先端が当接するタイミングが僅かにズレて半導体ウエハが一時的に傾斜するので、昇降ピンの先端が半導体ウエハの裏面に対して僅かにスリップする現象が生ずる場合がある。このスリップは、前述したようにパーティクル等の発生の原因となるので好ましくない。
そこで、本発明では先に説明した保持体構造をリフタ機構に適用している。上記保持体構造は全ての処理装置のリフタ機構に適用できるが、ここでは、一例として本発明の保持体構造を処理装置14Aのリフタ機構46へ適用した場合を例にとって説明する。図27に示すように、リフタ機構46(図2参照)は円弧状に形成された昇降板50の上面側に3本の昇降ピン48を設け、この全体をアクチュエータに連結される昇降ロッド51で昇降させるようにしている。そして、このリフタ機構46へ本発明の保持体構造26Cとして適用する場合には、上記昇降板50と、この上面に設けられる3本の昇降ピン48とで保持体本体104を構成して半導体ウエハWの荷重を受けるようになっている。
そして、図27(B)に示すように、各昇降ピン48の上端部に、支持体収容部106、球形状の支持体108及び飛び出し防止カバー部材124を有する支持体ユニット114を設けるようにする。この支持体ユニット114は、特に図10において説明したし自体ユニットに類似している。
このように構成することにより、リフタ機構46に適用された保持体構造26Cを動作させて例えば載置台22A(図2参照)に対して半導体ウエハWを移載する場合、図28に示すように半導体ウエハW自体の荷重等に起因して昇降板50等が撓んで各昇降ピン48の上端が同一水平レベル上に位置しなくなる場合が生じ、昇降ピン48の先端が半導体ウエハWの裏面に対してスリップが生ずる危惧が発生する。
しかし、本発明においては、各昇降ピン48の先端部に支持体ユニット114を設けてあるので、この支持体ユニット114の球形状の支持体108が回転することで上記スリップの発生を未然に防止することができる。この支持体108が転動する距離は僅か数十μm程度であるが、この場合にも、先に説明したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
<保持体構造のセミバッチ型の処理装置の載置台への適用>
次に、前述のように形成された保持体構造を処理装置内の載置台に適用した場合について説明する。ここでは処理装置としては、半導体ウエハを1枚ずつ処理する、いわゆる枚葉式の処理装置ではなく、一度に2〜10枚程度の半導体ウエハを処理する、いわゆるセミバッチ式の処理装置に適用される。
このバッチ式の処理装置の基本構造は、図2に示すような処理装置14Aとほぼ同じであり、処理容器40の他にガス供給手段58、排気手段62、リフタ機構46及び加熱手段44を有しており、異なる点は、半導体ウエハを1枚載置する大きさの載置台22Aではなく、複数枚の半導体ウエハを載置できるような大きさの載置台を用いており、これを回転しながら半導体ウエハに対して処理を施すようになっている。
図29は、上述したように本発明の保持体構造が適用されたセミバッチ式の処理装置の載置台を示す斜視図、図30は図29に示す処理装置の載置台の一部を示す部分拡大断面図である。図示するように、上記セミバッチ式の処理装置の載置台210は、半導体ウエハWを複数枚、図示例では4枚載置できる大きさで円板状に成形されている。この載置台210は、図示しない回転モータに連結された回転軸212により所定の速度で回転できるようになっている。この載置台210の上面に、その周辺部に沿って等間隔で載置スペース214が確保されており、この各載置スペース214に上記半導体ウエハWを載置できるようになっている。
そして、各載置スペース214の外周側には、図30(A)に示すように、半導体ウエハWが遠心力で外方へ飛び出さないようにするための半導体ウエハ止め216が設けられている。この場合、図30(B)に示すように、上記載置スペース214を半導体ウエハWの大きさよりも少し大きな凹部218として形成し、この凹部218の段部を半導体ウエハ止め216として形成するようにした載置台もある。
そして、このように構成された載置台210に対して本発明の保持体構造26Dを適用する場合には、上記載置台210が保持体本体104として構成されることになる。そして、保持体本体104として構成された上記載置台210の各載置スペース214の上面に、図30に示すように複数の支持体ユニット114を設け、この上に半導体ウエハWを載置する。この場合、各載置スペース214上の支持体ユニット114の数は、例えば先に説明したように9個設ける。この支持体ユニット114としては、先に図3乃至図13を参照して説明した全ての支持体ユニット114を適用することができ、例えばこの支持体ユニット114を支持体収容部106と支持体108とで構成するようにしてもよいし、これに飛び出し防止カバー部材124を加えて構成するようにしてもよい。
このような構成において、載置台210が回転することによって、載置台スペース214上に載置されていた半導体ウエハWが遠心力によって半径方向外方へ僅かに横滑りすることになり、この半導体ウエハWは半導体ウエハ止め216により受け止められることになる。
この半導体ウエハWの横滑りの際、前述したように従来の載置台にあっては、半導体ウエハWの下面にスリップや傷等が付く危惧があったが、本発明の場合には、支持体ユニット114を設けてあるので、この支持体ユニット114の球形状の支持体108が回転することで上記スリップの発生を未然に防止することができる。この場合にも、先に説明したと同様に半導体ウエハWの裏面にスクラッチや傷等が付くことを防止することができる。
尚、上記各実施例では被処理体として半導体ウエハを例にとって説明したが、これに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
12 処理システム
14A〜14D 処理装置
16 トランスファチャンバ
20A,20B ロードロック装置
22A〜22D 載置台(保持体構造)
24 第1の搬送機構
25A,25B ピック
26A,26B 保持体構造
30 ロードモジュール
34 第2の搬送機構
35A,35B ピック
40 処理容器
44 加熱手段
46 リフタ機構
58 ガス供給手段
62 排気手段
70 ロードロック用容器
74 リフタ機構
92 ガス導入通路
96 排気手段
104 保持体本体
106 支持体収容部
108 支持体
110 熱源部
112 冷却ジャケット
114 支持体ユニット
116A 水平止め面
124 飛び出し防止カバー部材
166 支持部(保持体構造)
168 支持手段
170A〜170D 支柱
182 ガス導入手段
184 ガス導入通路
W 半導体ウエハ(被処理体)

Claims (27)

  1. 板状の被処理体を保持するための保持体構造において、
    前記被処理体の荷重を受けるための保持体本体と、
    前記保持体本体の上面に形成された複数の凹部状の支持体収容部と、
    前記各支持体収容部内に収容されると共に上端が前記保持体本体の上面よりも上方へ突出して前記上端で前記被処理体の下面と当接して支持しつつ前記支持体収容部内で転動可能になされた支持体と、
    を備えたことを特徴とする保持体構造。
  2. 前記支持体は、球形状に形成されていることを特徴とする請求項1記載の保持体構造。
  3. 前記支持体収容部の底面は、前記被処理体を前記支持体から離間させた時に前記支持体を元の位置に戻らせるように曲面形状になされていることを特徴とする請求項2記載の保持体構造。
  4. 前記曲面形状は、球形状、円錐形状及び楕円弧形状の内のいずれか1つであることを特徴とする請求項3記載の保持体構造。
  5. 前記曲面形状は、中央部が最も低い形状になされていることを特徴とする請求項3又は4記載の保持体構造。
  6. 前記支持体収容部の底面の周辺部には、前記支持体収容部内に侵入するパーティクルを止めるための水平止め面が形成されていることを特徴とする請求項5記載の保持体構造。
  7. 前記支持体収容部の底面は、前記被処理体を前記支持体から離間させた時に前記支持体を元の位置に戻らせるように前記被処理体の熱伸縮方向に対して傾斜させて設けられていることを特徴とする請求項1又は2記載の保持体構造。
  8. 前記支持体は、円柱状に形成されていることを特徴とする請求項1記載の保持体構造。
  9. 前記支持体収容部の底面は、前記被処理体を前記支持体から離間させた時に前記支持体を元の位置に戻らせるように前記被処理体の熱伸縮方向に対して傾斜させて設けられていることを特徴とする請求項8記載の保持体構造。
  10. 板状の被処理体を保持するための保持体構造において、
    前記被処理体の荷重を受けるための保持体本体と、
    前記保持体本体の上面に形成された複数の凹部状の支持体収容部と、
    前記各支持体収容部内に収容されると共に上端が前記保持体本体の上面よりも上方へ突出して前記上端で前記被処理体の下面と当接して支持しつつ前記支持体収容部内で揺動可能になされた支持体と、
    を備えたことを特徴とする保持体構造。
  11. 前記支持体収容部の底面は、平面になされており、前記支持体は、前記被処理体を前記支持体から離間させた時に自重で元の位置に復元可能な形状になされていることを特徴とする請求項10記載の保持体構造。
  12. 前記復元可能な形状は、楕円弧形状であることを特徴とする請求項11記載の保持体構造。
  13. 前記支持体収容部の上方には、前記支持体が飛び出ることを防止するための飛び出し防止カバー部材が設けられていることを特徴とする請求項1乃至12のいずれか一項に記載の保持体構造。
  14. 板状の被処理体を保持するための保持体構造において、
    前記被処理体の荷重を受けるための保持体本体と、
    前記保持体本体の上面に形成された複数の凹部状の支持体収容部と、
    前記各支持体収容部内に収容されると共に上端が前記保持体本体の上面よりも上方へ突出して前記上端で前記被処理体の下面と当接して支持しつつ前記支持体収容部内で回転可能に支持された支持体と、
    を備えたことを特徴とする保持体構造。
  15. 前記支持体は、前記被処理体の熱伸縮方向に対して直交する方向で支持されていることを特徴とする請求項14記載の保持体構造。
  16. 前記保持体本体は、
    アクチュエータにより昇降可能になされた昇降板と、
    前記昇降板の上面側に設けられる複数の昇降ピンとよりなり、
    前記支持体収容部は、前記各昇降ピンの上端部に設けられていることを特徴とする請求項1乃至15のいずれか一項に記載の保持体構造。
  17. 前記保持体本体は、
    前記被処理体を複数枚同時に載置できるようになされていると共に回転可能になされていることを特徴とする請求項1乃至15のいずれか一項に記載の保持体構造。
  18. 真空室と大気室との間にゲートバルブを介して連結されると共に真空雰囲気と大気圧雰囲気とを選択的に実現することができるロードロック装置において、
    真空引き及び大気圧復帰が可能になされたロードロック用容器と、
    前記ロードロック用容器内に設けられた請求項1乃至15のいずれか一項に記載の保持体構造と、
    前記被処理体を加熱及び/又は冷却する熱源部と、
    前記被処理体を保持体本体上に降下及び保持体本体上から離間させるリフタ機構と、
    前記ロードロック用容器内の雰囲気を真空引きする排気手段と、
    を備えたことを特徴とするロードロック装置。
  19. 真空室と大気室との間にゲートバルブを介して連結されると共に真空雰囲気と大気圧雰囲気とを選択的に実現することができるロードロック装置において、
    ロードロック用容器と、
    前記ロードロック用容器内に設けられて複数枚の被処理体を複数段に亘って支持するために請求項1乃至15のいずれか一項に記載の保持体構造を複数個有する支持手段と、
    大気圧復帰用のガスを冷却ガスとして噴射するために前記保持体構造に対応させて設けられたガス噴射孔を有するガス導入手段と、
    前記ロードロック用容器内の雰囲気を真空引きする排気手段と、
    を備えたことを特徴とするロードロック装置。
  20. 前記支持手段は、起立した複数本の支柱を有しており、前記支柱に前記保持体構造が所定のピッチで設けられていることを特徴とする請求項19記載のロードロック装置。
  21. 前記ガス導入手段は、前記支持手段に形成されたガス導入路を有することを特徴とする請求項19又は20記載のロードロック装置。
  22. 前記支持手段は、昇降可能になされた昇降台上に設置されていることを特徴とする請求項19乃至21のいずれか一項に記載のロードロック装置。
  23. 被処理体に所定の処理を施すための処理装置において、
    前記被処理体を収容する処理容器と、
    前記処理容器内に設けられた請求項1乃至15及び17のいずれか一項に記載の保持体構造と、
    前記被処理体を加熱する加熱手段と、
    前記被処理体を保持体本体上に降下及び保持体本体上から離間させるリフタ機構と、
    前記処理容器内へ必要なガスを供給するガス供給手段と、
    前記処理容器内の雰囲気を排気する排気手段と、
    を備えたことを特徴とする処理装置。
  24. 前記リフタ機構は、請求項16記載の保持体構造よりなることを特徴とする請求項18記載のロードロック装置。
  25. 前記リフタ機構は、請求項16記載の保持体構造よりなることを特徴とする請求項23記載のロードロック装置。
  26. 被処理体を搬送するための搬送機構において、
    屈伸及び旋回可能になされたアーム部と、
    前記アーム部の先端に設けられた請求項1乃至15のいずれか一項に記載の保持体構造と、
    を備えたことを特徴とする搬送機構。
  27. 前記アーム部は、前記被処理体の周縁部を把持する把持部品を有し、前記把持部品が移動して、前記被処理体を把持させることを特徴とする請求項26記載の搬送機構。
JP2010159193A 2010-01-14 2010-07-13 保持体機構、ロードロック装置、処理装置及び搬送機構 Active JP5549441B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010159193A JP5549441B2 (ja) 2010-01-14 2010-07-13 保持体機構、ロードロック装置、処理装置及び搬送機構
TW100101258A TW201145442A (en) 2010-01-14 2011-01-13 Support structure, load lock apparatus, processing apparatus and transfer mechanism
KR1020110003785A KR101274897B1 (ko) 2010-01-14 2011-01-14 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구
US13/006,787 US20110168330A1 (en) 2010-01-14 2011-01-14 Support structure, load lock apparatus, processing apparatus and transfer mechanism
CN201110021902.XA CN102163573B (zh) 2010-01-14 2011-01-14 支承体机构、负载锁定装置、处理装置及搬送机构

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010006030 2010-01-14
JP2010006030 2010-01-14
JP2010159193A JP5549441B2 (ja) 2010-01-14 2010-07-13 保持体機構、ロードロック装置、処理装置及び搬送機構

Publications (2)

Publication Number Publication Date
JP2011166107A true JP2011166107A (ja) 2011-08-25
JP5549441B2 JP5549441B2 (ja) 2014-07-16

Family

ID=44257602

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010159193A Active JP5549441B2 (ja) 2010-01-14 2010-07-13 保持体機構、ロードロック装置、処理装置及び搬送機構

Country Status (5)

Country Link
US (1) US20110168330A1 (ja)
JP (1) JP5549441B2 (ja)
KR (1) KR101274897B1 (ja)
CN (1) CN102163573B (ja)
TW (1) TW201145442A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015515742A (ja) * 2012-02-29 2015-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロック構成内の除害・剥離処理チャンバ
KR20150100559A (ko) * 2014-02-25 2015-09-02 도쿄엘렉트론가부시키가이샤 회전 테이블을 이용하는 기판 처리 장치
WO2016117589A1 (ja) * 2015-01-22 2016-07-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びサセプタ
KR20210064077A (ko) * 2019-11-25 2021-06-02 가부시키가이샤 스크린 홀딩스 기판 지지 장치, 열처리 장치, 기판 지지 방법, 열처리 방법

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
DE102011007632B3 (de) * 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140087073A1 (en) * 2012-09-24 2014-03-27 Igor Constantin Ivanov Equipment and method of manufacturing for liquid processing in a controlled atmospheric ambient
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101526505B1 (ko) * 2013-06-20 2015-06-09 피에스케이 주식회사 냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103586230A (zh) * 2013-11-13 2014-02-19 上海华力微电子有限公司 单片清洗装置及其应用方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571329A (zh) * 2015-10-12 2017-04-19 沈阳拓荆科技有限公司 一种晶圆基板支架结构
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN107275251B (zh) * 2016-04-08 2020-10-16 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108247661B (zh) * 2016-12-28 2022-08-30 林彦全 连接吸嘴的组装结构
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US12027407B2 (en) * 2017-07-19 2024-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110459496B (zh) * 2019-08-27 2021-12-07 上海华力集成电路制造有限公司 激光退火机台的晶圆传送装置及其操作方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758039A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
JP2000007146A (ja) * 1998-06-18 2000-01-11 Olympus Optical Co Ltd ガラス基板保持具
JP2003124300A (ja) * 2001-10-15 2003-04-25 Tadamoto Tamai クランプ装置及び伸縮アーム
JP2006005177A (ja) * 2004-06-17 2006-01-05 Tokyo Electron Ltd 熱処理装置
JP2006216982A (ja) * 1995-12-12 2006-08-17 Tokyo Electron Ltd 半導体処理装置
JP2007260624A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 真空装置に用いる真空容器及びその製造方法
JP2008500709A (ja) * 2004-05-28 2008-01-10 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 摩擦を減らすための、ローラグライドを備えたリフトピン
JP2008263063A (ja) * 2007-04-12 2008-10-30 Ulvac Japan Ltd 加熱装置および基板処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020088265A (ko) * 2001-05-21 2002-11-27 삼성전자 주식회사 리프트 핀 및 이를 사용하는 기판 스테이지
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
JP4363401B2 (ja) * 2003-03-26 2009-11-11 信越半導体株式会社 熱処理用ウェーハ支持具及び熱処理装置
KR100944379B1 (ko) * 2003-06-02 2010-02-26 주성엔지니어링(주) 기판의 로딩 장치 및 이를 이용한 기판의 로딩 방법
KR20050088637A (ko) * 2004-03-02 2005-09-07 삼성전자주식회사 지지핀 및 이를 갖는 얼라인 유닛
KR20060023021A (ko) * 2004-09-08 2006-03-13 삼성전자주식회사 리프팅 장치
KR101292626B1 (ko) * 2006-09-15 2013-08-01 주성엔지니어링(주) 기판 안치 수단 및 이를 구비하는 기판 처리 장치
KR101368899B1 (ko) * 2007-07-09 2014-02-28 (주)뉴영시스템 급속열처리장비의 웨이퍼 안착장치

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758039A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
JP2006216982A (ja) * 1995-12-12 2006-08-17 Tokyo Electron Ltd 半導体処理装置
JP2000007146A (ja) * 1998-06-18 2000-01-11 Olympus Optical Co Ltd ガラス基板保持具
JP2003124300A (ja) * 2001-10-15 2003-04-25 Tadamoto Tamai クランプ装置及び伸縮アーム
JP2008500709A (ja) * 2004-05-28 2008-01-10 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 摩擦を減らすための、ローラグライドを備えたリフトピン
JP2006005177A (ja) * 2004-06-17 2006-01-05 Tokyo Electron Ltd 熱処理装置
JP2007260624A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 真空装置に用いる真空容器及びその製造方法
JP2008263063A (ja) * 2007-04-12 2008-10-30 Ulvac Japan Ltd 加熱装置および基板処理装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015515742A (ja) * 2012-02-29 2015-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロック構成内の除害・剥離処理チャンバ
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
KR20150100559A (ko) * 2014-02-25 2015-09-02 도쿄엘렉트론가부시키가이샤 회전 테이블을 이용하는 기판 처리 장치
KR101867133B1 (ko) * 2014-02-25 2018-06-12 도쿄엘렉트론가부시키가이샤 회전 테이블을 이용하는 기판 처리 장치
WO2016117589A1 (ja) * 2015-01-22 2016-07-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びサセプタ
JPWO2016117589A1 (ja) * 2015-01-22 2017-08-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びサセプタ
KR20210064077A (ko) * 2019-11-25 2021-06-02 가부시키가이샤 스크린 홀딩스 기판 지지 장치, 열처리 장치, 기판 지지 방법, 열처리 방법
KR102504970B1 (ko) 2019-11-25 2023-03-02 가부시키가이샤 스크린 홀딩스 기판 지지 장치, 열처리 장치, 기판 지지 방법, 열처리 방법
US11694920B2 (en) 2019-11-25 2023-07-04 SCREEN Holdings Co., Ltd. Substrate support device, thermal processing apparatus, substrate support method, and thermal processing method

Also Published As

Publication number Publication date
KR101274897B1 (ko) 2013-06-14
TW201145442A (en) 2011-12-16
CN102163573B (zh) 2014-09-17
CN102163573A (zh) 2011-08-24
KR20110083557A (ko) 2011-07-20
JP5549441B2 (ja) 2014-07-16
US20110168330A1 (en) 2011-07-14

Similar Documents

Publication Publication Date Title
JP5549441B2 (ja) 保持体機構、ロードロック装置、処理装置及び搬送機構
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
US8181769B2 (en) Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
US6276072B1 (en) Method and apparatus for heating and cooling substrates
KR101430852B1 (ko) 접합 시스템, 접합 방법, 프로그램 및 컴퓨터 기억 매체
JP2011049507A (ja) ロードロック装置及び処理システム
CN108630569B (zh) 基板处理装置
JP6067877B2 (ja) 基板処理装置および方法
JP2010073727A (ja) 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
JP2010239023A (ja) 基板搬送装置及び基板処理装置
JP2011061149A (ja) 共通搬送装置及びこれを用いた処理システム
JP2015050418A (ja) 基板冷却装置、基板冷却方法及び基板処理装置
CN109545706B (zh) 衬底处理方法及衬底处理装置
US11725272B2 (en) Method, system and apparatus for cooling a substrate
JP7176361B2 (ja) 基板処理方法及び基板処理装置
JP2004335684A (ja) 熱処理装置
JPH0432226A (ja) ドライエッチング装置
JP2012069628A (ja) 基板処理装置
JP2002043388A (ja) 半導体製造装置
JP2011210757A (ja) 処理システム及び搬送機構の冷却方法
WO2013027605A1 (ja) 搬送機構

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140422

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140505

R150 Certificate of patent or registration of utility model

Ref document number: 5549441

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250