JP2010283331A - プラズマ処理装置及びプラズマ処理方法 - Google Patents

プラズマ処理装置及びプラズマ処理方法 Download PDF

Info

Publication number
JP2010283331A
JP2010283331A JP2010039446A JP2010039446A JP2010283331A JP 2010283331 A JP2010283331 A JP 2010283331A JP 2010039446 A JP2010039446 A JP 2010039446A JP 2010039446 A JP2010039446 A JP 2010039446A JP 2010283331 A JP2010283331 A JP 2010283331A
Authority
JP
Japan
Prior art keywords
plasma processing
gas
processing apparatus
temperature
exhaust
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010039446A
Other languages
English (en)
Other versions
JP5136574B2 (ja
Inventor
Naomi Onodera
直見 小野寺
Kiyohiko Goukon
清彦 郷右近
Jun Sato
潤 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010039446A priority Critical patent/JP5136574B2/ja
Priority to US12/768,799 priority patent/US8683943B2/en
Priority to CN201010160866.0A priority patent/CN101877304B/zh
Priority to KR1020100040640A priority patent/KR101579319B1/ko
Priority to TW099113780A priority patent/TWI446442B/zh
Publication of JP2010283331A publication Critical patent/JP2010283331A/ja
Application granted granted Critical
Publication of JP5136574B2 publication Critical patent/JP5136574B2/ja
Priority to US14/176,237 priority patent/US9447926B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • F17D3/01Arrangements for supervising or controlling working operations for controlling, signalling, or supervising the conveyance of a product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】プロセス温度が室温程度の低い温度帯域でプラズマ処理する際に、そのプロセス温度を低く維持してプラズマ成膜処理等のプラズマ処理の再現性を向上させることが可能なプラズマ処理装置を提供する。
【解決手段】真空引き可能になされた筒体状の処理容器24と、被処理体Wを保持して処理容器内へ挿脱される保持手段28と、ガスを供給するガス供給手段46,48と、処理容器の長さ方向に沿って設けられてガスを高周波電力により発生したプラズマにより活性化する活性化手段58とを有して、被処理体に対してプラズマ処理を施すようになされたプラズマ処理装置において、高周波を遮断するために処理容器の周囲を囲むようにして設けられると共に接地された筒体状のシールド筐体72と、プラズマ処理中にシールド筐体と処理容器との間の空間部82に沿って冷却気体を流す冷却機構74とを備える。
【選択図】図1

Description

本発明は、半導体ウエハ等の被処理体にプラズマを用いて室温程度の温度帯域にて成膜処理を施すためのプラズマ処理装置及びプラズマ処理方法に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。そして、最近にあっては、成膜材料の耐熱性等を考慮してプロセス処理時における低温化が求められており、これに対応してプロセス時のウエハ温度が低くても反応を促進させることができるプラズマを用いたプラズマ処理装置が提案されている(特許文献1〜4等)。
上記したプラズマ処理装置の一例を説明すると、図14は上記した従来の縦型のプラズマ処理装置の一例を示す概略模式図である。図14において、内部雰囲気が真空引き可能になされた石英製の円筒体状の処理容器2内には、半導体ウエハWが回転可能になされたウエハボート4により多段に支持されており、このウエハボート4は、処理容器2の下方より昇降されてこの処理容器2内に挿脱できるようになっている。また、この処理容器2の下端は蓋部6により気密に閉じるようになっている。この処理容器2の側壁には、この高さ方向に沿って断面が矩形状になされたプラズマ形成ボックス8が設けられている。そして、このボックス8内にプラズマにより活性化するガスを流すガスノズル10が設けてある。
そして、このプラズマ形成ボックス8の区画壁の外側両側に、それぞれ独立したプラズマ電極12をボックスの高さ方向に沿って互いに対向させて一対設け、この両プラズマ電極12間にプラズマ発生用の高周波電源14からの、例えば13.56MHzの高周波電力を印加するようになっている。また、この処理容器2の外側には、天井部も含めて断熱材16が設けられると共に、この断熱材16の内側の側面には、上記半導体ウエハWを加熱するために加熱ヒータ18が設けられる。そして、この断熱材16の外側面には、天井部を含めてシールド筐体20が設けられると共に、このシールド筐体20は接地されて、高周波が外部へ洩れ出ることを防止するようになっている。
このような構成において、上記両プラズマ電極12間に高周波電力が印加されるとプラズマが発生し、このプラズマによってプラズマ形成ボックス8内に供給されたガスが活性化されて活性種が発生し、ウエハWの加熱温度が低くても上記形成された活性種によって反応等が促進されることになる。
特開2006−049809号公報 特開2006−270016号公報 特開2007−42823号公報 国際公開2006/093136号公報
ところで、最近あっては、新しい技術としてMEMS(Micro Electro Mechanical Systems)なる技術が提案されており、このMEMS技術においては、圧力センサやマイクロフォン等の1つの装置を小さなチップ内に微細加工技術によって三次元的に組み立てる技術であり、中には回転子を有する微細モータを組み付けるようなMEMS技術も提案されている。例えば上記微細モータを形成する場合には、回転可能な微細な回転子を形成するために、この回転子に相当する微細な部品の全周囲を予め酸化膜で包み込むように形成して、その周辺部を上記回転子を収容するケースを薄膜で形成した後に、上記酸化膜の全体をエッチングにより除去することによりケース内を空洞化させ、これにより、上記微細な回転子をケース内で回転(自転)ができるような構造に仕上げている。
このように、装置自体には最終的には残らないで、製造工程の途中で必要のために形成されて、その後に除去されるような薄膜を犠牲層と称され、それが酸化膜の場合には犠牲酸化膜といわれる。このような犠牲層、或いは犠牲酸化膜は、後で除去されるので膜質特性等は特に問題とはなされない。従って、通常のゲート酸化膜や層間絶縁膜等の高い膜質特性が要求される酸化膜等を成膜する時には、高い膜質特性を得るために比較的温度の高い高温下で成膜処理を行わざるを得ないが、このような犠牲酸化膜を形成するためには、室温等の低温域で成膜できる技術が開発されている。
上記犠牲酸化膜を成膜するには、例えば成膜装置として図14にて説明したようなプラズマ処理装置を用い、原料ガスとしてDIPAS(ジイソプロピルアミノシラン)等のアミノシラン系ガスとプラズマにより発生したオゾン等の活性種を用い、室温程度の比較的低温で上記犠牲酸化膜を形成するようになっている。
しかしながら、上記した犠牲酸化膜を形成するために、図14にて説明したようなプラズマ処理装置を用いると、バッチ処理をする毎に処理容器2と断熱材16との間の空間部分にプラズマにより発生した熱が蓄積されて熱が籠もるようになり、この結果、連続してバッチ処理を行うと、バッチ処理毎にプロセス温度が次第に上昇してしまって、成膜の再現性が低下してしまう、といった問題が発生していた。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、プロセス温度が室温程度の低い温度帯域でプラズマ処理する際に、そのプロセス温度を低く維持してプラズマ成膜処理等のプラズマ処理の再現性を向上させることが可能なプラズマ処理装置を提供することにある。本発明の他の目的は、クリーニング頻度を少なくしてスループットを向上させることが可能なプラズマ処理装置及びプラズマ処理方法を提供することにある。
請求項1に係る発明は、真空引き可能になされた筒体状の処理容器と、複数の被処理体を保持して前記処理容器内へ挿脱される保持手段と、前記処理容器内へガスを供給するガス供給手段と、前記処理容器の長さ方向に沿って設けられて前記ガスを高周波電力により発生したプラズマにより活性化する活性化手段とを有して、前記被処理体に対してプラズマ処理を施すようになされたプラズマ処理装置において、高周波を遮断するために前記処理容器の周囲を囲むようにして設けられると共に接地された筒体状のシールド筐体と、前記プラズマ処理中に前記シールド筐体と前記処理容器との間の空間部に沿って冷却気体を流す冷却機構と、を備えるように構成したことを特徴とするプラズマ処理装置である。
このように、筒体状の処理容器内に保持手段に保持された複数の被処理体を収容して必要なガスを導入し、これらの被処理体を活性化手段により発生したプラズマによってガスの活性種を形成し、この活性種によって被処理体にプラズマ処理を施すようにしたプラズマ処理装置において、高周波を遮断するために処理容器の周囲を囲むようにして設けられると共に接地された筒体状のシールド筐体と、プラズマ処理中にシールド筐体と処理容器との間の空間部に沿って冷却気体を流す冷却機構とを備え、プラズマ処理中に冷却機構により処理容器の外側に沿って冷却気体を流して冷却するようにしたので、シールド筐体と処理容器との間の空間部にプラズマから発生した熱が籠もることがなくなり、その結果、プロセス温度が室温程度の低い温度帯域でプラズマ処理する際に、そのプロセス温度を低く維持してプラズマ成膜処理等のプラズマ処理の再現性を向上させることが可能となる。
請求項13に係る発明は、請求項1乃至12のいずれか一項に記載の発明において、前記空間部内の雰囲気の温度を測定する温度測定手段と、前記排気ヘッダ部と前記排気源との間に設けられた排気路と、前記排気路の途中に介設され、前記プラズマ処理装置をスタンバイ状態に維持した状態で前記空間部の雰囲気を予め設定された排気風量で排気した時の前記温度測定手段の測定温度が予め定められた閾値温度よりも低い場合には、前記プラズマ処理時には閉じられた状態になされる弁機構とを備えることを特徴とする。
このように、請求項1乃至12のいずれか一項に記載の発明において、更に空間部内の雰囲気の温度を測定する温度測定手段と、排気ヘッダ部と排気源との間に設けられた排気路と、排気路の途中に介設され、プラズマ処理装置をスタンバイ状態に維持した状態で空間部の雰囲気を予め設定された排気風量で排気した時の温度測定手段の測定温度が予め定められた閾値温度よりも低い場合には、プラズマ処理時には閉じられた状態になされる弁機構とを備えることにより、例えば処理容器の内壁に堆積する不要な膜が剥がれ落ち難くなるような条件を設定することができる。この結果、クリーニング頻度を少なくしてスループットの向上を図ることが可能となる。
請求項18に係る発明は、請求項1乃至17のいずれか一項に記載のプラズマ処理装置を用いて被処理体にプラズマ処理を施すプラズマ処理方法において、前記プラズマ処理装置をスタンバイ状態に維持した状態で処理容器とシールド筐体との間に形成される空間部の雰囲気を予め設定された排気風量で排気した時の前記空間部の雰囲気の温度を測定し、この測定温度が予め定められた閾値温度よりも低い場合には、前記空間部に冷却気体を流さないようにした状態で前記プラズマ処理を行うようにしたことを特徴とするプラズマ処理方法である。
これにより、例えば処理容器の内壁に堆積する不要な膜が剥がれ落ち難くなるような条件を設定することができる。この結果、クリーニング頻度を少なくしてスループットの向上を図ることが可能となる。
本発明に係るプラズマ処理装置及びプラズマ処理方法によれば、次のように優れた作用効果を発揮することができる。
請求項1及びこれを引用する請求項に係る発明によれば、筒体状の処理容器内に保持手段に保持された複数の被処理体を収容して必要なガスを導入し、これらの被処理体を活性化手段により発生したプラズマによってガスの活性種を形成し、この活性種によって被処理体にプラズマ処理を施すようにしたプラズマ処理装置において、高周波を遮断するために処理容器の周囲を囲むようにして設けられると共に接地された筒体状のシールド筐体と、プラズマ処理中にシールド筐体と処理容器との間の空間部に沿って冷却気体を流す冷却機構とを備え、プラズマ処理中に冷却機構により処理容器の外側に沿って冷却気体を流して冷却するようにしたので、シールド筐体と処理容器との間の空間部にプラズマから発生した熱が籠もることがなくなり、その結果、プロセス温度が室温程度の低い温度帯域でプラズマ処理する際に、そのプロセス温度を低く維持してプラズマ成膜処理等のプラズマ処理の再現性を向上させることができる。
特に、請求項13及びこれを引用する請求項に係る発明によれば、更に空間部内の雰囲気の温度を測定する温度測定手段と、排気ヘッダ部と排気源との間に設けられた排気路と、排気路の途中に介設され、プラズマ処理装置をスタンバイ状態に維持した状態で空間部の雰囲気を予め設定された排気風量で排気した時の温度測定手段の測定温度が予め定められた閾値温度よりも低い場合には、プラズマ処理時には閉じられた状態になされる弁機構とを備えることにより、例えば処理容器の内壁に堆積する不要な膜が剥がれ落ち難くなるような条件を設定することができる。この結果、クリーニング頻度を少なくしてスループットの向上を図ることができる。
請求項18及びこれを引用する請求項に係る発明によれば、例えば処理容器の内壁に堆積する不要な膜が剥がれ落ち難くなるような条件を設定することができる。この結果、クリーニング頻度を少なくしてスループットの向上を図ることができる。
本発明に係るプラズマ処理装置の一例を示す縦断面構成図である。 プラズマ処理装置を示す横断面図である。 シールド筐体と冷却機構を示す部分概略斜視図である。 吸気ヘッダ部の状態を示す分解斜視図である。 排気ヘッダ部を示す横断面図である。 バッチ処理を連続して行った時の処理中における空間部の温度変化を示すグラフである。 図6に示すグラフの結果から求めた空間部の温度差を示す図である。 本発明の変形実施例1に係るプラズマ処理装置の一例を示す概略構成図である。 本発明の変形実施例1におけるシールド筐体内雰囲気と大気との差圧とシールド筐体の内部の温度との関係を示すグラフである。 差圧が0Paの時のラン数とパーティクル数及び累積膜厚との関係を示すグラフである。 差圧が60Paの時のラン数とパーティクル数及び累積膜厚との関係を示すグラフである。 差圧が130Paの時のラン数とパーティクル数及び累積膜厚との関係を示すグラフである。 プラズマ処理(ラン)終了後のシールド筐体内の温度変化を示すグラフである。 従来の縦型のプラズマ処理装置の一例を示す概略模式図である。
以下に、本発明に係るプラズマ処理装置及びプラズマ処理方法の一実施例を添付図面に基づいて詳述する。図1は本発明に係るプラズマ処理装置の一例を示す縦断面構成図、図2はプラズマ処理装置を示す横断面図、図3はシールド筐体と冷却機構を示す部分概略斜視図、図4は吸気ヘッダ部の状態を示す分解斜視図、図5は排気ヘッダ部を示す横断面図である。
図1及び図2に示すように、本発明のプラズマ処理装置22は、鉛直方向に設置されて下端が開口された有天井の縦長円筒体状の処理容器24を有している。この処理容器24の全体は、例えば石英により形成されており、この処理容器24内の天井には、石英製の天井板26が設けられて封止されている。また、この処理容器24の下端部は、排気特性の向上のためにその内径が少し大きく設定され、その下端は開口されている。この下端部に例えばステンレススチール製の円筒体状のマニホールドを連結するようにした構成を用いてもよい。
上記処理容器24の下端開口部においては、その下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート28が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート28の支柱28Aには、例えば50〜150枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート28は、石英製の保温筒30を介してテーブル32上に載置されており、このテーブル32は、処理容器24の下端開口部を開閉する例えばステンレススチール製の蓋部34を貫通する回転軸36上に支持される。そして、この回転軸36の蓋部34に対する貫通部には、例えば磁性流体シール38が介設され、この回転軸36を気密にシールしつつ回転可能に支持している。また、蓋部34の周辺部と処理容器24の下端部には、例えばOリング等よりなるシール部材40が介設されており、処理容器24内のシール性を保持している。
上記した回転軸36は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム42の先端に取り付けられており、ウエハボート28及び蓋部34等を一体的に昇降して処理容器24内へ挿脱できるようになされている。尚、上記テーブル32を上記蓋部34側へ固定して設け、ウエハボート28を回転させることなくウエハWの処理を行うようにしてもよい。そして、この処理容器24の下端部は、例えばステンレススチールよりなるベース板44に取り付けられて支持されている。
この処理容器24の下部には、処理容器24内の方へプラズマ化される第1のガスを供給する第1のガス供給手段46と、第2のガスを供給する第2のガス供給手段48とが設けられる。具体的には、上記第1のガス供給手段46は、上記処理容器24の下部の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる第1のガスノズル50を有している。この第1のガスノズル50には、その長さ方向に沿って複数(多数)のガス噴射孔50Aが所定の間隔を隔てて形成されて分散形のガスノズルとなっており、各ガス噴射孔50Aから水平方向に向けて略均一に第1のガスを噴射できるようになっている。
また同様に上記第2のガス供給手段48も、上記処理容器24の下部の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる第2のガスノズル52を有している。上記第2のガスノズル52には、その長さ方向に沿って複数(多数)のガス噴射孔52Aが所定の間隔を隔てて形成されて分散形のガスノズルとなっており、各ガス噴射孔52Aから水平方向に向けて略均一に第2のガスを噴射できるようになっている。また、上記第1及び第2のガスノズル50、52に接続されるガス通路46A、48Aの途中には、それぞれガス流量を制御するマスフローコントローラのような流量制御器46B、48B及び開閉弁46C、48Cが介設されている。
尚、ここでは第1のガスと第2のガスを供給する第1のガス供給手段46と第2のガス供給手段48しか示していないが、更に多くのガス種を用いる場合には、それに対応して更に別のガス供給手段を設けるのは勿論であり、例えばN 等のパージガスを供給するためのガス供給手段も設けられている。また図示されてないが、不要な膜を除去するクリーニングガス、例えばHF系ガスを供給するクリーニングガス供給系も設けられている。
そして、この処理容器24の下部の側壁には排気口54が形成されている。そして、この排気口54には、圧力調整弁56Aや真空ポンプ56B等が介設された真空排気系56が接続されており、処理容器24内の雰囲気を真空引きして所定の圧力に維持できるようになっている。
そして、上記処理容器24には、その長さ方向に沿って設けられて、上記第1のガスを高周波電力により発生したプラズマにより活性化する活性化手段58が形成されている。この活性化手段58は、図2にも示すように処理容器24の長手方向に沿って設けられたプラズマ区画壁60により区画形成されたプラズマ形成ボックス62と、このプラズマ区画壁60にその長手方向に沿って設けられたプラズマ電極64と、このプラズマ電極64に接続された高周波電源66とにより主に構成されている。
具体的には、上記プラズマ形成ボックス62は、上記処理容器24の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口68を形成し、この開口68をその外側より覆うようにして断面コ字状になされた上下に細長い例えば石英製の上記プラズマ区画壁60を容器外壁に気密に溶接接合することにより形成されている。
これにより、この処理容器24の側壁の外側に突出させるようにして、断面コ字状に窪ませて一側が処理容器24内へ開口されて連通されたプラズマ形成ボックス62が一体的に形成されることになる。すなわちプラズマ区画壁60の内部空間はプラズマ形成領域となっており、上記処理容器24内に一体的に連通された状態となっている。上記開口68は、ウエハボート28に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。そして、上記プラズマ区画壁60の両側壁の外側面には、互いに対向させるようにして一対の上記プラズマ電極64が設けられている。このプラズマ電極64は、プラズマ形成ボックス62の長手方向に沿って全体に形成されている。
そして、上記各プラズマ電極64は、それぞれ給電ライン70に接続され、この給電ライン70は、インピーダンス整合を図るための整合回路71が途中に介設されて上記プラズマ発生用の高周波電源66に接続されており、この高周波電力によってプラズマ形成ボックス62内にプラズマを形成するようになっている。ここで上記高周波電源66の周波数としては、例えば13.56MHzが用いられるが、これに限定されず、4MHz〜27.12MHzの範囲内の周波数を用いることができる。
そして、上記処理容器24内を上方向に延びて行く第1のガスノズル50は途中で処理容器24の半径方向外方へ屈曲されて、上記プラズマ形成ボックス62内の一番奥(処理容器24の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源66がオンされている時に上記第1のガスノズル50の各ガス噴射孔50Aから噴射された第1のガスはここでプラズマにより活性化されて処理容器24の中心に向けて拡散しつつ流れるようになっている。尚、上記第1のガスノズル50は、処理容器24の側壁を貫通させないで、プラズマ区画壁の下端部より直接貫通させるようにして設けてもよい。
また、処理容器24の開口68の内側の一側には、上記第2のガスノズル52が起立させて設けられており(図2参照)、第2のガスノズル52に設けた各ガス噴射孔52Aより処理容器24の中心方向に向けて第2のガスを噴射し得るようになっている。そして、このように形成された処理容器24の外側に、本発明の特徴とするシールド筐体72と、プラズマ処理中にこのシールド筐体72内に冷却気体を流す冷却機構74とが設けられている。具体的には、上記処理容器24の外側には天井部も含めて周囲全体を囲むようにして例えば円筒状に成形された上記シールド筐体72が設けられている。このシールド筐体72は、アルミニウムやステンレススチール等の金属よりなって接地されており、活性化手段64から外へ洩出する高周波を遮断して外側へ洩れ出ないようにしている。
このシールド筐体72の下端部は、上記ベース板44に接続されており、この下方からも高周波が洩れ出ないようにしている。このシールド筐体72のシールド値(比導電率×比透磁率×板厚)は高い程よく、例えばSUS304(ステンレススチールの種類)を用いた場合の板厚は1.5mm以上に設定するのがよい。また、その寸法は例えば直径が300mmのウエハWを収容する上記処理容器24の直径が450mm程度の場合には、上記ケーシング筐体72の直径は600mm程度である。
そして、このケーシング筐体72に取り付けられる上記冷却機構74は、このシールド筐体72の一端である下端部に設けられて冷却気体を取り込むための吸気ヘッダ部76と、シールド筐体72の他端である上端部に設けられてシールド筐体72内の雰囲気を排気するための排気ヘッダ部78とよりなり、上記シールド筐体72と処理容器24との間の空間部82に沿って矢印84に示すように冷却気体を流すようになっている。そして、この排気ヘッダ部78は、排気源80に接続される。この排気源80とは、ここではクリーンルーム内に設置されたこのプラズマ処理装置22を含む各装置内の排気を行う工場ダクト83よりなり、この工場ダクト83の下流側には、大型の排気ファン(図示せず)が設けられており、工場内全体の排気を行うようになっている。
上記吸気ヘッダ部78は、図3及び図4にも示すように、上記シールド筐体72の側壁に、その周方向に沿って設けられた気体流通ダクト86と、上記シールド筐体72の側壁にその周方向に沿って所定の間隔で均等に形成された気体流通孔88と、上記気体流通ダクト86に設けられて冷却気体を取り込むための気体導入口90とを有している。上記気体流通ダクト86は、ここでは断面が略矩形状に成形されており、シールド筐体72の下端部の周囲をリング状に囲むようにして設けられている。
そして、この気体流通ダクト86の天井部86Aに、上記シールド筐体72の直径方向に対向するように配置して一対(2個)の上記気体導入口90が形成されている。上記気体流通孔88は、ここではシールド筐体72の周方向に沿って長方形状に成形されており、全体で4個の気体流通孔88が等間隔で配置されている。従って、上記2つの気体導入口90から気体流通ダクト86内に取り込んだ冷却気体を、この気体流通ダクト86内に沿って流しつつ上記長方形状の気体流通孔88からシールド筐体72内へ流し込むようになっている。
この場合、冷却気体を均等に流すために、上記気体導入口90を、隣り合う気体流通孔88間の中央部に設置するのが好ましい。この気体流通孔88の数は4個に限定されず、2つ、或いは更に多く設けてもよいし、パンチングメタル状にリング状に形成してもよい。また、高周波のシールド効果を高めるために上記気体流通孔88にパンチングメタルを取り付けるようにしてもよい。
そして、ここでは上記2つの気体導入口90に接続するようにして、半円弧状の冷却気体案内ダクト92が設けられる。この冷却気体案内ダクト92の中央部には気体入口94が設けられると共に、その両端側に、上記各気体導入口90に連通される開口96がそれぞれ形成されている。ここではクリーンルーム内の常時23〜27℃程度に維持されている清浄空気が冷却気体として用いられており、従って、上記気体導入口90から導入された清浄空気よりなる冷却気体が上記冷却気体案内ダクト92内を流れて開口96及び気体導入口90を介してリング状の気体流通ダクト86内を2方向に分かれて流れ、上記気体流通孔88よりシールド筐体72内へ流れ込むようになっている。実際には、上記気体入口94には、図示しない給気路が接続され、この給気路からクリーンルーム内と同様な温度の清浄空気が導入される。
尚、上記冷却気体案内ダクト92は設けないで、2つの気体導入口90より直接的に冷却気体であるクリーンルーム内の清浄空気を取り込むようにしてもよいし、この気体導入口90の数を更に多く設けるようにしてもよい。
一方、図3及び図5にも示すように、上記シールド筐体72の上端部に設けられる排気ヘッダ部78は、シールド筐体72の端面を塞ぐ端板98に形成された気体流通孔100と、この気体流通孔100を囲んで覆うようにして設けられた箱状の排気ボックス102と、この排気ボックス102に設けられた気体排気口104と、この気体排気口104に接続されて上記排気源80である工場ダクト83(図1参照)に接続される排気路106とを有している。
上記端板98は、シールド筐体72の天井板として機能するものであり、この端板98も高周波に対するシールド機能を有する金属板、例えばステンレススチールにより形成されている。この端板98に形成される気体流通孔100は、ここでは複数の直径の小さなパンチ孔100Aを配列して形成されており、下方から上昇してくる冷却気体をパンチ孔100Aを通して上方へ流すと共に高周波に対するシール性を高めるようになっている。すなわち、ここでは端板98としては、中央部側に複数の孔が形成されたパンチングメタルを用いることができる。この場合、この気体流通孔100を大口径の1つの孔として形成してもよい。この大口径の気体流通孔100にパンチングメタルを取り付けるようにしてもよい。
上記排気ボックス102は、ここでは略正四角形状に成形されており、その内側には、上記気体流通孔100を囲むようにすると共に、一辺を上記排気ボックス102の側壁と共通にした逆”コ”字状の区画壁108が更に設けられている。そして、この区画壁108の対向面には一対の流通孔110が形成されると共に、この流通孔110は、上記排気ボックス102の壁面と上記区画壁108との間に形成された流路112を介して上記気体排気口104に連通されている。
従って、上記複数のパンチ孔100Aを介して上記流れ出た冷却気体は、区画壁108に設けた一対の流通孔110を介して流路112内を流れ、更に気体排気口104より工場ダクト83側へ向けて流れるように構成されている。尚、上記排気ボックス102の形状は矩形状に限定されず、例えば円形に成形してもよいし、更に区画壁108の形状を円形に成形するようにしてもよい。更に、気体排気口104を排気ボックス102の側壁ではなく、この気体排気口104を排気ボックス102の天井部に設けるようにして冷却気体を上方へ抜くようにしてもよい。また上記排気路106には、流量制御弁113が介設されており、排気風量を制御できるようになっている。
そして、図1に戻ってこのプラズマ処理装置22の動作全体の制御、例えばガスの供給の開始及び供給の停止、高周波電源66の電力の設定や、このオン・オフ、プロセス圧力の設定等は例えばコンピュータ等よりなる装置制御部114により行われる。そして、この装置制御部114は、このプラズマ処理装置22の全体の動作も制御することになる。またこの装置制御部114は、上記各種ガスの供給や供給停止の制御、高周波のオン・オフ制御及び装置全体の動作を制御するためのコンピュータに読み取り可能なプログラムを記憶する例えばフレキシブルディスク、CD(Compact Disc)、ハードディスク、フラッシュメモリ或いはDVD等の記憶媒体116を有している。
次に、以上のように構成されたプラズマ処理装置を用いて行なわれるプラズマ処理としてプラズマによる成膜方法を室温付近で行う場合を例にとって説明する。具体的には、ここでは成膜処理として、第1のガスとして酸素を用い、第2のガスとしてアミノシラン系ガスを用いて室温付近で犠牲酸化膜を形成する場合を例にとって説明する。尚、アミノシラン系ガスとしては先に説明したDIPASを用いることができる。
まず、図1及び図2に示すように、常温の多数枚、例えば50〜150枚の300mmサイズのウエハWが載置された状態のウエハボート28を室温、例えば23〜27℃程度になされた処理容器24内にその下方より上昇させてロードし、蓋部34で処理容器24の下端開口部を閉じることにより容器内を密閉する。
そして処理容器24内を真空引きして所定のプロセス圧力に維持し、上記第1のガスと第2のガスとを第1のガス供給手段46及び第2のガス供給手段48からそれぞれ流量制御しつつ供給する。これと共に高周波電源(RF電源)66をオンして活性化手段58のプラズマ形成ボックス62内にプラズマを立てるようにする。
具体的には、第1のガスである酸素ガスは第1のガスノズル50の各ガス噴射孔50Aから水平方向へ噴射され、また、第2のガスであるアミノシラン系ガスは第2のガスノズル52の各ガス噴射孔52Aから水平方向へ噴射される。そして、酸素ガスは、プラズマ形成ボックス62内に形成されているプラズマにより活性化されてオゾン等の活性種が作られ、この活性種が上記アミノシラン系ガスと反応してウエハWの表面に犠牲酸化膜を形成することになる。
一方、このようなプラズマ成膜処理が行われている間、上記プラズマによって発生した熱が、上記処理容器24の外側を覆う高周波遮断用のシールド筐体72内に次第に籠もる傾向となる。しかし、本発明においては、このシールド筐体72内は冷却機構74によって流されている冷却気体によって冷却されているので、処理容器24やウエハWの温度上昇を抑制して、これを室温程度に維持することができる。
すなわち、このシールド筐体72内の雰囲気は、排気源80である工場ダクト83に連通されて排気されているので、常時減圧雰囲気になされている。従って、このプラズマ処理装置22が設置されているクリーンルーム内の23〜27℃程度に維持された清浄空気は、シールド筐体72の下部に設けた気体導入口94から矢印120(図1及び図3参照)に示すように冷却気体として冷却気体案内ダクト92内に取り込まれてこの中を流れ、この冷却気体は、円弧形状の冷却気体案内ダクト92の両端に設けた各開口96及び気体導入口90を介して吸気ヘッダ部78の気体流通ダクト86内へ矢印122(図3参照)に示すように取り込まれる。この冷却気体は、リング状の気体流通ダクト86内を2方向に分かれて流れ、4つ設けられた上記気体流通孔88よりシールド筐体72内へ流れ込むことになる。
このシールド筐体72内へ流れ込んだ冷却気体は、このシールド筐体72と処理容器24との間の空間部82内を矢印84(図1参照)に示すように上昇して流れて行き、この時、プラズマにより発生した熱により昇温傾向にある処理容器24の側壁を冷却すると共に、この空間部82に籠もっているプラズマにより発生した熱を運んで排出して行くことになる。この冷却気体の上昇する流れは、処理容器24の略全周において生ずることになる。
このシールド筐体72内を上昇して流れた冷却気体は、排気ヘッダ部78の気体流通孔100の各パンチ孔100Aを介して排気ボックス102内に収束するようにして流れ込み、更にこの冷却気体は矢印124(図5参照)に示すように区画壁108に設けた一対の各流通孔110を介して排気ボックス102と区画壁108との間の流路112内を流れ、更に気体排気口104より排気路106を介して工場ダクト83側へ流れて行くことになる。
このようにして、シールド筐体72内は冷却されているので、処理容器24やウエハWの温度上昇を抑制してこれを室温程度に維持することができる。また、1つのバッチ処理が終了して次のバッチ処理を行う時にもシールド筐体72内には熱が籠もる状態とはなっていないので、処理容器24やウエハWの温度上昇を抑制して室温程度に維持することができ、プラズマ処理の再現性を高く維持することができる。換言すれば、一度に複数枚のウエハWをプラズマ処理するバッチ処理を連続的に行っても、上述のように冷却気体をシールド筐体72内に流して空間部82に籠もった熱を排出すると共に処理容器24及びウエハWを冷却して室温程度に維持することができるので、プラズマ処理(成膜処理)の再現性を高く維持することができる。
このように、本発明によれば、筒体状の処理容器24内に保持手段28に保持された複数の被処理体、例えば半導体ウエハWを収容して必要なガスを導入し、これらの被処理体を活性化手段58により発生したプラズマによってガスの活性種を形成し、この活性種によって被処理体にプラズマ処理を施すようにしたプラズマ処理装置22において、高周波を遮断するために処理容器24の周囲を囲むようにして設けられると共に接地された筒体状のシールド筐体72と、プラズマ処理中にシールド筐体72と処理容器24との間の空間部82に沿って冷却気体を流す冷却機構74とを備え、プラズマ処理中に冷却機構74により処理容器24の外側に沿って冷却気体を流して冷却するようにしたので、シールド筐体72と処理容器24との間の空間部82にプラズマから発生した熱が籠もることがなくなり、その結果、プロセス温度が室温程度の低い温度帯域でプラズマ処理する際に、そのプロセス温度を低く維持してプラズマ成膜処理等のプラズマ処理の再現性を向上させることができる。
<本発明の冷却機構の評価>
次に、上述したような冷却機構74を設けた本発明のプラズマ処理装置を用いて複数回のプラズマによるバッチ処理を連続的に行った時の処理容器24とシールド筐体72との間に形成される空間部82の温度変化を測定したので、その評価結果について説明する。ここでは比較のために、図14に示すような円筒体状の断熱材付きの加熱ヒータ(ヒータ自体は駆動せず)を有する従来のプラズマ処理装置でプラズマによるバッチ処理も行ったので、その結果についても説明する。
ここでの処理は、1回のバッチ処理で117枚のウエハについて60分間のプラズマ成膜処理を行い、このバッチ処理を連続して7回(7RUN)行った。図6はバッチ処理を連続して行った時の処理中における空間部の温度変化を示すグラフであり、図6(A)が従来のプラズマ処理装置の場合を示し、図6(B)が本発明のプラズマ処理装置の場合を示している。ここで図6(B)に示す本発明のプラズマ処理装置では、シールド筐体内の排気風量を0.55m /minに設定している。
図7は図6に示すグラフの結果から求めた空間部の温度差を示す図である。尚、ここでは本発明では排気風量を3種類変えて行ったときの実験の結果を併せて記載している。また、ここではウエハボートに多段に支持されているウエハを高さ方向に4つのエリアに分割し、最上段に位置するエリアに対応する空間部の温度を”TOP”(トップ)と表し、その下のエリアに対応する空間部の温度を”T−C”(トップセンター)と表し、更にその下のエリアに対応する空間部の温度を”C−B”(センターボトム)と表し、更に最下段に位置するエリアに対応する空間部の温度を”BTM”(ボトム)と表している。上記各温度は空間部82に配置した熱電対により測定している。また、全てのプロセスにおいて、処理開始時の空間部82の温度は27℃であった。
図6(A)に示すように、従来のプラズマ処理装置の場合には、”TOP”、”T−C”、C−B”、及び”BTM”の全てのエリアの空間部の温度は、1RUN目から7RUN目までバッチ処理を繰り返す毎に空間部の温度は27〜28℃から徐々に上昇し、最終的に40〜46℃程度まで上昇しており、再現性が劣っていることが判る。具体的には、図7(A)に示すように、空間部の最大値(Max)と最小値(Min)との温度差(Δ)は、”TOP”が18.5℃、”T−C”が16.5℃、C−B”が13.6℃、”BTM”が10.7℃となっており、バッチ処理間で空間部の温度が大きく変動して好ましくない。
これに対して、図6(B)に示すように、本発明の場合には、”TOP”、”T−C”、C−B”、及び”BTM”の全てのエリアの空間部の温度は、1RUN目から7RUN目まで略27〜30℃の範囲内に収まっており、バッチ処理を連続的に行っても空間部の温度が上昇することがなくてこれを安定的に維持することができるので、プラズマ処理の再現性を高めることができることが判る。
図7(C)はこの図6(B)に示す実験の空間部の温度の最大値(Max)と最小値(Min)との温度差(Δ)をとったものであり、それぞれ3.6℃、1.9℃、1.4℃、1.2℃であり、全てのエリアで温度差が非常に小さくなって従来の装置例と比較して遥かに良好な結果を得ることができた。また、本発明のプラズマ処理装置を用いて、排気風量のみを0.35m /min及び0.72m /minに変えて、図6(B)にて説明した実験と同様な実験を行った時の結果を図7(B)及び図7(D)にそれぞれ示す。
これによれば、”TOP”、”T−C”、C−B”、及び”BTM”の空間部の各温度は、図7(B)及び図7(D)に示す場合も、設定温度である27℃よりそれ程変化しておらず、十分に良好な結果を示しており、また、温度差(Δ)も図7(B)の場合は5.2℃、3.9℃、2.2℃、1.4℃であり、また図7(D)の場合は3.0℃、1.7℃、1.5℃、1.2℃であり、共に良好な結果を示しており、プラズマ処理の再現性を高めることができることが判る。
この場合、図7(B)に示すように、排気風量を0.35m /minに少なくした場合に、”TOP”や”T−C”の空間部の各温度が32.5℃や31.1℃まで上昇するが、設定温度の27℃の±6℃の範囲内なので、十分に実用に耐え得る結果であった。
<変形実施例1>
次に本発明に変形実施例1について説明する。先の実施例では、成膜処理中には冷却機構74を用いてシールド筐体72内の空間部82へ冷却気体を必ず流すようにしていたが、このプラズマ処理装置22を設置する環境、例えばクリーンルーム内の温度環境等によっては、スループット向上の観点より冷却気体を流さない方がよい場合もある。具体的には、成膜処理を繰り返して行うと、処理容器24の内壁にも剥がれ落ちるとパーティクルの原因となる不要な膜が累積して堆積することになるが、一般的には、この不要な膜が剥がれ落ちる前に、定期的に、或いは不定期的にクリーニングガスを用いて上記不要な膜を除去するクリーニング操作が行われている。
この不要な膜を除去するクリーニングにおいては、例えば処理容器24の側壁に堆積する上記不要な膜の累積膜厚を管理し、この膜厚が予め定めた基準となる厚さ、例えば1〜20μm程度の範囲内の予め定められた基準値に達する毎にクリーニング操作を行うようになっている。この場合、成膜時の処理容器24の温度に依存して、処理容器24の内壁に堆積する不要な膜の膜質が微妙に変化し、例えば累積膜厚が基準値に達する前に剥がれ落ち易くなる場合もあることが判明した。この基準値は、成膜する膜種やプロセス条件等によって予め定められている。
具体的には、冷却機構74を動作させて処理容器24の側壁の温度を下げ過ぎると、低温になるに従って不要の膜が剥がれ落ち易くなり、累積膜厚が予め定めた基準値以下でも不要な膜が剥がれ落ち始めてパーティクルが発生する現象が生じることが判った。そこで、この本発明の変形実施例1ではプラズマ処理装置22の設置環境に依存して、冷却機構74を動作させるか否かを決定するようにしている。
図8は本発明の変形実施例1に係るプラズマ処理装置の一例を示す概略構成図である。図8においては、基本的な構成は、以下に説明する点を除いて先に図1乃至図5を参照して説明したプラズマ処理装置と同じである。図1乃至図5において説明した構成と同一構成部分については同一参照符号を付して、その説明を省略する。図8においては重要な構成部分のみを模式的に記載している。
ここでは上記冷却機構74の排気ヘッダ部78の気体排気口104と排気源88とを連絡する排気路106の途中に弁機構130を設けている。この弁機構130は、バタフライ弁のような流量制御弁132と第1の開閉弁134とを直列に設けて構成されている。また、冷却機構74の吸気ヘッダ部76に設けた冷却気体案内ダクト92の気体入口94には、給気路136が接続され、この給気路136の途中には第2の開閉弁138が介設されている。この給気路136には、クリーンルーム内と略同じ温度の清浄空気が冷却気体として矢印120のように吸い込まれて行くことになる。尚、この給気路136を設けないで気体入口94をクリーンルーム内に開放させるようにしてもよい。
また、処理容器24とシールド筐体72との間に形成される空間部82には、この空間部82内の雰囲気の温度を測定する温度測定手段140が設けられている。具体的には、この温度測定手段140は、シールド筐体72の側壁より内側に向けて僅かに延在された複数、例えば4つの熱電対140A、140B、140C、140Dにより構成されている。この4つの熱電対140A〜140Dは、処理容器24内のウエハWの収容領域に対応させて、その高さ方向に略等間隔で配置されている。
すなわち、熱電対140Aは最上段に配置され、次の熱電対140Bは熱電対140Aの下方に配置され、次の熱電対140Cは熱電対140Bの下方に配置され、次の最下段の熱電対140Dは熱電対140Cの下方に配置される。従って、これらの熱電対140A〜140Dは、その上方より下方に向けて”TOP”(トップ)、”T−C”(トップセンター)、”C−B”(センターボトム)及び”BTM”(ボトム)となる。そして、これらの各熱電対140A〜140Dの各出力は、例えば装置制御部114へ入力されている。また、上記空間部82には、この空間部28内の圧力と外気であるクリーンルーム内との差圧を求める差圧計150が設けられており、この出力を例えば上記装置制御部114へ入力するようになっている。
さて、このような構成において本実施例では、このプラズマ処理装置をスタンバイ状態に維持した状態で上記空間部82の雰囲気を予め設定された排気風量で排気した時の上記温度測定手段140の測定温度が、予め定められた閾値温度よりも低い場合には、プラズマ処理時には上記弁機構130を閉じた状態で行うようにする。この弁機構130の動作は、装置制御部114からの制御で行ってもよいし、オペレータが手動で行うようにしてもよい。
具体的には、前述したように成膜のプラズマ処理時の処理容器24の温度に依存して、容器内壁に付着する不要な膜の付着強度は微妙に変化するので、冷却機構74を動作して冷却気体を流すと、プラズマ処理装置22の設置環境に依存して剥がれ落ち易い不要な膜が付着する場合が生ずる。例えば冷却気体として用いるクリーンルーム内の雰囲気温度が高い場合には、吸い込まれる冷却気体の温度も高いので、プラズマ処理時に冷却機構74を動作して冷却気体を流しても、処理容器24は過度に冷却されることはなく、従って、不要な膜は剥がれ難い状態で付着することになる。
これに対して、冷却気体として用いるクリーンルーム内の雰囲気温度が低い場合には、吸い込まれる冷却気体の温度も低いので、プラズマ処理時に冷却機構74を動作して冷却気体を流すと、処理容器24は過度に冷却されることになり、従って、不要な膜は剥がれ易い状態で付着することになる。
この場合、処理容器24の内壁で特にパーティクルが発生し易い部分は、プラズマで激しく叩かれることになるプラズマ形成ボックス62内であるが、この部分の温度を検出するために熱電対を配置すると、プラズマ形成ボックス62の近傍には高周波電極が配置されていることから異常放電が生ずる原因となるので現実的ではない。
そこで、本実施例では冷却気体により処理容器24の側壁が冷却されることから、冷気気体がこの空間部82内を通過する時に、処理容器24の側壁を過度に冷却することになるような雰囲気温度を求めるようにし、処理容器24の側壁が過度に冷却されるような状態の時には、冷却機構74を動作させないで冷却気体の流れを停止させるようにしている。
そして、上述したような条件を求めるために、ここではプラズマ処理装置22のスタンバイ時に冷却気体を空間部82内に流して、その時の空間部82内の雰囲気温度を基準にして成膜のためのプラズマ処理時に冷却気体を流すか否かを決定するようにしている。具体的には、プラズマ処理装置22をスタンバイ状態に維持した状態で、空間部82内を予め設定された排気風量、例えば大気との圧力差が−100Pa程度となるような排気風量で排気した時の温度測定手段140である熱電対140A〜140Dの測定温度が、予め定められた閾値温度、例えば33℃よりも低い場合には、上記成膜用のプラズマ処理時には弁機構130の第1の開閉弁134を閉状態として、冷却気体が空間部82内を流れないようにしている。すなわち、冷却機構74が動作しないようにしている。
逆に、上記測定温度が例えば33℃以上の場合には、上記弁機構130の第1の開閉弁134を開状態として冷却気体を流すようにしている。すなわち、冷却機構74を動作させるようにしている。また、上記第1の開閉弁134の開閉に連動させて、第2の開閉弁138の開閉も行うようにするのが好ましい。ここで上記閾値温度33℃は、成膜ガスとしてアミノシラン系ガス、例えばDIPASを用いた場合である。
このような第1及び第2の開閉弁134、138の開閉は、基本的にはクリーンルーム内にプラズマ処理装置22を設置した時にスタンバイ状態を実現して上述したような検証動作を行って決定する。以後、上記第1及び第2の開閉弁134、138は、基本的には開状態、或いは閉状態が固定されたまま、成膜用のプラズマ処理やクリーニング処理が繰り返し施されることになる。またクリーニング時の条件によってはクリーニング処理時に冷却気体を流す場合もある。更に、メンテナンス等により設定環境が変わった場合には、再度、上述したような検証動作を行うことになる。
また、上記スタンバイ状態とは、装置自体の電源が投入されて、各種配線類のヒータ等がオン状態となっているが活性化手段58はオフ状態でプラズマが形成されておらず、処理容器24の温度が変動せずに安定した状態を指し、例えば装置電源投入後ならば一日以上経過した状態であり、成膜のプロセス(ラン)が終了した後ならば、例えば約7時間以上経過している状態を指す。
<検証実験>
次に、上記変形実施例1について検証実験を行ったので、その内容について説明する。図9は本発明の変形実施例1におけるシールド筐体内雰囲気と大気との差圧とシールド筐体の内部の温度との関係を示すグラフ、図10は差圧が0Paの時のラン数とパーティクル数及び累積膜厚との関係を示すグラフ、図11は差圧が60Paの時のラン数とパーティクル数及び累積膜厚との関係を示すグラフ、図12は差圧が130Paの時のラン数とパーティクル数及び累積膜厚との関係を示すグラフ、図13はプラズマ処理(ラン)終了後のシールド筐体内の温度変化を示すグラフである。ここでは、上記差圧を0〜−250Paまで変化させており、その中の数箇所においてプラズマ成膜処理を行ってパーティクルの評価を行っている。
この場合、差圧0Paの場合は、第1及び第2の開閉弁134、138を共に閉状態にして空間部82内に冷却気体を流さないようにしており、差圧0Pa以外は、第1及び第2の開閉弁134、138を共に開状態にし、且つ弁機構130の流量制御弁132の弁開度を適宜調整することによって差圧を変化させている。従って、図9の横軸の差圧は、排気風量に対応している。すなわち、差圧が少ない場合は冷却気体の排気流量は少なく、差圧が大きくなるに従って、冷却気体の排気流量は順次大きくなる。
図9に示すグラフ中において、曲線Aは図8中のTOPの熱電対104Aの温度を示し、曲線Bは図8中のCTPの熱電対104Bの温度を示し、曲線Cは図8中のCBTの熱電対104Cの温度を示し、曲線Dは図8中のBTMの熱電対104Dの温度を示す。また、曲線X1は図8中の処理容器24の上段のポイントX1の位置の温度を示し、曲線X2は処理容器24の中段のポイントX2の位置の温度を示している。また、この時のプラズマ処理装置22を設置しているクリーンルーム内の温度は23〜24℃程度である。
図9に示すグラフから明らかなように、冷却気体を流さない差圧0Paの時は、全ての温度測定箇所において温度が一番高くなっている。例えば曲線X1、X2で示される各ポイントX1、X2の温度は44〜45℃程度であり、曲線A〜Dで示される各熱電対140A〜140Dの温度は、35〜39℃程度である。そして、差圧が大きくなるに従って、すなわち、排気風量が増加するに従って各温度は次第に低下してくる。
ここで差圧0Pa、−2Pa、−50Pa、−60Pa、−100Pa、−130Pa、−250Paの各ポイントでそれぞれ複数ランの成膜処理を行ってパーティクルの評価を行った。この結果、差圧0Paの時は、累積膜厚が基準値以上になってもパーティクル数は上限値の50個以下であって合格したが、これ以外の差圧−2Pa〜−250Paの全てにおいて累積膜厚が基準値よりも小さい値でパーティクル数は上限値の50個に達してしまい不合格であった。すなわち、差圧が僅か−2Pa程度にしかならないような僅かな量の冷却気体が空間部に流れただけでも、その時に生ずる僅かな温度低下が悪影響を及ぼし、累積膜厚が基準値に達する前にパーティクル数が50個になってしまっている。
この結果、ここでの設置環境では、冷却機構74を動作させないようにして、すなわち、冷却気体を流さないで成膜のプラズマ処理を行う必要があることが判る。図10乃至図12のグラフはその時の一部の結果を示し、図10は差圧が0Paの時を示し、図11は差圧が60Paの時を示し、図12は差圧が130Paの時を示している。各図において左側縦軸はパーティクル数を示し、右側縦軸は累積膜厚を示している。
また、1つのランは1つのバッチ処理を示しており、各ランにおいてTOP(トップ)、CTR(センタ)、BTM(ボトム)の各ウエハ位置でパーティクルを測定しており、上記順序でパーティクルのカウント数を棒グラフで記載している。また、ここではパーティクル数の上限値を50個に設定しており、累積膜厚の基準値は、前述したように1〜20μm程度の範囲内における特定の値を予め設定している。その結果、図10に示す差圧0Paの場合には、1〜22ラン行っており、上述したように累積膜厚が基準値に達しても、パーティクル数は上限値の50個に達しておらず、好ましい結果が得られることが判る。
これに対して、図11に示す差圧60Paの場合には、累積膜厚が基準値よりも低いポイントP1のところで(22ラン)、パーティクル数50個の上限値に達しており好ましくない。また図12に示す差圧130Paの場合には、累積膜厚が基準値よりも薄く且つ上記ポイントP1よりも更に薄いポイントP2のところで(22ラン)、パーティクル数50個の上限値に達しており好ましくない。このように上記差圧を小さくすることにより、パーティクルの増加量が次第に減少して行くことが理解できる。また、上述したように、ここでの設置環境では、冷却機構74を動作させないようにして、すなわち、冷却気体を流さないで成膜のプラズマ処理を行う必要があることが判る。
ここで上記差圧と排気風量との関係を説明する。上記空間部82の容量(シールド筐体72の容量−処理容器34の外殻の体積)は250リットル程度であり、この空間部82内に冷却気体を流す時には、−40〜−100Paの範囲内の差圧になるように予め流量制御弁132の弁開度調整によって設定している。この場合、差圧が−40Paの場合には、排気風量は0.45m /min程度であり、差圧が−100Paの場合には、排気風量は0.70m /min程度である。この排気風量はプラズマ処理装置が設置されるクリーンルームによって任意に定めることができる。そして、実際にプラズマ処理装置をクリーンルームに設置する時には、圧力調整弁132を用いて、上記差圧が−40〜100Paの範囲内で、換言すれば、排気風量を0.45〜0.70m /min程度の範囲内の任意の風量で予め設定することになる。
ここで上記検証実験でパーティクルに関して合格となった差圧0Paの時のスタンバイ時の条件について検討を行う。図13は1回の成膜用のプラズマ処理(ラン)を行った後のスタンバイ状態の時の各部分の温度を示すグラフである。図13中において、曲線A〜D、X1、X2は、図9において説明した場合と同じであり、曲線Aは図8中のTOPの熱電対104Aの温度を示し、曲線Bは図8中のCTPの熱電対104Bの温度を示し、曲線Cは図8中のCBTの熱電対104Cの温度を示し、曲線Dは図8中のBTMの熱電対104Dの温度を示す。また、曲線X1は図8中の処理容器24の上段のポイントX1の位置の温度を示し、曲線X2は処理容器24の中段のポイントX2の位置の温度を示している。ここでは室温は23〜24℃に設定され、またシールド筐体72内の空間部82の排気は行っておらず、差圧0Paになっている。
図13に示すように、2時24分に1回のランが終了すると、各部分の温度は次第に低下している。そして、ラン終了した後、約7時間が経過した9時24分以降は各部分の温度は略安定しており、スタンバイ状態となっている。この場合、各熱電対140A〜140Dの温度は略33〜34℃の範囲内で安定している。すなわち、スタンバイ時に熱電対140A〜140Dの測定温度が33℃以上であれば、このプラズマ処理装置を用いてプラズマ処理を行っても(排気風量=0の状態)、パーティクル数50個の上限値をクリアするための累積膜厚の基準値の条件をクリアすることができる。
換言すれば、スタンバイ状態の時に、上記流量制御弁132で予め設定した排気風量で空間部82内に冷却気体を流した状態において、上記各熱電対140A〜140Dの測定温度が33℃以上であれば、成膜用のプラズマ処理時に好ましくは冷却機構74を動作させて、すなわち、第1及び第2の開閉弁124、138を共に開状態にして冷却気体を流して処理容器24を冷却するようにする。
この場合、パーティクルの発生を抑制するという観点からは冷却気体を流さなくてもよいが、冷却気体を流さないとその分、処理容器の温度が上がっている。そして、過度に温度が上がると、時々行われるクリーニング時に容器内壁に付着している不要な膜が除去し難くなる場合がある。従って、好ましくは上述したように冷却気体を流すのが好ましい。
このように、本発明の変形実施例1によれば、更に空間部内の雰囲気の温度を測定する温度測定手段と、排気ヘッダ部と排気源との間に設けられた排気路と、排気路の途中に介設され、プラズマ処理装置をスタンバイ状態に維持した状態で空間部の雰囲気を予め設定された排気風量で排気した時(空間部とクリーンルーム内の大気との差圧が予め設定された設定値となるように空間部の雰囲気を排気した時)の温度測定手段の測定温度が予め定められた閾値温度よりも低い場合には、プラズマ処理時には閉じられた状態になされる弁機構とを備えることにより、例えば処理容器の内壁に堆積する不要な膜が剥がれ落ち難くなるような条件を設定することができる。この結果、クリーニング頻度を少なくしてスループットの向上を図ることができる。
また、本発明のプラズマ処理方法によれば、例えば処理容器の内壁に堆積する不要な膜が剥がれ落ち難くなるような条件を設定することができる。この結果、クリーニング頻度を少なくしてスループットの向上を図ることができる。
尚、上記した累積膜厚の基準値やパーティクル数50個の上限値は単に一例を示したに過ぎず、これらに限定されないのは勿論である。また上記実施例では、温度測定手段140として4つの熱電対140A〜140Dを設けたが、これに限定されず、少なくとも1つ、好ましくは2つ以上設けるのがよい。
また、上記各実施例では、シールド筐体72内の雰囲気を排気する排気源80として常時吸引している工場ダクト83を用いたが、これに替えて、或いはより強力に排気するために排気路106に付加的な排気源80として排気ポンプを介設するようにしてプラズマ処理中に駆動させるようにしてもよい。
また、ここでは排気ヘッダ部78に、排気ボックス102等を用いたが、これに替えて、排気ボックス102として、吸気ヘッダ部76と同じ構造の気体流通ダクト86や気体流通孔88や気体導入口90(気体排気口104として用いる)等をシールド筐体72の上端部に設けるようにしてもよい。
また、ここでは常温(室温)でのプラズマ処理として犠牲酸化膜を成膜する場合を例にとって説明したが、これに限定されないのは勿論であり、加熱ヒータを不要にして室温程度(23〜27℃程度)で行うプラズマ処理の全てに本発明を適用することができる。また、ここでは処理容器24の下端部に吸気ヘッダ部76を設け、上端部に排気ヘッダ部78を設けたシールド筐体72内には下方から上方に向けて冷却気体を流すようにしたが、これに限定されず、処理容器24の上端部に吸気ヘッダ部76を設け、下端部に排気ヘッダ部78を設けて、シールド筐体72内には上方から下方に向けて冷却気体を流すようにしてもよい。
また、ここでは給気側の冷却気体としてクリーンルーム側の清浄気体を用いたが、制御性を向上させるために給気路136の途中にチラー等の温度制御器を設けて、空間部82に導入される冷却気体の温度を一定の温度に維持するようにしてもよい。また、ここでは処理容器24を鉛直方向に起立させて設けた縦型式のプラズマ処理装置を例にとって説明したが、これに限定されず、処理容器を横方向に設置した横型のプラズマ処理装置にも本発明を適用することができる。
また、ここでは被処理体として半導体ウエハを例にとって説明したが、この半導体ウエハにはシリコン基板やGaAs、SiC、GaNなどの化合物半導体基板も含まれ、更にはこれらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。
22 プラズマ処理装置
24 処理容器
28 ウエハボート(保持手段)
46 第1のガス供給手段
48 第2のガス供給手段
58 活性化手段
62 プラズマ形成ボックス
64 プラズマ電極
66 高周波電源
72 シールド筐体
74 冷却機構
76 吸気ヘッダ部
78 排気ヘッダ部
80 排気源
82 空間部
83 工場ダクト
86 気体流通ダクト
88 気体流通孔
90 気体導入口
92 冷却気体案内ダクト
98 端板
100 気体流通孔
102 排気ボックス
104 気体排気口
106 排気路
130 弁機構
132 圧力調整弁
134 第1の開閉弁
136 吸気路
138 第2の開閉弁
140 温度測定手段
140A〜140D 熱電対
W 半導体ウエハ(被処理体)

Claims (22)

  1. 真空引き可能になされた筒体状の処理容器と、
    複数の被処理体を保持して前記処理容器内へ挿脱される保持手段と、
    前記処理容器内へガスを供給するガス供給手段と、
    前記処理容器の長さ方向に沿って設けられて前記ガスを高周波電力により発生したプラズマにより活性化する活性化手段とを有して、
    前記被処理体に対してプラズマ処理を施すようになされたプラズマ処理装置において、
    高周波を遮断するために前記処理容器の周囲を囲むようにして設けられると共に接地された筒体状のシールド筐体と、
    前記プラズマ処理中に前記シールド筐体と前記処理容器との間の空間部に沿って冷却気体を流す冷却機構と、
    を備えるように構成したことを特徴とするプラズマ処理装置。
  2. 前記冷却機構は、
    前記シールド筐体の一端に設けられて前記冷却気体を取り込むための吸気ヘッダ部と、
    前記シールド筐体の他端に設けられて前記シールド筐体内の雰囲気を排気するために排気源に接続された排気ヘッダ部と、を有することを特徴とする請求項1記載のプラズマ処理装置。
  3. 前記排気源は、装置内の雰囲気を排出するための工場ダクトであることを特徴とする請求項2記載のプラズマ処理装置。
  4. 前記排気源は、排気ポンプであることを特徴とする請求項2記載のプラズマ処理装置。
  5. 前記吸気ヘッダ部は、
    前記シールド筐体の側壁にその周方向に沿って設けられた気体流通ダクトと、
    前記気体流通ダクトと前記シールド筐体内とを連通させるために前記シールド筐体の側壁に、その周方向に沿って所定の間隔で形成された気体流通孔と、
    前記気体流通ダクトに設けられて前記冷却気体を取り込むための気体導入口と、
    を有することを特徴とする請求項2乃至4のいずれか一項に記載のプラズマ処理装置。
  6. 前記気体流通孔には、複数の孔が形成されたパンチングメタルが取り付けられていることを特徴とする請求項5記載のプラズマ処理装置。
  7. 前記排気ヘッダ部は、
    前記シールド筐体の端面を塞ぐ端板に形成された気体流通孔と、
    該気体流通孔を囲んで覆うようにして設けられた箱状の排気ボックスと、
    該排気ボックスに設けられた気体排気口と、
    該気体排気口に接続されて前記排気源に連絡される排気路と、
    を有することを特徴とする請求項2乃至6のいずれか一項に記載のプラズマ処理装置。
  8. 前記気体流通孔には、複数の孔が形成されたパンチングメタルが取り付けられていることを特徴とする請求項7記載のプラズマ処理装置。
  9. 前記冷却気体は、工場であるクリーンルーム内の雰囲気であることを特徴とする請求項2乃至8のいずれか一項に記載のプラズマ処理装置。
  10. 前記処理容器は、鉛直方向に縦長に設置されていることを特徴とする請求項2乃至8のいずれか一項に記載のプラズマ処理装置。
  11. 前記吸気ヘッダ部は、前記シールド筐体の下端部に設けられ、前記排気ヘッダ部は、前記シールド筐体の上端部に設けられることを特徴とする請求項10記載のプラズマ処理装置。
  12. 前記吸気ヘッダ部は、前記シールド筐体の上端部に設けられ、前記排気ヘッダ部は、前記シールド筐体の下端部に設けられることを特徴とする請求項10記載のプラズマ処理装置。
  13. 前記空間部内の雰囲気の温度を測定する温度測定手段と、
    前記排気ヘッダ部と前記排気源との間に設けられた排気路と、
    前記排気路の途中に介設され、前記プラズマ処理装置をスタンバイ状態に維持した状態で前記空間部の雰囲気を予め設定された排気風量で排気した時の前記温度測定手段の測定温度が予め定められた閾値温度よりも低い場合には、前記プラズマ処理時には閉じられた状態になされる弁機構と、
    を備えたことを特徴とする請求項1乃至12のいずれか一項に記載のプラズマ処理装置。
  14. 前記弁機構は、圧力調整弁と開閉弁とを含むことを特徴とする請求項13記載のプラズマ処理装置。
  15. 前記吸気ヘッダ部には、給気路が接続され、該給気路の途中には、前記プラズマ処理装置をスタンバイ状態に維持した状態で前記空間部の雰囲気を予め設定された排気風量で排気した時の前記温度測定手段の測定温度が予め定められた閾値温度よりも低い場合には、前記プラズマ処理時には閉じられた状態になされる開閉弁が介設されていることを特徴とする請求項13又は14記載のプラズマ処理装置。
  16. 前記ガスは、成膜用のガスであるアミノシラン系ガスを含むことを特徴とする請求項13乃至15のいずれか一項に記載のプラズマ処理装置。
  17. 前記閾値温度は33℃であることを特徴とする請求項13乃至16のいずれか一項に記載のプラズマ処理装置。
  18. 請求項1乃至17のいずれか一項に記載のプラズマ処理装置を用いて被処理体にプラズマ処理を施すプラズマ処理方法において、
    前記プラズマ処理装置をスタンバイ状態に維持した状態で処理容器とシールド筐体との間に形成される空間部の雰囲気を予め設定された排気風量で排気した時の前記空間部の雰囲気の温度を測定し、この測定温度が予め定められた閾値温度よりも低い場合には、前記空間部に冷却気体を流さないようにした状態で前記プラズマ処理を行うようにしたことを特徴とするプラズマ処理方法。
  19. 前記空間部の雰囲気の温度が、前記閾値温度以上の場合には、前記冷却気体を流した状態で、或いは前記冷却気体を流さない状態で、前記プラズマ処理を行うようにしたことを特徴とする請求項18記載のプラズマ処理方法。
  20. 前記プラズマ処理装置をスタンバイ状態に維持した状態で処理容器とシールド筐体との間に形成される空間部の雰囲気を予め設定された排気風量で排気した時の前記空間部の雰囲気の温度を測定し、この測定温度が予め定められた閾値温度よりも低い場合には、前記処理容器内にクリーニングガスを流す時にも、前記空間部には冷却気体を流さないようにしたことを特徴とする請求項18又は19記載のプラズマ処理方法。
  21. 使用するガスには、成膜用のガスであるアミノシラン系ガスが含まれることを特徴とする請求項18乃至20のいずれか一項に記載のプラズマ処理方法。
  22. 前記閾値温度は33℃であることを特徴とする請求項18乃至21のいずれか一項に記載のプラズマ処理方法。
JP2010039446A 2009-05-01 2010-02-24 プラズマ処理装置及びプラズマ処理方法 Active JP5136574B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2010039446A JP5136574B2 (ja) 2009-05-01 2010-02-24 プラズマ処理装置及びプラズマ処理方法
US12/768,799 US8683943B2 (en) 2009-05-01 2010-04-28 Plasma process apparatus and plasma process method
CN201010160866.0A CN101877304B (zh) 2009-05-01 2010-04-29 等离子处理装置和等离子处理方法
KR1020100040640A KR101579319B1 (ko) 2009-05-01 2010-04-30 플라즈마 처리 장치 및 플라즈마 처리 방법
TW099113780A TWI446442B (zh) 2009-05-01 2010-04-30 電漿處理裝置及電漿處理方法
US14/176,237 US9447926B2 (en) 2009-05-01 2014-02-10 Plasma process method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009112319 2009-05-01
JP2009112319 2009-05-01
JP2010039446A JP5136574B2 (ja) 2009-05-01 2010-02-24 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2010283331A true JP2010283331A (ja) 2010-12-16
JP5136574B2 JP5136574B2 (ja) 2013-02-06

Family

ID=43019828

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010039446A Active JP5136574B2 (ja) 2009-05-01 2010-02-24 プラズマ処理装置及びプラズマ処理方法

Country Status (5)

Country Link
US (2) US8683943B2 (ja)
JP (1) JP5136574B2 (ja)
KR (1) KR101579319B1 (ja)
CN (1) CN101877304B (ja)
TW (1) TWI446442B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015198111A (ja) * 2014-03-31 2015-11-09 東京エレクトロン株式会社 基板処理装置
WO2021044504A1 (ja) * 2019-09-02 2021-03-11 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
WO2022071105A1 (ja) * 2020-09-29 2022-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム

Families Citing this family (387)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
CN102776488B (zh) * 2011-05-10 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 化学气相沉积反应腔装置及具有其的化学气相沉积设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013062317A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 基板冷却機構および基板冷却方法ならびに熱処理装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103103500B (zh) * 2011-11-11 2015-05-13 中国科学院沈阳科学仪器研制中心有限公司 一种用于pecvd多点进气多区可调装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
US20140368110A1 (en) * 2012-02-17 2014-12-18 Tohoku University Plasma processing apparatus and plasma processing method
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
TW201405655A (zh) * 2012-07-27 2014-02-01 Ingentec Corp 具有多腔體之氣相蝕刻設備
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
JP6162980B2 (ja) * 2013-03-01 2017-07-12 株式会社日立国際電気 プラズマ処理装置及びプラズマ処理方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
CN104302084B (zh) * 2013-07-17 2017-04-12 朗姆研究公司 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104715992B (zh) * 2013-12-13 2018-02-09 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其冷却装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104862666B (zh) * 2014-02-25 2018-03-27 上海理想万里晖薄膜设备有限公司 一种用于制备amoled的pecvd装置
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104941957B (zh) * 2014-03-24 2018-01-12 睿励科学仪器(上海)有限公司 晶圆清洁装置及方法
WO2015145663A1 (ja) 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN114551206A (zh) * 2015-12-04 2022-05-27 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017177398A1 (en) * 2016-04-13 2017-10-19 Applied Materials, Inc. Apparatus for exhaust cooling
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN105870775B (zh) * 2016-05-24 2020-07-10 中国人民解放军战略支援部队航天工程大学 一种用于激光锁频的一体化惰性气体频率基准装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN107680915B (zh) 2016-08-02 2020-11-10 北京北方华创微电子装备有限公司 等离子体源的冷却机构及半导体加工设备
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102009348B1 (ko) * 2017-09-20 2019-08-09 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6999596B2 (ja) * 2019-03-25 2022-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
WO2021181450A1 (ja) * 2020-03-09 2021-09-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7455013B2 (ja) * 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US20220064785A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Apparatus and methods for gas phase particle reduction
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114836736A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 等离子体镀膜设备和镀膜方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063663A (ja) * 2002-07-26 2004-02-26 Hitachi Kokusai Electric Inc 半導体製造装置
JP2005197523A (ja) * 2004-01-08 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4858557A (en) * 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
JPS6167769A (ja) * 1984-09-07 1986-04-07 Canon Inc 成膜装置
JP2532239B2 (ja) * 1987-04-06 1996-09-11 セイコー電子工業株式会社 マイクロ波プラズマcvd装置
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5128515A (en) * 1990-05-21 1992-07-07 Tokyo Electron Sagami Limited Heating apparatus
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
US5314541A (en) * 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JP2755876B2 (ja) * 1992-07-30 1998-05-25 株式会社東芝 熱処理成膜装置
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH0786174A (ja) * 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH08274067A (ja) * 1995-03-30 1996-10-18 Hitachi Ltd プラズマ発生装置
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
JP3164195B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US6273955B1 (en) * 1995-08-28 2001-08-14 Canon Kabushiki Kaisha Film forming apparatus
JP3341965B2 (ja) * 1995-10-19 2002-11-05 東京応化工業株式会社 縦型同軸プラズマ処理装置
TW506620U (en) * 1996-03-15 2002-10-11 Asahi Glass Co Ltd Low pressure CVD apparatus
JP3591977B2 (ja) * 1996-03-18 2004-11-24 キヤノン株式会社 マイクロ波プラズマcvd法を用いた膜堆積方法および膜堆積装置
JPH09298162A (ja) * 1996-04-30 1997-11-18 Shinko Electric Co Ltd 真空式半導体製造装置におけるヒータの冷却方法
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
JP4054159B2 (ja) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6738683B1 (en) * 2000-09-05 2004-05-18 Cxe Equipment Services, Llc Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor
JP2002168551A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 処理装置の電極用冷却装置
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP2004006536A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜製造方法及び装置
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
JP2004165377A (ja) * 2002-11-12 2004-06-10 Canon Inc 表面改質方法
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
KR100837474B1 (ko) * 2003-03-04 2008-06-12 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리장치 및 디바이스의 제조방법
US20050145341A1 (en) * 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
WO2006077735A1 (ja) * 2004-12-28 2006-07-27 Hitachi Kokusai Electric Inc. 基板処理装置
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
WO2006095575A1 (ja) * 2005-03-07 2006-09-14 Sharp Kabushiki Kaisha プラズマ処理装置およびそれを用いた半導体薄膜の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2007067119A (ja) * 2005-08-30 2007-03-15 Elpida Memory Inc 半導体製造装置
JP4857849B2 (ja) 2006-03-24 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
JP2009026779A (ja) * 2007-07-17 2009-02-05 Hitachi High-Technologies Corp 真空処理装置
JP5401286B2 (ja) * 2009-12-04 2014-01-29 株式会社日立ハイテクノロジーズ 試料台の温度制御機能を備えた真空処理装置及びプラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063663A (ja) * 2002-07-26 2004-02-26 Hitachi Kokusai Electric Inc 半導体製造装置
JP2005197523A (ja) * 2004-01-08 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015198111A (ja) * 2014-03-31 2015-11-09 東京エレクトロン株式会社 基板処理装置
WO2021044504A1 (ja) * 2019-09-02 2021-03-11 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
JPWO2021044504A1 (ja) * 2019-09-02 2021-03-11
JP7342138B2 (ja) 2019-09-02 2023-09-11 株式会社Kokusai Electric 基板処理装置、プラズマ生成装置、半導体装置の製造方法、プラズマ生成方法およびプログラム
WO2022071105A1 (ja) * 2020-09-29 2022-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
JP7478832B2 (ja) 2020-09-29 2024-05-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム

Also Published As

Publication number Publication date
CN101877304A (zh) 2010-11-03
KR101579319B1 (ko) 2015-12-21
US20100278999A1 (en) 2010-11-04
CN101877304B (zh) 2014-06-25
TW201126603A (en) 2011-08-01
KR20100119726A (ko) 2010-11-10
US8683943B2 (en) 2014-04-01
US20140150882A1 (en) 2014-06-05
US9447926B2 (en) 2016-09-20
JP5136574B2 (ja) 2013-02-06
TWI446442B (zh) 2014-07-21

Similar Documents

Publication Publication Date Title
JP5136574B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI443714B (zh) 成膜裝置及使用其之方法
JP4997842B2 (ja) 処理装置
JP4857849B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4652327B2 (ja) 基板処理装置
JP5762602B1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2007281225A (ja) 処理装置及び処理方法
JP2012004409A (ja) 処理装置及び成膜方法
JP2009038155A (ja) プラズマ処理装置
KR101669752B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5367522B2 (ja) プラズマ処理装置及びシャワーヘッド
JP6486154B2 (ja) 基板保持具及びこれを用いた基板処理装置
US10550470B2 (en) Film forming apparatus and operation method of film forming apparatus
US20130017690A1 (en) Plasma nitriding method and plasma nitriding apparatus
JP2012094911A (ja) プラズマ処理装置及び処理方法
JP6980125B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2016058536A (ja) プラズマ処理装置及びクリーニング方法
TW202027241A (zh) 互補圖案站設計
TWI842709B (zh) 用於降低接觸電阻的基板處理的方法、裝置、及系統
JP7519511B2 (ja) 加熱処理装置、および加熱処理方法
JP4951279B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111024

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121016

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121029

R150 Certificate of patent or registration of utility model

Ref document number: 5136574

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250