JP2008532271A - 原子層堆積のための表面のプラズマ前処理 - Google Patents

原子層堆積のための表面のプラズマ前処理 Download PDF

Info

Publication number
JP2008532271A
JP2008532271A JP2007556376A JP2007556376A JP2008532271A JP 2008532271 A JP2008532271 A JP 2008532271A JP 2007556376 A JP2007556376 A JP 2007556376A JP 2007556376 A JP2007556376 A JP 2007556376A JP 2008532271 A JP2008532271 A JP 2008532271A
Authority
JP
Japan
Prior art keywords
plasma
layer
trench
barrier
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007556376A
Other languages
English (en)
Inventor
ダベンドラ クマー
カマル キショア ゴンダー
ナサナエル アール.シー. ケメリン
ヒデアキ フクダ
ヘッセル スプレイ
マールテン ストクホフ
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2008532271A publication Critical patent/JP2008532271A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

集積回路内のデュアルダマシン構造のコンフォーマルなライニングのための方法および構造を提供する。好ましい実施形態は、多孔性物質で形成された開口を覆うコンフォーマルなライニングの提供に向けられる。トレンチが絶縁層内に形成される(100)。その後、その層が、特別のプラズマプロセスで適切に処理される(101)。このプラズマプロセスに引き続き、自己制限的、自己飽和的原子層堆積(ALD)反応(115)が、細孔の著しい埋め込みなしに起こり、改善された相互接続を形成する。
【選択図】図3

Description

本発明は、概して原子層堆積(ALD)に先立つ表面の処理に関する。より詳細には、本発明は、集積回路内のダマシン構造を覆うコンフォーマル性の高いALD層に先立つ、層のプラズマ処理に関する。
集積回路を作製する場合、絶縁、導電及び半導体物質層を堆積およびパターン形成し、所望の構造を製造する。相互接続「バックエンド」メタライゼーション・プロセスは、ビア形成、および金属配線あるいはワイヤー形成を含む。ビア構造は、絶縁層を介して導電層を垂直に接続する。通常、コンタクトビア又は開口は、テトラエチルオルソシリケート(TEOS)前駆体およびPECVDから形成されたPECVD蒸着酸化物(k=4)、あるいは、高密度プラズマ(HDP)堆積フッ素化酸化物(FSG)(k=3.4〜3.7)などの絶縁層内に形成される。その後、導電物質でビアが埋め込まれ、それにより、絶縁層の上下の電気的装置と配線とを相互に接続させる。垂直ビアによって相互に接続される層は、典型的に、集積回路の全域に延びる水平な金属配線を含む。そのような配線は、通常、絶縁層を覆って、アルミニウムなどの金属層を蒸着し、所望の配線パターンに金属層をマスキングし、所望の配線間あるいは導電性配線間の金属を、エッチング除去することにより形成される。
ダマシンプロセスは、所望の配線のパターンにトレンチを形成し、金属あるいは他の導電物質でトレンチを埋め込みまたは過剰に埋め込み、その後絶縁層上の金属および停止層をCMP研磨することを含む。配線は、このように、所望のパターンで互いに分離されてトレンチ内に残留する。近年の銅メタライゼーション・プロセスは、例えば、典型的にダマシンプロセスを採用する。
ダマシンプロセスの拡張では、デュアルダマシンとして公知であるプロセスは、エッチング停止物質によって典型的に分離された2つの絶縁層を形成し(後述する図1を参照)、ダマシンプロセスとして上記したように、上部絶縁層にトレンチを形成することを含む。もちろん、代替可能なアプローチもまた存在する。いくつかの実施形態では、エッチング停止層(後述する図2を参照)を用いずに1つのステップで絶縁誘電体を堆積し、時限式エッチング(timed etching)の実行により、単一の絶縁層内にトレンチを形成する。トレンチがエッチングされた後、トレンチの底および下部絶縁層を通じてコンタクトビアを下方にエッチングするために、さらなるマスクが採用されることができ、接触が望まれるところの下部導電素子を露出させる。同様の構造を作製するための代替アプローチが存在することが、当該技術における熟練者によって認識されるであろう。例えば、上部に位置するトレンチに時限式エッチングを用いるビア−ファースト・トレンチ−ラスト(VFTL)アプローチにより、その構造が逆の順序で作製され得る。
キャパシタ、コンタクト、ランナーおよび配線層などの導電素子は、適切な集積回路動作のために、各々、互いに電気的に絶縁されなければならない。そのような導電素子の周辺への絶縁層の提供に加えて、装置および配線間の好ましくない短絡の原因になり得る、絶縁層を通じた導電物質の拡散およびスパイクを防ぐために、注意が払われなければならない。ビア又はトレンチ壁内への金属の充填を促進するために、基板アセンブリ中のビア又はトレンチ壁と金属との間に、防護バリアがしばしば形成される。バリアは、このように、特に銅などの速く拡散する元素のための、ダマシンおよびデュアルダマシン相互接続の適用に役立つ。
防護バリアについての候補物質は、効果的な拡散バリア特性を第一に示すべきである。さらに、その物質は、隣接する物質(例えば、酸化物のビア壁、接着層、エッチング停止層、および/またはビアとトレンチとを埋め込む金属物質)との良好な接着性を明らかに示すべきである。多くの応用において、バリア層は電流のフローパスに位置し、それゆえに導電性である。典型的に、バリアは、チタン窒化物(TiN)、タンタル窒化物(TaN)、およびタングステン窒化物(WN)などの金属窒化物(MNx)で形成されており、それらは、コンタクトビアのライニング、トレンチの配線形成、および他の導電性バリア用として、高密度かつ十分に導電性である。
これらライニングされたビア又はトレンチは、その後、化学気相蒸着法(CVD)、物理気相蒸着法(PVD)、および電気めっき法を含む様々なプロセスのうちの何れかにより、金属で埋め込まれる。有効な導電性のために、そして動作中の悪いエレクトロマイグレーションを回避するために、コンタクト又は配線層の金属が、ボイド即ちキーホールを残さずにビア又はトレンチを埋め込むべきである。深く狭い開口を導電物質で完全に埋め込むことは、集積回路の寸法が、より速い動作処理速度およびより低い消費電力を追求して絶えず縮小されるので、常により難しくなる。
図1および2に示されるように、導電性のバリア層および/または他のライナーの利用は、デュアルダマシンプロセスのトレンチ及びビアの埋め込みをいっそうより困難にする。図1は、上部絶縁層10が下部絶縁層12上に形成され、続いて、下部絶縁層12が、好ましくは誘電性の拡散バリア15を介在させて、導電性配線層14上に形成されるデュアルダマシンプロセスを示す。この誘電性のバリア15は、下部金属14の銅あるいは他の導電物質が、上に位置する誘電体層12へ拡散するのを防ぐ役割をする。
マスクが、所望の配線パターンにトレンチ16をパターニングおよびエッチングするために採用される。図示する実施形態では、トレンチ16は、2つの絶縁層10、12間に形成されたエッチング停止層19の層まで下方にエッチングされる。このエッチング停止層19は、典型的に、上部絶縁層10の堆積に先立ってパターニングおよびエッチングされ、トレンチ16の底から伸びる所望のコンタクトビアの水平寸法を画定する埋め込みハードマスクが形成される。ハードマスク19を通じた継続的なエッチングは、トレンチ16の底から下部導電性配線層14に、コンタクトビア20を開口する。当該技術における熟練者によって認識されるであろうが、図1はまた、後続の平坦化ステップを停止するために上部絶縁層10を覆う、上部エッチング停止あるいは化学機械研磨(CMP)停止層21を示している。上記したように、これは単にデュアルダマシントレンチ及びビアを形成する1つの方法である。他の実施形態では、VFTL(ビア−ファースト、トレンチ−ラスト)アプローチは、エッチング停止層を採用する代わりに、時限式エッチングと共に使用され得る。このスキームは、CMP停止層および埋め込みハードマスクが省略されている図2において明示されている。
フォトレジストの除去、残留物の除去、および/または他のクリーニングステップの後に、好ましくは導電物質で形成される保護ライナー22が、その後、露出する水平及び側壁表面上に形成される。典型的には、ライナー22は少なくとも金属窒化物を含み、さらに、接着増強層及びシード層を含んでもよい。例えば、ライナー22はTi/TiN/Cuの3重層を含み得る。そのような構造では、チタン層は、露出する酸化物側壁との接着性を改善する役割をし、チタン窒化物は、拡散バリアとしての役割をし、そして、薄い銅層は、後続の銅の電気めっきのためのシードとしての役割をする。他の例では、ライナー22は、タンタル窒化物又はタングステン窒化物バリアを含み得る。他のバリア物質もまた採用され得ることを、当該技術における熟練者は認識するであろう。
しかしながら、従来のプロセスを用いたライナー22のコンフォーマル堆積は、非常に困難である。スパッタリングのような金属層(接着、バリアおよび/またはシード層用の)の物理気相蒸着法(PVD)は、トレンチ16及びコンタクトビア20の表面すべてにわたって、少なくとも約50Åの厚さを必要とする。不運にも、高い縦横比のボイド内への金属のPVDは、ビア底への十分なカバレッジを生ずるために、ワークピースの上部表面上への過剰な蒸着を余儀なくされる。例えば、デュアルダマシン・スキームについて、いくつかの最先端のトレンチ及びコンタクト構造は、コンタクトビア20の底及び側壁に50Åの金属が到達するためには、約150〜250ÅのPVD金属を必要とする。コンタクトビア20の底及び側壁に50Åの金属が到達するためには、いくつかのスキームは、500Å程のPVD金属を必要としてきた。
この貧弱なステップカバレッジは、今日の集積回路内のデュアルダマシンプロセスのために形成された、ボイドの高い縦横比の結果である。コンタクトビアの縦横比は、幅に対する深さ又は高さの比率として定義される。デュアルダマシン・コンタクトの場合、トレンチ16及びコンタクトビア20は、絶縁層10、12の2つの層を貫通しており、ビア20の有効縦横比が非常に高い。
従来の蒸着プロセスは、様々な理由で、そのような高い縦横比ビアの非常に貧弱なステップカバレッジ(即ち、フィールド又は水平表面カバレッジに対する側壁カバレッジの比率)を生じる。PVD技術の指向性により、例えば、蒸着は、ビア底30と比較して、トレンチ16の上部コーナー26およびビア20の上部コーナー28において、より急速に蓄積する傾向がある。その構造の上部表面上への蒸着物質の急速な蓄積の結果、ライニング層は、トレンチ16内の、およびさらに比例して、コンタクトビア20内の導電性配線幅の大部分を占有する。これら蓄積されたコーナー26、28は、その後、下部表面、より詳細には下部コーナーがさらなる蒸着から保護されるような影を、構造の下部階層へ投じる。例えばコリメーションによって、あるいは蒸着する蒸気のイオン化によって、ビア底にPVD蒸着をよりはっきりと向けることができるけれども、そのような付加的な指向性は、側壁カバレッジを犠牲にする傾向がある。
化学気相蒸着法(CVD)プロセスは、或る金属および金属窒化物のために開発されている。CVDは、PVDプロセスより良好なステップカバレッジを示す傾向がある。CVDプロセスが良好なステップカバレッジを示すために、反応は、いわゆる「表面コントロールされた」管理状態で実行されなければならない。この管理状態では、反応性種は、最初の衝突時にトレンチ又はビア壁に接着しない。それどころか、種は、反応する前に、トレンチ/ビア表面から数回(例えば10〜500回)反射する。
周囲の物質と両立するようにバリア層を十分低い温度において蒸着するための最先端のCVDプロセスは、表面コントロールされる管理状態においては完全には機能しない。従って、CVDプロセスでさえ、デュアルダマシン・コンタクトビア20の底に、そして構造の上部表面および側壁上に、はるかに少ない物質を蒸着する傾向がある。トレンチ16及びコンタクトビア20の上部コーナーは、容量に対する表面積の高い濃度を表す。水平の上部表面上および隣接する垂直の側壁表面上への蒸着はともに融合し、コーナー26、28近辺での蒸着速度が増大することになる。さらに、流れる反応物が、トレンチ16及びコンタクトビア20の閉空間へゆっくりと拡散する。従って、ビア底30に到達する反応物の濃度は、構造の上部表面に到達する反応物の濃度に比べてはるかに低減される。従って、PVDに比べていくぶん改善されるとはいえ、現在最も良く知られている低温CVD技術と共に、デュアルダマシン構造のCVDステップカバレッジは一様でないままである。
より速い動作速度およびより低い消費電力を有する装置を追求して、集積回路内の寸法は絶えず縮小される。継続的な縮小化で、コンタクト及びトレンチの縦横比は増大し続ける。これは、集積回路内の構造の幅又は水平寸法が縮小し続ける一方、金属層を分離する絶縁層の厚さを相応に縮小することができないという事実のためである。絶縁層の厚さの低減は寄生容量の現象によって制限され、そこでは、導電性配線間に介装された誘電体層の容量によって、荷電キャリアが減速又は束縛される。知られているように、水平寸法が縮小されるとともに、絶縁層が比例してより薄くなれば、そのような寄生容量は無効になるであろう。
図1の縮小バージョンが描かれている図2を参照する。ここで、類似の構成は、接尾辞「a」を付した類似の符号により参照される。図2はまた、エッチング停止層上の埋め込みハードマスクが無く、上部CMP停止層が無いデュアルダマシン・スキームを示す点で、図1と異なる。示されているように、継続的な縮小は、デュアルダマシン構造をライニングするとき、一様でないステップカバレッジのより著しい影響をもたらす。コンタクトビア20aのコーナー28aに蓄積された物質は、開口のサイズを急速に縮小し、コンタクトビア20a内に到達する反応物の濃度をさらに限定する。従って、ビア底表面30aのカバレッジは、さらに速く悪化する。そのうえ、図2の縮小構造について、ライナー(例えばバリア)物質によって占有されるトレンチ16aのパーセンテージは、はるかに大きい。ライニング物質は、典型的に、後続の埋め込み金属(例えば銅)ほど導電性ではないので、全体的な導電率は低減される。さらに悪いことに、底30aが十分にカバーされる前に、あるいは埋め込み金属の蒸着中に、コンタクトビアのコーナー28aの尖端が無くなる可能性がある。
バリアフィルムの一様性を改善する努力とは無関係に、層間誘電体(ILD)物質の、誘電又は誘電率定数(k)値を低減する試みがある。寄生容量に対する所定の回路設計許容誤差について、いわゆる「kの低い」物質がより薄いILDを提供することができるため、低減された誘電率定数値は、ILDの単位厚さ当たりのより少ない寄生容量に帰着する。「kの低い」とは、シリコン酸化物(k≒4)およびフッ素化ケイ酸塩ガラス(FSG)(k≒3.4〜3.7)のk値未満のk値を有する物質であり、集積回路を作製する際の現在主要なILD物質を意味する。従って、埋め込まれるコンタクト及びトレンチの縦横比を縮小することができ、これら開口をライニングすることがより容易になる。
集積回路内のkの低いフィルムの製造のために、様々な物質および技術が開発されている。堆積方法は、所望の特性に依存し、現在、スピンオン堆積、CVD、プラズマエンハンスドCVD(PECVD)、および高密度プラズマ(HDP)CVDを含む。方法及びフィルムのうちのいくつかは、Laura Petersによる“Pursuing the Perfect Low−k Dielectric” Semiconductor International, Vol.21, No.10 (Sept.1998)に記載されており、その参照は本明細書に引用される。いくつかのフィルムは、ハイドロジェンシルセスキオキサン(HSQ)およびフッ素化酸化物(FSG)のような、3から3.5のk値を有する。有機ポリマーは、ベンゾシクロブテン(BCB)およびポリアリーレンエーテル(PAE)のような、2.5から3の範囲の間のさらに低いk値を示す。スピンオン技術を用いたポリテトラフルオロエチレン(PTFE)に対する他の研究は、約1.9の特有のk値を達成した。日本ASM株式会社は、Low−k、ウルトラLow−k(ULK、それは多孔性または非多孔性であり得る)、およびエクストリームLow−k(ELK、それは一般に多孔性である)物質を含む、プラズマエンハンスドCVDによって形成されるkの低い物質を開発した。他の企業は、ナノ多孔性無機有機ハイブリッドを作成した。
集積回路内にILDとしてそのようなkの低い物質を使用することは、所定の寄生容量許容誤差に対して、開口をより薄く作製することができるので、ILD内の開口の縦横比を相当に縮小するであろう。従って、十分なコンフォーマル性を有するそのような開口のライニングは、より高い縦横比を有する開口のライニングと比較してより単純であると分かるであろう。
しかしながら、これら新素材を既存の技術に統合することは、それ自身の難しさをもたらす。他の要件のうち、kの低いフィルムは、異種物質への隣接、および様々な処理環境への露出にもかかわらず、十分に高い化学的、熱的、および機械的安定性を示す。製造プロセスと確実に統合するために、ILD物質は、エッチング、蒸着、クリーニング、および研摩プロセスと両立できるべきである。当該技術における熟練者によって認識されるであろうが、最先端の集積回路設計中への銅配線の導入から生じる複雑な状況によって証拠づけられるように、確立されたプロセスフロー中への新素材及びプロセスの統合は、めったに簡単明瞭な事柄でない。
それゆえに、後続の世代のそれぞれに伴ってILDの物質特性を変更することなく、kの低い物質を提供することが好都合であろう。ILDの物質特性を変更することなく、物質のk値を低減することができる1つの方法は、物質を多孔性にすることである。事実上、多孔性の誘電体は、空気(k≒1.0)の誘電強度と、内部に細孔が形成される誘電物質のそれとを合成する。好都合にも、既に統合された物質の多孔度を変更することにより、新素材を導入することなくk値を変更することができるという意味で、多孔性物質のk値は「調整可能」である。
現在、シリコン酸化物(k≒4)は、プロセスフローで広く使用されている。シリコン酸化物又は「シリカ」の多孔性のバージョンは、kの低い値、および現在のプロセスフローとの両立性の両方を有することができる。これは、ナノゲル、エアロゲル、キセロゲル、およびメソゲル(mesogels)として知られている多孔性シリカの等級の開発をもたらしてきた。同様に、より新しいkの低い物質は、一度プロセスフローへ統合されると、そのkの低い物質の多孔度を調節することにより、それらのk値が調整され得る。現在開発中のkの低い物質を使用する場合、2.5未満のk値を達成するには、多孔性の絶縁物質の提供を伴うであろうことは明らかである。
効果的な方法でそのような物質をライニングすることは、単純なプロセスではない。CVD及びPVDは、低い縦横比の開口を十分にライニングするかもしれないが、従来の蒸着技術の非コンフォーマル性は、未だなお問題となり得る。また、相互接続の寸法は縮小するが、ビア中のバリアに関する銅のパーセンテージは低減せず、それによりビア抵抗は増大する。CVD及びPVDのプロセスによって示される問題のうちのいくつかを克服するのに、原子層堆積(ALD)が有用になり得る(2002年11月19日発行の米国特許第6,482,733号、および2004年7月6日発行の米国特許第6,759,325号を参照し、それら全体は参照によって本明細書に組み込まれる)。しかしながら、以前に開示されたいくつかの実施形態は、未だなお、接着、短絡、および全般的な破壊に関する問題点を持ち得る。そのうえ、これら以前のアプローチの多くは、追加の層を絶縁層に加えることを採用したが、そのことは、後にビア及びトレンチへ導入され得る銅の容量を低減するであろう。
引き続きバリア層が堆積される絶縁体の界面を改善するための絶縁体のプラズマ処理方法が提供される。バリア層は原子層堆積(ALD)により堆積される。好ましい実施形態では、本明細書に提供される方法は、ライニング物質、特に、デュアルダマシン・メタライゼーション・スキームの高い縦横比のトレンチ及びコンタクトビア内へバリア物質を堆積するための方法である。好都合にも、その方法は、ライニング層の最小限必要とされる厚さのみがすべての表面上に形成されるような、高いステップカバレッジに到達する。1つ以上のバリアおよびシード層を形成するための方法を適用するための実施例が提供される。
いくつかの実施形態では、その方法は、原子層堆積(ALD)に先立ったプラズマ処理を含む。いくつかの実施形態では、その方法は、「kの低い」物質内に形成されたメタライゼーション構造に適用される。高いコンフォーマル性の自己飽和プロセスに先立って、kの低い露出表面は、特別のタイプのプラズマで処理され、これはkの低い表面を巧みに処理する。好都合にも、プラズマ処理された表面あるいは層は、高い縦横比の開口(例えばトレンチ及びビア)内の絶縁物質上に形成され得る。プラズマ処理プロセスが、Low−k誘電体あるいはウルトラLow−kの多孔性絶縁物質上に、実際に層を堆積しないため、後続のコンフォーマルなプロセスは、密閉層が多孔性の表面を密閉するために使用される場合よりも、よりコンフォーマルになり得る。したがって、これらトレンチ又はビア内に残留する容積が最大化され、金属ランナーおよびインテグラルコンタクトのための銅のような、より高い導電性の埋め込み物質の、より多くの比例した容積を促進する。さらに、この処理プロセスは、接着層のような他の付加的な層の省略を可能とすることができる。
発明の1つの側面は、メタライゼーション・プロセスである。そのプロセスは、半導体基板上のkの低い絶縁層内に、所望の相互接続パターンでトレンチを形成するステップと、プラズマプロセスを実施されていないトレンチの表面に結合されるバリア層と比較して、引き続き蒸着されるバリア層のバリア特性を改善するのに十分な条件の下で、前記トレンチの露出する表面を前記プラズマプロセスで処理するステップと、原子層堆積(ALD)プロセスにより、前記トレンチの前記表面を前記バリア層でライニングするステップとを含む。
発明の他の側面は集積回路である。その集積回路は、半導体基板上の絶縁層内に、所望の配線パターンに形成されたトレンチを備える。その集積回路はさらに、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアを備える。その集積回路はさらに、前記トレンチの表面上に直接形成されたバリア層と、前記バリア層に付着する銅層とを備え、前記バリア層は、タングステン、窒素、および炭素を含む。
発明の他の側面はメタライゼーションのために設定されたクラスターツールである。そのツールは、基板上の絶縁表面のインシチュプラズマプロセス用に設定された第1のチャンバを備える。そのツールはさらに、金属窒化物炭化物バリア物質の原子層堆積用に設定された第2のチャンバを備える。そのツールはさらに、前記金属窒化物炭化物物質にシード層を適用するために設定された第3のチャンバを備える。そのツールはさらに、前記第1、第2および第3のチャンバ間の空間を備える。前記チャンバ間の該空間は、該空間内において前記基板が前記第1、第2および第3のチャンバ間を移動することを可能とする。前記チャンバ間の転送の間、前記第1、第2および第3のチャンバ間の前記空間は、真空を維持するように設定される。
発明の他の側面は集積回路作製方法である。その方法は、絶縁層の表面をプラズマ処理するステップを含む。前記プラズマ処理は、前記表面を処理するための還元プラズマの使用を含む。前記絶縁層の前記表面をプラズマ処理するステップの後に、その方法はさらに、原子層堆積(ALD)により堆積されたバリア層で、前記表面をライニングするステップを含む。前記バリア層は、金属、炭素、および窒素を含む。前記表面と前記バリア層との間の結果的に得られる界面は、前記バリア層の厚さの標準偏差が、前記バリアの前記厚さの平均の約5%未満である点で、比較的一様である。
発明の他の側面はメタライゼーション・プロセスである。そのプロセスは、半導体基板上のkの低い絶縁層内に、所望の相互接続パターンでトレンチ及びビアを形成するステップと、前記トレンチの露出する表面をプラズマプロセスで処理するステップとを含む。そのプラズマプロセスは、H/Heプラズマを含み、実質上、トレンチの露出する表面すべてを処理することができる。前記トレンチの露出する表面を前記プラズマプロセスで処理するステップの後に、そのメタライゼーション・プロセスはさらに、原子層堆積(ALD)プロセスにより、前記トレンチの前記表面を前記ライナー層でライニングするステップを含む。
発明の他の側面はダマシンメタライゼーション・プロセスである。いくつかの実施形態では、そのプロセスは、半導体基板上のLow−k、ウルトラLow−k(ULK)、エクストリームLow−k(ELK)多孔性絶縁層内に、所望の配線パターンに形成されたトレンチを形成するステップと、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアを形成するステップと、He/Hプラズマプロセスを実施されていないトレンチの表面に結合されるバリア層と比較して、バリア層のバリア特性を改善するのに十分な条件の下で、前記トレンチの露出する表面をHe/Hプラズマプロセスで処理するステップとを含む。前記トレンチの露出する表面を前記He/Hプラズマプロセスで処理するステップの後に、原子層堆積(ALD)プロセスにより、前記トレンチの前記表面を前記バリア層でライニングし、銅のシード層を堆積する。
発明の他の側面は、半導体基板上のkの低い絶縁層内に、所望の配線パターンに形成されたダマシントレンチを形成するステップと、He/Hプラズマプロセスを実施されていないトレンチの表面に結合されるバリア層と比較して、バリア層のバリア特性を改善するのに十分な条件の下で、前記トレンチの露出する表面をHe/Hプラズマプロセスで処理するステップと、原子層堆積(ALD)プロセスにより、前記トレンチの前記表面を前記バリア層でライニングするステップとを含むダマシンメタライゼーション・プロセスである。前記ALDプロセスは、炭素段階を適用するステップと、タングステン段階を適用するステップと、窒素段階を適用するステップとを含む。
発明の他の側面は集積回路作製方法である。その方法は、半導体基板上の多孔性の絶縁層内にトレンチを形成するステップと、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアを形成するステップと、前記トレンチ及びビアの形成とHプラズマ処理するステップとの間、前記トレンチ及びビアが酸化処理にさらされずに、前記トレンチ及びビアをHプラズマ処理するステップと、前記トレンチの露出する表面を前記Hプラズマプロセスで処理するステップの後に、前記トレンチの前記表面をバリア層でライニングするステップと、前記バリア層を覆う電気化学堆積シード層を形成するステップとを含む。
発明の他の側面は、半導体基板上の絶縁層内に、所望の配線パターンに形成されたトレンチと、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアと、前記トレンチの表面上に直接形成された、タングステン、窒素、および炭素を含むバリア層と、前記バリア層に付着する銅層とを備える集積回路である。
発明の他の側面は、半導体基板上の絶縁層内に、所望の配線パターンに形成されたトレンチと、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアと、H/Heプラズマ処理された絶縁表面と同様に有効に設定された絶縁表面と、前記トレンチの表面上に直接形成された、タングステン、窒素、および炭素を含むバリア層と、前記バリア層に付着する銅層とを備える集積回路である。
発明の他の側面は、半導体基板上のkの低い絶縁層内に、所望の配線パターンに形成されたトレンチと、前記トレンチの表面上に直接形成された、タングステン、窒素、および炭素を含むバリア層とを備える集積回路である。
発明の他の側面はダマシンメタライゼーション・プロセスを含む。そのプロセスは、半導体基板上の多孔性の絶縁層内にトレンチを形成するステップと、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアを形成するステップと、酸化物除去プロセスで、前記下部導電素子の前記露出する部分を処理し、金属酸化物を還元するステップと、前記トレンチ及びビアに結合されるバリア層のバリア特性を改善するために、He/Hプラズマを用いた前記酸化物除去プロセスの後に、前記トレンチ及びビアの前記表面を処理するステップであり、ここで、有効なHe/Hプラズマプロセスを実施されていない同様のトレンチ内のバリア層と比較して、前記バリア特性が改善されるステップと、前記トレンチの前記表面を前記バリア層でライニングするステップと、反応性種を前記バリア層と反応させるステップとを含む。
発明の他の側面はライニングされたダマシントレンチである。そのライニングされたトレンチは、半導体基板上の多孔性の絶縁層内に形成されたトレンチを備え、その多孔性の絶縁層は、−SiRO−の繰り返し構造単位と、前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアと、前記トレンチの表面上及び前記ビアの表面に形成されたバリア層とを備え、ここで、前記バリア層は、約90%より高いステップカバレッジを有し、ここで、前記バリア層の厚さの標準偏差が、前記バリアの前記厚さの平均の約1%未満である点で、前記表面と前記バリア層との間の界面は比較的一様である。
発明のいくつかの実施形態では、バリア層の蒸着に先立って、前記絶縁物質の前記プラズマプロセスが生じ、該バリア層は原子層堆積(ALD)により堆積される。
発明の他の側面は、上記した方法のうちの何れかを実行するための装置である。装置は、絶縁表面のインシチュプラズマプロセス用に設定された第1のチャンバと、原子層堆積プロセス用に設定された第2のチャンバと、ALD処理された表面に銅層を適用するために設定された第3のチャンバとを備える。
発明のこれらおよび他の側面は、以下の記述を考慮して、発明を例証し且つ制限しないように解釈される添付の特許請求の範囲および図面から、当該技術における熟練者に容易に明白になるであろう。
図5〜9および17A〜17Eは、デュアルダマシン・プロセスフローに従って部分的に作製された集積回路の概略断面図であり、半導体基板上の絶縁層内に形成されるトレンチ及びビアの構築、ライニング、および埋め込みを概略的に図示する。
図9B〜9Eは、理想的でないダマシン構造を示す図である。
図面の簡単な説明において説明する図は、発明の種々の側面の代表的な実施形態である。他の実施形態もまた本明細書に開示され、図に記述された構成要素のうちの、いくつかを含む必要はなく、即ちすべてを含む必要はない。
本明細書での開示を考慮して、ある好ましい物質と関連させて記述されているが、記述された方法および構造が、ダマシン構造をライニングするための他の様々な物質への応用を有するであろうことが理解されるであろう。
上記背景技術欄において明らかにされたように、物理気相蒸着法(PVD)によって、通常の化学気相蒸着法(CVD)によって、そして原子層堆積(ALD)によってさえも、ダマシン構造を、そして特にデュアルダマシン構造をライニングすることは、トレンチ及びコンタクトビアの空間を不都合に埋め込む。従って、引き続く高導電性の埋め込み物質のための空間がほとんど残されない。より薄いライナーの使用、あるいは好ましくは全く物質を使用しないことは、銅などの、高導電性であり、それによって、導電性と集積回路についての動作信号の伝送速度とを増大させるであろう埋め込み金属のために、より多くの空間を残すであろう。さらに、PVD及びCVDのような従来の方法は、まさにそれらの性質によって、より厚い層をダマシン構造内に製造する。デュアルダマシントレンチ及びコンタクトビアのよりコンフォーマルなステップカバレッジを得るために、より多くの研究が捧げられているが、そのような構造のすべての表面に、反応性種(或いはPVDスパッタ物質)の同じ濃度を供給することは難しい。特に、既に深いトレンチの底から伸びる、深い、閉じ込められたコンタクトビアの底へ、そのような構造の上部表面に供給されるのと同程度に蒸着種の同じ濃度を供給することは難しい。
追加の層を加えることにより問題にアプローチすることに代えて、本発明者らは、プラズマプロセスの特別の形式、あるいは絶縁層の表面の「プラズマ界面工学」を通じて、すべてではないにしろ、問題のうちの多くに取り組むことができることを発見した。プロセスはプラズマに基づくので、それは、絶縁層のすべての露出する表面の完全なカバレッジを可能とすることができる。さらに、プロセスはプラズマに基づくので、それは、トレンチ又はビア内の銅のために利用可能なスペースを縮小するであろう追加の層を加えない。さらに、いくつかの実施形態は、費用効果の高いプロセスとして、製造製作設備において利用可能なツールで実行され得る。そのうえ、プラズマに基づいたこのプロセスは、集積回路の製造に使用されることができ、寿命の増大、より低い抵抗性、優れた一様性、及びより緻密な界面といった、改善されたバリア特性を有する回路に帰着する。さらに、所望の方法を実行する装置もまた熟考され、上記の利点を共有することができる。
プラズマ処理
本明細書において特定される所望の利益のうちの何れかを達成するのに十分な条件の下で、層のプラズマプロセスが遂行される。いくつかの実施形態では、kの低い絶縁体の表面でプラズマプロセスが実行され、絶縁物質の表面からプラズマ処理された表面を作成する。しかしながら、物質は絶縁物質に制限される必要はない。好ましい実施形態では、プラズマプロセスが、そのような物質に対する追加の利点を有すると信じられているので、物質は多孔性物質である。しかしながら、表面(例えば、High−又はLow−k、ウルトラLow−k(ULK)、エクストリームLow−k(ELK)、多孔性か否か、絶縁体か否か)のプラズマ処理は、最適化された条件の下で、本明細書に記述された優れた側面のうちの少なくともいくつかに帰着し得ると信じられている。本明細書において特定される改善された特性を実証するように、「プラズマ処理された層」は、絶縁物質の表面から作成されるが、未処理の絶縁物質の残りとは異なる。このプラズマ処理された層は、その後、原子層堆積(ALD)プロセスによって堆積され得るバリア層によってカバーされ得る。
当該技術における熟練者によって認識されるであろうが、プラズマはそれ自身様々な物質を含み得る。絶縁層がプラズマにさらされる下での条件はまた、処理又はプロセスの有効性を決定する際に重要な役割を果たし得る。以下の例から明確になるであろうように、すべてのプラズマ処理が他のものと同様に作用するとは限らないであろう。現実に、いくつかのプラズマは、回路の所望の特性を実際に減少させるかもしれない。しかしながら、本開示が与えられると、当該技術における熟練者は、どのプラズマおよび条件が所望の結果を生成することができるかを容易に決定し得る。
プラズマを生成するための実際の条件は変化可能であり、製品の所望の特性に依存するであろうが、次のセクションは、関連する変数とそれら変数の主要な範囲とを概説する、一実施形態では、プラズマはH又はHeを含み、より好ましくは、プラズマはH及びHeの組み合わせを含む。いくつかの実施形態では、プラズマを持続するために、50〜300sccmのHeおよび1〜100sccmのHが使用され、より好ましくは、100〜200sccmのHeおよび10〜50sccmのH、最も好ましくは、約180sccmのHeおよび約20sccmのHが使用される。いくつかの実施形態では、Heに対するHの比率は、所望の結果のための調節に関連する。例えば、Heに対するHの比率は、体積で、A)He19部に対してH1部と、B)He40部に対してH160部との間で変化可能である。好ましい実施形態では、体積でHe9部に対してH1部で使用される。いくつかの実施形態では、有効なプラズマの形成用のHと共に、若干量のHeが必要とされる。He及びHの組み合わせが、プラズマ処理された表面を形成するための特に有効なプラズマに帰着し、そのプラズマ処理された表面が、他のプラズマを用いて処理された他の表面を越える優れた特性を所有することが発見された。
代替の実施形態では、NHが、好ましくは1000W未満のパワーで、プラズマに使用され得る。代わりに、NおよびHの様々な組み合わせが、プラズマの生成に使用され得る。水素に対する窒素の量は、当該技術における熟練者によって調節されることができ、比率は、上記したHeに対するHの比率に帰着したものと同じ原理によって導かれ得る。いくつかの実施形態では、H/Heプラズマ処理された層について以下に記述された結果の少なくとも1つが達成されるまで、条件が系統的に調節される。代わりに、プラズマの生成のために不活性ガスが使用され得る。代わりに、プラズマの生成のために任意の還元プラズマが使用され得る。条件は、本明細書に記述された技術によって、そして本明細書に記述された結果に最適化され得る。
いくつかの実施形態では、非−H/Heプラズマが使用される場合、処理される絶縁層はkの低い物質であり、好ましくは3.4未満のk値を有する。さらに、そのようなプラズマが使用される場合、好ましくは、ALDステップがその処理に続く。いくつかの実施形態では、これは、表面を酸化性雰囲気に露出することなく遂行される。
いくつかの実施形態では、プラズマ前処理ステップが、プラズマ前処理にさらされる層の構造を変更するのに十分な持続時間の間実行される。
いくつかの実施形態では、プラズマ処理された表面上の、特に、ALDが形成された層上の、後続の蒸着層に対する界面特性を改善するために、プラズマ処理が表面を変更することが好ましい。
いくつかの実施形態では、電極間に1〜15mmの電極ギャップを使用することにより、プラズマがインサイチュで作成され、好ましくはギャップは4〜10mmであり、最も好ましくはギャップは3〜5mmである。代替の実施形態では、プラズマはリモートに生成される。プラズマは、好ましくは等方性あるいはバイアスされていないプラズマである。これは、トレンチ及びビアの表面の全体あるいは実質上すべてを処理することを可能とする。特に、プラズマ処理の形状が、ビアの底をプラズマ処理することに加えて、側面、あるいはトレンチ及び/またはビアの縦断面を処理することを可能とすることができる。好ましい実施形態では、プラズマは、バイアスされない、あるいは指向的ではない。
いくつかの実施形態では、プラズマは還元プラズマであり、1)プラズマ処理された表面を得るために、記述されるように表面をプラズマ処理し、2)ビアの底から任意の金属酸化物(例えば、酸化Cu)を除去する。いくつかの実施形態では、両方の事象が生じ、ビア底の絶縁体及び導電体の両方に対するバリアの優れた表面接合に帰着する。プラズマは、好ましくは等方性のプラズマで、リモートプラズマチャンバ内で生成され得る。ビアから酸化物を除去するための、バイアスあるいは指向性エッチングの使用と異なり(例えば、指向性を有するスパッタエッチングにより)、等方性のプラズマは、トレンチの側面を同様に処理することが可能である。当該技術における熟練者によって認識されるであろうが、両方の事象が生じる実施形態では、プラズマはまた、酸化物を除去するために本質的に還元する(例えば、H励起種を含んで)であろう。酸化物の除去は、金属層間の十分な導電性を可能とするのに有益になり得る。
いくつかの実施形態では、プラズマは、1000〜2000Wで、10MHzから27MHzの周波数の使用により生成され、より好ましくは、1250〜1750Wで、周波数は10〜20MHzであり、最も好ましくは、約1500Wで、周波数は約13.5MHzである。いくつかの実施形態では、基板の温度は200〜400℃であり、より好ましくは250〜350℃であり、最も好ましくは約300℃である。
上記範囲は、これら変数の妥当な範囲として、最初のガイダンスについて提供される。当該技術における熟練者によって認識されるであろうが、本教示に照らして、絶縁層とバリア層との間の界面の所望の特性、若しくはバリア層自身の特性を達成することができるように、上記変数は調節され得る。
一般に、プラズマ処理は、絶縁表面上の特定の原子、結合、又は電荷の、濃度あるいは分布を変更する。理論によって制限されずに、発明者らは、この処理が、後続の形成された層が絶縁層の孔又はボイドに侵入するのを防ぐために、絶縁層を物理的に変更することができると仮定する。したがって、プラズマ処理プロセスは、そのような上部表面の孔の開口を、崩壊するかあるいはそうでなければ密閉するリフォームプロセスを含むことができる。プラズマプロセスは、絶縁物質の表面で結合を破り、よって、次のALDプロセスがより容易に核を形成することを可能とすることができる。実際の実施メカニズムに関係なく、プラズマ処理された絶縁表面は、未処理の絶縁表面とは異なる表面である。そのような構造を有する表面は、「プラズマ処理された層」あるいは「プラズマ処理された表面」と呼ばれることができる。
そのような集積回路を作製するための好ましい実施形態では、プラズマプロセスは、−SiRO−を含むLow−k、ULK、あるいはELK絶縁層上で実行されるHe/Hプラズマプロセスである。プラズマプロセスの後、タングステン窒化物炭化物バリア層が、その後、kの低い絶縁物質のプラズマ処理された表面上に直接堆積され、その後、銅が使用されて、トレンチ及びビアが埋め込まれる。次のセクションは、そのようなプラズマ処理された回路を作製するための基本的なプロセスを概略的に説明する。図3は、プラズマ処理された集積回路の形成方法を概略的に図示する。好ましい方法は、絶縁層のプラズマ処理と、原子層堆積(ALD)の形式である少なくとも1つのプロセスステップとを含み、それによって、反応物が、サイクル中の交互パルスでワークピースに供給される。
回路構築
絶縁層
図5〜8を参照すると、半導体基板を覆って絶縁層が形成される。当該技術における熟練者によって認識されるであろうが、そのような絶縁層を形成および処理することが可能な様々な方法がある。他に指摘されなければ、これは発明の範囲を制限することなく、絶縁体の形成及び処理の任意の方法又は技術が使用され得る。
図5〜8に、層を作製する一実施形態が示されている。最初に図5を参照すると、第1の又は下部絶縁層50が、バリア層51と、図示する実施形態において下部相互接続層の一部を形成する導電素子52とを覆って形成される。当該技術における熟練者によって認識されるであろうが、メタライゼーション・スキームは、典型的には、様々な配線層(例えば、銅相互接続あるいはアルミニウム相互接続)の全体にわたって、1つの金属組成を使用する。好ましい実施形態は、多くの異なる物質に適応可能であるが、或る実施形態が、ビア底又は下部導電素子52が高導電性の銅配線を含むライニング・ダマシン構造に特に適応される。第1の絶縁層50は、好ましくは、形成される上部配線構造から下部導電素子52を絶縁するのに十分な厚さに形成される。エッチング停止層又はハードマスク54(図6〜7)が、下部絶縁層50を覆って形成され、第2の又は上部絶縁層56(図8)が、エッチング停止層54を覆って形成される。第2のエッチング又はCMP停止層58(シールド層としても知られている)もまた、好ましくは、上部絶縁層56を覆って形成される。他の実施形態では、絶縁層が、エッチング停止層および/またはCMPハードマスク層なしに形成される。
図示する実施形態では、下部および上部絶縁層50、56の各々は、約1.0μm未満、より好ましくは約0.8μm未満、最も好ましくは約0.6μm未満の厚さを有する誘電物質を含む。絶縁層がいくつかの適切な誘電物質のうちの何れかを含むことができることを、熟練者は容易に認識するであろう。例えば、従来の酸化物と比較して低い誘電率(kの低い)を示す誘電物質が、最近開発されている。これらkの低い誘電物質は、高分子物質、多孔性物質、およびフッ素をドープした酸化物を含む。追加の誘電物質は、米国特許第6,949,456号および米国特許公開番号第2005/0179135号および第2005/0048797号に記載された、ウルトラLow−k(ULK、2.4〜2.7の誘電体)およびエクストリームLow−k(ELK、k<2.4)を含み、そのすべては参照によってそのまま組み込まれる。トレンチ及びコンタクトビアをライニングする本方法は、そのようなkの低い物質と関連する特別の有用性を有する。
好ましい物質の1つは、日本国、東京の日本ASM株式会社から市販されている、AURORA(登録商標)プロセスの製品である。AURORAプロセスの記述は、2002年9月24日にMatsukiに付与され日本ASM株式会社に譲渡された米国特許第6,455,445号に含まれ、その開示は参照によって明確に本明細書に組み込まれる。そこで議論されるように、シロキサンポリマー絶縁フィルムは3.3以下の誘電率を有し、−SiRO−の繰り返し構造単位を有する。その物質は、特に垂直に整列する異方性の孔構造を有することが分かった。したがって、より多くの開口が、側壁よりも上面表面にある。シロキサンポリマーは、一般式Siαβ(ここで、α、β、xおよびyは整数である)によって表現されるシリコン含有炭化水素化合物を、直接気化させることにより形成され、その後、気化した化合物を、プラズマCVD装置の反応チャンバに導入する。ソースガスの滞留時間は、微孔性構造及びkの低い値を有するシロキサンポリマーフィルムを形成するように、反応ガスの全流量を低減することにより延長される。
図示する絶縁層50、56は、このように、kの低い物質、より詳細には、約3.3未満の誘電率(k)を示す多孔性のkの低い物質を含む。好ましくは、絶縁層のk値は、約3.0未満、より好ましくは約2.5未満、最も好ましくは約2.0未満である。
上記背景技術欄において述べられたように、多孔度を増大させることは、有効に誘電率を低下させる。従って、kの低い物質の寄生容量の低減の最大の利点は、最大の多孔度において生じる。この利点は、さらなる処理中の機械的、化学的、および熱的安定性の問題と比較検討され、それら問題のいくつかは、多孔度の調節と無関係な技術により解決され得る。本明細書に開示された方法は、任意のレベルの多孔度を有する絶縁層に適用可能であり得るが、kの低いフィルム50、56の多孔度は、望ましくは約20%より高く、より好ましくは約40%より高く、最も好ましくは約50%より高い。
少しは好ましい多孔性のkの低い物質は、カリフォルニア州サニーベールのHoneywell Advanced Microelectronic Materials(AMM)(以前はAllied Signal)から、商標Nanoglass(登録商標)で市販されているスピンオン物質である。Nanoglassは、50%から90%の多孔度レベルについて、2.5〜1.3のk値を有するナノ多孔性なシリカである。Nanoglassの現在入手可能な市販バージョンは、約70%の多孔度と共に約2.0の誘電率値を有する。Nanoglassの初期のバージョン(NanoglassK2.2−A10B)に関する研究は、このそれほど多孔性でないバージョンのキセロゲルが、約4nm(40Å)の平均孔サイズで完全に結合された孔を有することを見いだした。1999年のIEEE 1999 International Interconnect Technology Conference予稿集の第187〜189頁に記載されたRyanらの「Material property characterization and integration issues for mesoporous silica」。しかしながら、本明細書に開示された方法が、異なる多孔度レベルを有する様々な他の物質に適用可能であることを、熟練者は容易に認識するであろう。
図示する実施形態のエッチング停止層54、58は、絶縁層50、56に対して異なるエッチング速度を示す物質を各々含み、エッチングプロセスのより良い制御を可能とする。図示する実施形態では、エッチング停止層54、58は、窒化ケイ素(Si)を含み、好ましくは約100Å〜700Å、より好ましくは約200Å〜500Åの厚さで供給される。下部バリア層51は、好ましくは、さらにSiを含む。エッチング停止層54、58がまた、下層にある多孔性の絶縁層50、56を強化する役割をすることができることが理解されるであろう。Ryanらの文献中に開示され、先の段落において引用されたように、1,000ÅのCVD酸化物キャップが、後続のCMPプロセス中の弾力性を改善するために採用されることができ、さらに、上部を覆う金属を研磨する際の終点として役立つことができる。
上記背景技術欄において議論されたように、下部絶縁層50およびエッチング停止層54が形成された(図5及び6)後に、マスクおよびエッチングプロセスが、開口55(図7中に1つ示す)のパターンをエッチング停止層54に転写する。その後、第2又は上部絶縁層56および付加的なCMP停止層58が、ハードマスク54を覆って形成される。上記したように、エッチング停止層54およびCMP停止層58は、すべての実施形態において存在する必要はない。
今、図9aに示される実施形態を参照すると、基板がマスクされ、トレンチ60(1つ示す)が、上部絶縁層56を通じて、好ましくは、第1のエッチング停止層54の露出する部分で停止してエッチングされる。当該技術における熟練者によって認識されるであろうが、トレンチ60は、集積回路設計に従って、絶縁層56を横切って、金属配線用の所望のパターンにエッチングされる。図示する実施形態では、トレンチの幅は、約0.35μm未満、より好ましくは約0.25μm未満、最も好ましくは約0.2μmである。
ハードマスク54を通じた継続的なエッチングは、トレンチの底から下方へ伸び、下部絶縁層50を通じて下方の導電素子(例えば金属配線52)を露出するコンタクトビア62(1つ示す)を画定する。コンタクトビア62は、トレンチ60に沿った別々の位置に、ハードマスク54内の開口55によって画定される。望ましくは、コンタクトビア62は、約0.35未満μm、より好ましくは約0.05μm〜0.25μm、最も好ましくは約0.05μm〜0.18μmの幅を有する。コンタクトビア62の幅あるいは直径は、上方のトレンチ60によって画定される線幅と等しく、あるいは僅かに小さくなり得る。
コンタクトビア62の有効縦横比(深さ:幅)は、それゆえに、好ましくは約1:1よりも大きい。両方の絶縁層50、56を通じてコンタクトビア62の有効深さが決まるので、有効縦横比は、より好ましくは約2:1よりも大きく、最も好ましくは約2:1〜4:1である。好ましい実施形態は次世代の装置に関して特別の有用性を有し、それにより、線幅およびコンタクト幅はさらに縮小するであろう。好都合なことに、絶縁層50、56への比較的薄いkの低い誘電体の採用は、従来のシリコン酸化物(k≒4)を使用する等価な設計に対して縦横比を低減する。
当該技術における熟練者によって認識されるであろうが、上記記述は、トレンチとビアとを形成する単に1つの方法を概説する。他の実施形態、特に、エッチング停止層が使用されない実施形態(VFTL法のような)では、ビアは最初に形成され得るが、トレンチは後で形成される。
図9b〜9eを参照すると、好ましい実施形態はまた、図9aのデュアルダマシン構造のバリエーションに関して特別の有用性を有する。図9aの構成に類似する構成は、類似の参照符号により参照されるであろう。
図9bを参照すると、キャップされていないデュアルダマシン構造が示される。デザインルールにより、キャップされていないビア62が可能になる(そして、それらはより高い回路密度を得るために望ましい)と、マスク誤整列がさらに大きな縦横比をもたらし得る。1つのビア側壁が、ハードマスク54によって画定される開口55の対応する端から引き出されると、縦横比が、容易に、図9aの図示された実施形態について上記で記載した縦横比の2倍になり得るように、有効なコンタクトサイズが減少する。
今、図9cを参照すると、完全には重ならないビアが、より高い有効縦横比を同様に示す。そのような状況の下では、ハードマスク54の開口55は、導電性の回路素子52の端70とオーバーラップする。小さいが非常に高い縦横比のオーバエッチングホール72が、回路素子52を囲んでいる絶縁あるいは誘電体層74内に形成される。オーバエッチングホール72の深さは、もちろん、バリア層51と周囲の誘電体74との間のエッチング選択性に依存するであろう。
図9dは、ビアエッチング中のバリア層51のアンダーカット効果を図示する。選択的エッチングによって、ビア底からバリア層51がエッチングされ、下層にある回路素子52が露出すると、バリア層51は横方向にリセスされる傾向がある。その結果生じる空洞80は、従来のプロセスによりライニングするのは非常に困難である。
図9eはさらに他の理想的でないダマシン構造を図示する。構造をパターニングするために採用されたフォトレジストを除去する際、kの低い誘電体で形成された絶縁層50、56は浸食の影響を受けやすく、トレンチ60及びビア61内に樽形の断面形状を残す。この構造もまた、従来のプロセスにより効果的にライニングし埋め込みを行うのは困難である。
同様に、他の多くの理想的でない条件が、他の凹形断面形状、空洞、および/またはデュアルダマシントレンチ及びビア用の極めて高い縦横比に帰着し得る。そのような状況の下では、従来のプロセスは、ボイドを形成することなくこれらの構造をライニングし埋め込みを行うのに不十分である。好ましい実施形態に係る方法は、対照的に、図9b〜9eの普通でないあるいは異常な構造でさえ有効にライニングすることができる。そのうえ、熟練者は、デュアルダマシンの意味する範囲を越えて本明細書に開示された方法及びフィルムについての応用を容易に見つけるであろう。例えば、本明細書に開示された方法はまた、シングルダマシン配線スキームにおいてトレンチをライニングするために、あるいは、従来のコンタクトビア及び開口をライニングするために有効に用いられ得る。そのライニング方法は、多孔性のkの低い物質を採用するデュアルダマシン・プロセスフローに関連する特別の有用性を有する。
他の側面では、プラズマ処理されることになっている層は、kの低い絶縁層である必要がなく、上記したものとは異なる特性を持ち得る。いくつかの実施形態では、プラズマ処理されることになっている層は、FSG(フッ素化ケイ酸塩ガラス)である。いくつかの実施形態では、プラズマ処理されることになっている層は、TEOS(テトラエチルオルソシリケート)である。
あるいは、いくつかの実施形態では、プラズマ処理されることになっている層又は物質は、HfO、ZrO、ハフニウム及びジルコニウム・シリケート、バリウム・ストロンチウム・チタネート(BST)、並びにストロンチウム・ビスマス・タンタレート(SBT)などの、高いkの層である。高いkの層は、5より大きい、好ましくは10より大きいk値を有するであろう。そのような層は、メモリキャパシタ内で、トランジスタのゲート誘電体として使用され得る。本明細書に教示された高いkの層のプラズマ処理は、酸素拡散に対するバリアとしての役割をする上記金属窒化炭化物のような、後続のALD形成されたバリアを用いて、界面特性、特に接着特性を改善するであろう。
絶縁表面のプラズマ処理
図3に示されるように、形成100の後、kの低い物質(本実施形態ではビア及びトレンチの側壁を少なくとも含む)の表面が、kの低い絶縁層の表面を変更するプラズマ処理プロセス101にさらされる。好ましくは、プラズマ処理101はH/Heプラズマ処理を含む。このステップの詳細な議論は以上に提供され、また、実施例はさらに以下に提供される。上記したように、いくつかの実施形態では、プラズマ処理は、改善された界面のために、後続のALDバリアを用いてトレンチの絶縁側面を処理するだけでなく、ビアの底の金属酸化膜を還元することもできる。好ましくは、その処理は等方性である。
原子層堆積(ALD)プロセス
プラズマプロセスに続いて、その後、ALDプロセスが、プラズマ処理された絶縁層の上面に直接実行される。好ましくは、各々のサイクルは、吸着によって、好ましくは化学吸着によって、ライニング物質のわずか1つの単分子層を形成する。基板温度は、化学吸着を促進する領域内に保持される。特に、基板温度は、吸着種と下層にある表面との間の完全な結合を維持し、反応性種の分解を防ぐのに十分に低い温度に維持される。他方では、基板温度は、反応物の凝縮を回避し、各段階での所望の表面反応に活性化エネルギーを供給するのに十分に高いレベルに維持される。もちろん、任意の与えられたALD反応に対する適切な温度領域は、表面の終端化及び関連する反応性種に依存するであろう。
各々のパルスあるいは各々のサイクルの段階は、好ましくは、事実上自己制限的である。以下に述べる実施例において、段階の各々は自己終端化(即ち、吸着された、好ましくは化学吸着された単分子層が、その段階の化学物質に対して非反応的な表面の状態にされる)である。構造表面を飽和させるために、各段階において反応物前駆体が過剰に供給される。反応物へのより長い露出にさらされる場所において、自己終端化が過剰なフィルムの成長を妨げる一方、表面の飽和は、すべての可能な反応部位(以下により詳細に議論されるように、物理的なサイズの制約に従う)の反応物の占有を保証する。飽和および自己終端化の化学的性質は共に、優れたステップカバレッジを保証する。
ステップカバレッジが非常に高いため、そのプロセスは、予防策無しに、好ましいkの低い絶縁層の細孔を導電性の反応物で覆うことができるであろう。そのような結果は、導電性のパス、あるいは絶縁層を通じた回路の短絡の危険を有する。従って、デュアルダマシン構造を導電物質でライニングする非常にコンフォーマルなALDプロセスに先立って、ビア及びトレンチ側壁の表面を巧みに処理するために、絶縁層がプラズマ処理される。好ましい実施形態では、細孔の処理は、H/Heプラズマ処理を通じて起こる。
その後、第1の化学物質がワークピースに供給104される。好ましい実施形態では、第1の化学物質は、先のステップによって残された表面と反応する金属を含有する化合物を含む。従って、金属含有種は、プラズマ処理された表面上において交換あるいは吸着する。この金属含有種の層は、第1の化学物質の如何なる過剰な成分も、このプロセスによって形成された単分子層と反応しないように、望ましく自己終端化している。好ましくは、ハロゲン化あるいは有機的な配位子が、金属を含有する単分子層を終端化する。
金属を含有する反応性種は好ましくはガスの形態で供給され、従って、以下では金属ソースガスと呼ぶ。いくつかの実施例では、反応性種は、実際に、プロセス温度以上の融点を有する(例えば、以下の表3では、プロセスが約350℃で実施される一方、CuClは430℃で溶ける)。それにもかかわらず、露出する表面を飽和させるのに十分な濃度でワークピースへ種を送るためのプロセス条件の下で、種が十分な蒸気圧を示す場合、本発明の詳細な説明の目的のために、金属ソースガスは「揮発性である」と考えられる。
その後、第1の化学物質が反応チャンバから除去106される。図示する実施形態では、ステップ106は、ビア、トレンチ及び反応チャンバの外へ、過剰な反応物および反応副生成物を拡散あるいはパージするのに十分な時間の間、好ましくは、反応チャンバの約2倍より多い容量の、より好ましくは、反応チャンバの約3倍より多い容量のキャリアガスのフローを継続しながら、第1の化学物質のフローを停止することを必要とする。いくつかの実施形態では、除去106は、第1の化学物質のフローを停止した後に、パージガスのフローを約0.1秒〜20秒の間継続することを含む。パルス間のパージは、2003年1月28日に付与され、「METHOD AND APPARATUS FOR GROWING THIN FILMS」と表題された同時継続の米国特許第6,511,539号に記載されており、その開示は参照によって本明細書に組み込まれる。他の実施形態では、化学物質を変更する間、チャンバが完全に空にされてもよい。例えば、1996年6月6日に公開され、「METHOD AND APPARATUS FOR GROWING THIN FILMS」と表題されたPCT公開番号WO96/17107を参照し、その開示は参照によって本明細書に組み込まれる。吸着104および反応物除去106は共に、ALDサイクルの第1段階107を表す。
第1の化学物質の反応物がチャンバから除去106されると、第2の化学物質がワークピースに供給108される。第2の化学物質は、ステップ104において形成された、自己終端化した単分子層と望ましく反応する。一実施形態では、この反応は、窒素ソースガスをワークピースに供給することを含む。窒素ソースガスからの窒素あるいは窒素含有種は、好ましくは、先に吸着された金属含有種と反応し、金属含有単分子層の代わりに金属窒化物を残す。
他の実施形態では、第2の化学物質が、ステップ104において形成された、吸着金属の複合単分子層の配位子終端を単に清掃又は除去してもよく(例えば、配位子交換、昇華、または還元によって)、あるいはそうでなければ、さらなる単分子層の蒸着/吸着のための単分子層、および/またはさらなる化学物質との反応を準備してもよい(例えば以下の表3を参照)。望ましくは、反応108もまた自己制限的である。反応物は、ステップ104によって残された反応部位を部分的に飽和させる。温度および圧力条件は、好ましくは、第2の化学物質からの、単原子層を通じて下層にある物質への反応物の拡散を回避するために準備される。第2の化学物質はまた、飽和性反応段階中の蒸着を制限するために機能する表面の終端を残す。
完全に金属含有単分子層を飽和させ且つ第2の化学物質と反応させるのに十分な時間の後、第2の化学物質がワークピースから除去110される。第1の化学物質の除去106と同様に、このステップ110は、好ましくは、第2の化学物質のフローを停止することと、過剰な反応物および第2の化学物質からの反応副生成物が、ダマシン構造のビア及びトレンチの外へ拡散し、反応チャンバからパージされるのに十分な時間の間、キャリアガスのフローを継続することとを含む。例えば、反応物および反応副生成物は、第2の化学物質のフローを停止した後に、好ましくは、少なくともチャンバの約2倍の容量の、より好ましくは、少なくともチャンバの約3倍の容量のパージガスを流すことにより除去され得る。いくつかの実施形態では、除去110は、第2の化学物質のフローを停止した後に、パージガスのフローを約0.1秒〜20秒の間継続することを含む。反応108および除去110は共に、ALDサイクルの第2段階111を表す。
好ましい実施形態では、サイクルを再開する前に、ALDサイクル115の第3段階311がある。それは、好ましくは、第2段階110の終了に引き続き、もう一つの自己制限的単分子層にさらなる化学物質(第3の化学物質)を加える308ことを含む。第3の化学物質は、ステップ108において形成された、自己終端化した単分子層と望ましく反応する。第3の化学物質は、好ましくは炭素系(例えば、トリエチルボロン(TEB)、トリビニルボロン、トリイソプロピルボロン、トリイソブチルボロン、第3ブチルボロン、および/または他のトリアルキルボロン化合物)である。温度および圧力条件は、再び、好ましくは、第3の化学物質からの、単原子層を通じて下層にある物質への反応物の拡散を回避するために準備される。第3の化学物質はまた、飽和性反応段階中の蒸着を制限するために機能する表面の終端を残す。この表面の終端は、次のサイクルの開始の間、第1の化学物質104との結合を可能にする役割をすることができる。完全に窒素含有単分子層を飽和させ且つ第3の化学物質と反応させるのに十分な時間の後、第3の化学物質がワークピースから除去310される。第1の化学物質の除去106および第2の化学物質の除去110と同様に、このステップは、好ましくは、第3の化学物質のフローを停止することと、過剰な反応物および第3の化学物質からの反応副生成物が、ダマシン構造のビア及びトレンチの外へ拡散し、反応チャンバからパージされるのに十分な時間の間、キャリアガスのフローを継続することとを含む。
いくつかの実施形態では、過剰な反応物および第3の化学物質からの副生成物が、ビア及びトレンチの外へ、好ましくは反応チャンバの外へ一度拡散すると、3つの段階が交替になされALDプロセスの第1段階107が繰り返される。従って、第1の化学物質をワークピースへ再び供給104することは、もう一つの自己終端化単分子層を形成する。
このように、3つの段階107、111および311は、ALDプロセスにおいて単分子層を形成するために繰り返されるサイクル115を表す。第1の化学物質104は、概して、先のサイクルにおいて第3の化学物質308により残された終端と反応する。必要であれば、サイクル115は、別個の表面処理を含んで拡張され得る。3つの段階すべてのサイクルは、その後、ステップ104、106、108、110、308および310を通じて継続する。この3つの段階すべてのサイクルは、その所要の機能を実行するのに十分な厚さのデュアルダマシン構造内に、ライニング層を生成するのに十分な回数繰り返される。好ましい実施形態では、他のどの段階よりも先に炭素段階が表面へ処置され、その後、上記したようにサイクルが続行する(例えば、タングステン段階、窒素段階、および炭素段階)。
図3には、第1、第2、および第3の化学物質のみを用いて図示したにもかかわらず、他の実施形態では、追加の化学物質もまた、各サイクル(例えば、上記議論を参照)に含まれ得ることが理解されるであろう。そのうえ、以下の実施例では、最初の金属段階と、後続の窒素および炭素段階とを用いて図示したにもかかわらず、表面および段階の化学物質によっては、サイクルが、窒素あるいは炭素段階から始まり得ることが理解されるであろう。さらに、窒素段階と炭素段階とは入れ替えられ得る。さらに、3つの段階すべてが、すべての実施形態にあるいは同じ量で含まれる必要はない。その量の相対量は、段階の頻度を調節することにより、あるいは前駆体(例えば、より多くの配位子を有する反応物は、より少ない反応物の残留に帰着し、より少ない配位子を有する反応物は、各層におけるより多くの反応物の残留に帰着する)の選択により調節され得る。当該技術における熟練者によって認識されるであろうが、完全なサイクルは、すべての3つの段階(3つの段階を1サイクルとして)の任意の組み合わせとして想到され得る。したがって、炭素段階、タングステン段階、窒素段階、および第2の炭素段階によって堆積されたセクションは、少なくとも2つのサイクルのバリエーションを有する(炭素の最終層を有するC、W、N、あるいは、炭素の前処理を有するW、N、C)。
図4は、図9A〜9Eの構造をライニングする金属窒化物バリア層を形成するプロセスを示す。単純化するために、類似の参照符号が採用され、図3の一般的な表記に対応する金属窒化物の例(図4)の段階およびステップが参照される。しかしながら、図4は、最初の2つの段階のみを実証する。当該技術における熟練者は、本明細書及び図3に記述された全てのプロセスについて、図4に示された方法を容易に調節することができる。好ましい実施形態では、プラズマ処理された表面の表面上に、層が直接堆積される。
図4を参照すると、特定の実施形態に係るガスフローシーケンスが表される。図示する実施例では、導電性の窒化物、より詳細には金属窒化物が、金属ソースガスと窒素ソースガスとをワークピースに交互に供給することにより形成される。各サイクルの第1又は金属段階107は、望ましくは窒素ソースガスがない状態で、金属含有物質の層を化学吸着する。各サイクルの第2又は窒素段階111は、望ましくは金属ソースガスがない状態で、窒素含有物質を、蒸着した金属含有層上で反応させ、あるいは金属含有層上に吸着する。他の実施形態では、段階の順序が反対にされることができ、反応物の除去あるいはパージステップが、前後の反応物パルスの一部と考えられ得ることが理解されるであろう。
金属ソースガスに対して反応性の表面を提供するために、ライニング物質が形成されることになるダマシン構造の表面は、最初に終端化されることができる。好ましい金属層が、例えばNHを用いて終端化されることができ、以下で議論する反応物パルスの化学吸着を促進する。
最も好ましくは、第1段階の間にわずか約1原子の単分子層しか堆積されないように、金属段階107は自己制限的である。望ましくは、揮発性の金属ソースガスがパルス104で供給される。例示的な金属ソースガスは、タングステンヘキサフルオライド(WF)を含む。
金属ソースガスがデュアルダマシン・コンタクトビアの底へ拡散するのに十分な時間の後、金属ソースガスのフローを遮断することで、金属パルス104が終了する。好ましくは、キャリアガスは、金属ソースガスがチャンバからパージされるまで、パージステップ106においてフローを継続する。
パルス104の間、金属ソースガスは、ワークピースの露出および終端化された表面と反応し、金属含有種の「単分子層」を堆積あるいは化学吸着する。理論上は、ワークピースの露出する層上の可能な部位各々において、反応物は化学吸着するであろうが、吸着種(特に、配位子を終端化している)の物理的なサイズが、概して、各サイクルのカバレッジを単分子層の一部分に制限するであろう。
ワークピース上に堆積/吸着された金属含有種は自己終端であり、表面は、金属ソースガスとそれ以上反応しないであろう。以下に述べられる実施例では、WF(表1)は、フッ素で終端化されたタングステンの単分子層を残す。同様に、他の揮発性の金属ハロゲン化物が、ハロゲン化物で終端化された表面、および有機金属を残すであろう。そのような表面は、金属ソースガスパルス104の間、金属ソースと、あるいは反応物フローの他の成分とそれ以上反応しないであろう。反応物への過剰な露出が過剰な蒸着に帰着しないので、プロセスの金属段階107中の化学物質は、自己制限的あるいは自己飽和的であると言われる。反応物のより高い濃度へのより長い露出にもかかわらず、ワークピースの上部表面を覆う蒸着は、ビア底を覆って過剰に蒸着しない。
サイクル115の第2段階111では、その後、窒素ソースガスのパルス108がワークピースに供給される。他の組み合わせでは、第2段階は炭素であり得る。図示する実施例では、窒素ソースガスはアンモニアを含む。他の実施形態では、例えば、アンモニアの塩類、アジ化水素、ヒドラジン、フッ化窒素、第1、第2および第3アミン、並びに、NH 、NH**およびN***のような窒素ラジカルといった、窒素の他のソースが使用され得る。ここで“”は結合を形成し得る自由電子を指定する。好ましくは、第2段階111は、第1段階107によって残された金属含有種の単分子層を、窒素ソースガスに完全に露出するのに十分な時間の間維持される。デュアルダマシン・コンタクトビアの底へ窒素ソースガスが拡散するのに十分な時間の後、金属ソースガスのフローを遮断することで、窒素パルス108が終了する。好ましくは、キャリアガスは、窒素ソースガスがチャンバからパージされるまで、パージステップ110においてフローを継続する。
窒素パルス108の間、窒素ソースガスは、第1段階107によって残された、自己終端化された金属単分子層と反応、あるいは自己終端化された金属単分子層上で化学吸着する。この化学吸着は、金属単分子層のハロゲン終端を窒素含有種と交換する、飽和性の配位子交換反応を含み得る。金属窒化物は、その結果、好ましくは単一の単分子層に形成される。望ましくは、そのプロセスは化学式どおりの金属窒化物を残す。金属段階107に関して議論されたように、吸着種の物理的なサイズが原因で、可能な部位すべてを単分子層が占有する必要はない。しかしながら、第2段階111もまた、自己制限的な効果を有する。
特に、金属ソースガスの先のパルスの間、窒素ソースガスは、ワークピース表面上で化学吸着された金属含有種と反応する。パルス108の間のアンモニアは、金属窒化物単分子層を終端化している窒素およびNHの端部と反応しないであろうので、その反応もまた表面終端化である。そのうえ、温度および圧力条件は、金属単分子層を通じて下層にある物質へのアンモニアの拡散を回避するために準備される。この飽和性の自己制限的反応段階111における、より高い濃度の反応物へのより長い露出にもかかわらず、ワークピースの上部表面上に形成された金属窒化物の厚さは、ビア底表面に形成された金属窒化物の厚さを越えない。
いくつかの実施形態では、金属段階107(金属ソースパルス104およびパージ106を含む)および窒素段階108(窒素ソースパルス108およびパージ110を含む)は共に、ALDプロセスにおいて繰り返されるサイクル115を定義する。しかしながら、好ましい実施形態では、サイクルは3つの段階を含む。
特に、好ましいバリア層は、上記した金属段階107および窒素段階111、そして炭素段階311の3つの段階を含む。そしてまた、炭素段階308は、次の金属104aのためのベースとして使用される。より好ましい実施形態では、金属はタングステンであり、したがって、バリア層は、互いに周期的に堆積されたタングステン、窒化物、および炭化物を含む。好ましい実施形態では、絶縁体上に直接的に堆積される第1段階は炭素段階であり、引き続き、タングステン、窒素および炭素段階の完全なサイクルがある。好ましくは、サイクルの所要の回数あるいは所要の層の高さが達成されるまで、サイクルが複数回繰り返される。いくつかの実施形態では、バリア層は、約10〜100オングストローム、より好ましくは約20〜60オングストローム、さらにより好ましくは、約45オングストローム未満、最も好ましくは約35〜45オングストロームの厚さである。
当該技術における熟練者によって認識されるであろうが、それらの結果生じる特性が与えられたこれらバリア層の厚さは、絶縁層が適切なプラズマ技術で適切に処理されていない典型的なバリア層よりも、はるかに薄くなり得る。したがって、いくつかの実施形態では、バリア層の厚さは、同じバリア特性を持つために必要とされるものの40〜80パーセントだけ、より好ましくは50〜70パーセントだけ、最も好ましくは55〜65パーセントだけ低減され得る。さらに、このプロセスが、付加的な密閉層が絶縁物質の上部に配置されることを必要としないので、ライニング全体の厚さもまた、対応する量だけ低減され得る、すなわち、バリアは、好ましくは、処理された表面上に直接位置する。したがって、方法およびそれら方法から結果として生じる構成は、絶縁層上に、以前ではより厚い層に関連するものであった特性(例えば、優れた抵抗およびより長い寿命)を持ちながらも、特に薄い層(例えばバリア層)を含む。
以下の記述は、統合されたプロセスのためのデュアルダマシン・メタライゼーション・スキームでの、バリアの応用に適した金属窒化物層を形成するための例示的なプロセスレシピを提供する。プロセスレシピは、枚葉式ウェハ処理モジュール内での1サイクルを表す。特に、図示するパラメータは、アリゾナ州フェニックスのASMアメリカ インコーポレイテッドから、商標パルサー3000(登録商標)で市販されている枚葉式ウェハALDモジュールでの使用のために開発された。
下記の表中のパラメータは例示にすぎないことに注意。各プロセス段階は、ビア及びトレンチの表面を飽和させるために、より詳細には、絶縁層のプラズマ処理された表面を飽和させるために望ましく準備される。パージステップは、反応的な段階の間に、基板から反応物を除去するために準備される。本明細書の実施例は、オランダ国BilthovenのASMインターナショナルN.V.から販売されているPOLYGON(登録商標)クラスターツール内で、平坦なパターニングされていないウェハ表面上に実施され得る。同様のALDプロセスが、90%より高いステップカバレッジ、より好ましくは、約20より高い縦横比を有する開口において、95%より高いステップカバレッジを達成することが測定された。本明細書の開示を考慮すれば、熟練者は、異なる反応チャンバについての蒸着条件、および、飽和した自己終端化する段階を許容可能な蒸着速度で達成するために選択された、異なる条件についての蒸着条件を、容易に修正、置換、あるいは変更することができる。プラズマ処理により、この高いコンフォーマル性のALDプロセスは、添付の寿命および故障データによって実証されるように、最小限の厚さでより高い有効なバリア特性を有し、バリアと絶縁表面との間の優れた界面特性を示す。
好都合なことに、反応物の供給がトレンチ及びビアの表面を飽和させるのに十分である限り、本明細書に記述されたALDプロセスは、圧力および反応物濃度に比較的影響されない。そのうえ、プロセスは低温で開始され得る。
上記表1は、タングステン窒化物炭化物(WNC)のALDのためのパラメータを示す。述べたように、金属ソースはタングステンヘキサフルオライド(WF)を含み、キャリアガスは窒素(N)を含み、窒素ソースガスは、好ましくはアンモニア(NH)を含み、そして、炭素ソースはトリエチルボロン(TEB)である。反応段階のそれぞれの間、所定の他のパラメータが表面を飽和させるのに十分な量で、反応物が供給される。
この場合、TEBが、反応チャンバへ最初にパルス的にフローされる。次に、金属単分子層が、金属段階で形成され、好ましい条件の下では容易にWFと反応しないフッ化物で自己終端化する。次に、先に吸着されたタングステンフルオライド錯体の制限された供給によって制限された反応における窒素段階の間、好ましい窒素ソースガスは、フッ化物を終端化した表面で反応あるいは表面に吸着する。さらに、窒化物形成は、窒素と、飽和性段階において過剰なアンモニアとそれ以上反応しないNHの終端とを残す。これは、単一の完全な単分子層を、炭素の層、タングステンの層、および窒素の層として形成し、窒素層はTEBと反応することができ、サイクルを再び開始する。TEBの最初のパルスは、炭素を残しても良いし残さなくても良いが、どんな場合においても、ビア底に残留する任意の金属酸化物をクリーニングするための還元剤としても役立つ。TEBの後続のパルスは、フィルム内に炭素を残すであろう。
改善されたバリア特性
プラズマ処理された絶縁表面上に形成されたWNxCyバリア層は、十分な、あるいは任意のプラズマプロセスが処理されなかった絶縁層上に配置された同様のバリア層と比較して、いくつかの改善されたバリア特性を実証した。次のセクションは、これら改善された特性、これら改善された特性を有する回路に帰着する方法、およびそのような方法を用いて形成された回路について議論する。一般に、回路の最適化は、様々なバリアを有する、プラズマ処理がされたあるいはされない、および異なるプラズマ処理がされた様々な回路の、直接の比較を通じて行われ得る。
WNCバリア/プラズマ処理された絶縁層
トレンチ及びビアが、パターニング及びエッチングにより、シロキサン絶縁層内に形成された。真空の破壊に引き続き、トレンチ及びビアが形成された絶縁表面が、所望の特性(例えば、未処理の絶縁層上のバリアと比較して、改善されたバリア特性)に帰着するのに十分な期間、この実施例では約10秒間、HおよびHeプラズマ処理にさらされる。これに引き続き、ALDの60回のサイクルによって、約40オングストロームの厚さのWNバリアが形成された。代替の実施形態では、バリア層は、例えば約30〜50オングストローム又は35〜45オングストロームの厚さに、より厚くもあるいはより薄くもなり得る。もう一回の真空の破壊に引き続き、約800オングストロームの厚さの銅のシード層が、PVDによりその層に追加された。これに引き続き、トレンチ及びビア内へ、銅層が電気めっきされた。このバリアは、以下でより詳細に議論される結果および比較において、「バリア1」あるいは「バリア1A」として識別される。代替の実施形態では、ステップ間に真空の破壊はない。これは、例えば、クラスターツール(例えば、オランダ国BilthovenのASMインターナショナルN.V.製のPOLYGON(登録商標))の使用を通じた様々な方法で達成され得る。代替の実施形態では、上記方法のために特別に設計された装置が使用される。例えば、その装置は、インシチュプラズマプロセス用のチャンバ、ALDプロセス用の別のチャンバ、および銅の蒸着ステップ用の第3のチャンバを持つことができる。その装置は、真空状態のチャンバ間の転送を可能にし、したがって、ステップ間の任意の表面の偶発的な酸化の危険性はない。これは、様々な方法、例えば、転送チャンバの形式でチャンバ間の空間を取り込み、シーケンシャルプロセス用の複数のチャンバ間を基板が移動する間、転送チャンバを真空状態に維持する方法で達成され得る。あるいは、ステップの各々が実行される前に、装置が減圧ステップを実行してもよい。
この集積回路の、結果的に生じる特性および改善されたバリア特性が、様々な方法でテストされた。プラズマ処理された回路において、エレクトロマイグレーション特性の変化が測定された。所定の回路構造(例えば、0.2マイクロメータの第1金属、0.2マイクロメータの第2金属、および0.18マイクロメータのビア)について、所定の条件(例えば、110℃の温度で5.5×10A/cmの電流密度を使用するモデル)の下で、所定の故障定義(例えば、最初の抵抗からの20%の偏差)を用いて、プラズマ処理された回路の寿命が分析された。表2を参照すると、上記した条件を用いて、回路のプラズマ処理されたバージョンが、10年よりも劇的に長く続くと予想された。
さらに、結果的に得られる時間の関数としての故障確率が、図10A及び図10Bに示される。
バリア層を有する非He/Ηプラズマ処理された回路
結果的に得られるプラズマ処理された絶縁層が、改善された特性を有するかどうかを調べるための比較は、さらに、He/Hプラズマ処理された回路と、代替の集積回路(例えば、異なるプラズマ、プラズマなし、異なるバリア層等で処理された回路)との比較を必要とした。以降の段落は、プラズマ処理された回路と比較される様々な回路のうちのいくつかを簡潔に説明する。
1つの非プラズマ処理された回路は、上記した同様の方法で、同様の真空の破壊を実施されて形成されたトレンチ及びビアを有する。しかしながら、WNC層(上記のものと同様)は、その後直ちに絶縁表面に適用され、もう一つの真空の破壊、同様のシード層、および同様の電気めっきステップが引き続いた。したがって、この回路とプラズマ処理された回路との間の唯一の違いは、プラズマ処理であった。この回路は、以下の議論において「バリア2」として表示される。
異なるバリア層を有する他の回路もまた、He/Hプラズマ処理された回路との比較のために準備された。トレンチ及びビアが形成された後の最初の真空の破壊に引き続き、Ta/TaN層が、PVDにより絶縁層に追加(例えば、約100オングストロームの深さ)され、同様のシード層、および同様の電気めっきステップが引き続いた。この回路は、以下の比較において「バリア3」として識別される。
異なるタイプのプラズマ(例えば、上記したH/Heプラズマの代わりに、NHプラズマ)を採用する以外は、上記したWNCプラズマ処理された実施例と同一の、もう一つの回路が準備された。以下に記述されるように、異なるプラズマを用いる処理は、非常に異なるバリア特性に帰着した。したがって、すべてのプラズマ処理が等価であるとは限らない。この実施例と本明細書に提供された議論とが与えられると、当該技術における熟練者は、どのプラズマが適切かと、どのプラズマが適切でないかとを決定することができるであろう。この回路は、以下の比較において「バリア1B」として識別される。
図11に、これらの様々な回路の比較が示され、それは、異なるプラズマ処理を用いて、あるいはプラズマ処理を用いずに作成されたビアと比較して、He/Hプラズマが著しく低い抵抗性に帰着することを明白に実証する。実際、最も低いビアチェーン抵抗は、H/Heプラズマ処理された/ALCVD WNxCy構造において観測された。テストされた構造は、デュアルダマシンM2(0.2ミクロン)+V1(0.18ミクロン)+M1(0.2ミクロン)構造であり、バリアは、AURORAプロセスの製品で作製された。金属は銅であった。このより低い抵抗性は、図12でさらに示される。図12は、他のテストされた実施例と比較して、He/Hプラズマ処理が、抵抗結果の最もタイトな分布および最も低い抵抗に帰着したことを実証する。
さらに、He/Hプラズマ処理された回路内の抵抗の一様性もまた、処理されていない回路(He/Hプラズマ処理された回路を描く図13Aと、プラズマ処理の無い同一の回路を描く図13Bとを比較)のそれよりも優れていた。グラフから分かるように、プラズマ処理された回路は、より低い抵抗性と、そのより低い抵抗性が、比較的、極めて一様であることとを示す。
さらに、異なる回路間のリークの量が比較された。図14に示されるように、プラズマ処理された回路は、非プラズマのTa/TaN PVD回路と比較して、優れたリーク特性(より少ないリーク)を実証した。
さらに、改善されたもう一つのバリア特性は、絶縁層の隣のバリア層自身の「クリーンさ」であった。これは、主として、バリアと絶縁物質との間の界面の、明瞭さあるいは精密さの表現である。H/Heプラズマ処理された絶縁層の界面の精密さの程度を表す図15に示されるように、この特性の分析は、界面の外観検査を通じて達成され得る。いくつかの実施形態では、改善されたバリア特性は、図15に表したものと同程度に、一様あるいは明瞭となるであろう。
一実施形態では、クリーンさあるいは精密さは、容量、あるいは代わりに界面の厚さを決定することにより測定され得る。界面の容量(あるいは厚さ)がより大きくなると、界面はより「クリーン」でなくなる。したがって、現在のH/Heプラズマ処理された界面は、比較的小さな容量を持つであろう。一実施形態では、容量は、界面の一方の側面から他方の側面までの距離を測定することにより近似される。他の実施形態では、界面は、バリア層の厚さの一様性により評価される。したがって、厚さが測定されるところならどこでも常に同じ厚さ(例えば42オングストローム)であるバリア層は、層が非常にクリーンであることを実証する。一実施形態では、バリア層の厚さの測定がランダムに行われてそれらが平均され、標準偏差が決定される(偏差がより小さいと、界面はよりクリーンである)。他の実施形態では、標準偏差を得るために、設定された位置において設定された回数の測定が行われる。代替の測定方法が、当該技術における熟練者によって認識されるであろう。
示された実施形態では、2点の測定は、バリア層の4.23および4.20nmの深さ(あるいは厚さ)を表し、これらは、±0.0212の標準偏差を有する4.215の平均を生じる。その後、その標準偏差が用いられて、それが平均値の何パーセントであるかが決定され得る。ここで、より少ないパーセントは、よりクリーンな界面を表す。この場合、0.0212×2が完全な変化量であり、約1%の厚さの変化量を実証している。一実施形態では、変化量は10%未満、より好ましくは5%未満、より好ましくは1%未満、さらにより好ましくは0.1%未満、最も好ましくは0.001%未満となるであろう。これら測定点は、ランダムに、首尾一貫して、周知の方法で、複数の極大点において(任意の2つ以上の測定間の最大の標準偏差を提供するために)、あるいは複数の極小点において選択され得る。
当該技術における熟練者によって認識されるであろうが、プラズマ処理が改善されたバリア層への帰着に成功すると考えられるために、すべての可能なバリア特性が改善される必要はない。いくつかの実施形態では、単一の特性だけが改善され、いくつかの実施形態では、ほとんどの特性が改善される。より好ましい実施形態では、バリア層にとって最も重要な特性が改善され、さらにより好ましくは、1つを除く全ての特性が改善され、さらにより好ましくは、上記実施例において改善されたと識別された、それら全ての特性が改善され、最も好ましくは、全ての特性が改善される。したがって、図16中で見ることができるように、He/Hプラズマ処理された回路のキャパシタンスにわずかな減少があるが、プラズマ処理およびそれ自身のバリアは、まだなお改善されたバリア特性を増強すると考えられる。
当該技術における熟練者によって認識されるであろうが、上記の方法および実施例は、プラズマ条件及び様々な物質の厚さといった変数を最適化するために、並びに、バリア層及び絶縁層といった様々な層を作製することができる代替物質を選択するために使用され得る。さらに、上記したように、等方性のプラズマプロセスを用いたプラズマプロセスはまた、ビアの底から酸化物を除去することができ、結果的に生じる回路をさらに改善する。
金属シード層の形成方法
金属窒化物バリア層の形成の後、デュアルダマシン構造の埋め込みおよび堆積されたバリア層の導電率のために採用される方法に依存して、シード層が望まれてもよい。埋め込み銅は、望ましくは、図示する金属窒化物バリアを覆って電気めっきされる。従って、高導電性のシード層が、バリア層を覆って好ましくは最初に形成される。
当該技術において知られているように、シード層は、好ましくは金属層、より好ましくは銅を含み、多くのプロセスのうちのどれによっても堆積され得る。例えば、それは、好ましくは、銅のシード層を形成するためのPVDあるいはスパッタリングを採用するプロセスによって行われる。ALDによる先の金属窒化物バリア層を形成する際に得られた高いステップカバレッジに関連して、そのような方法は、多くのデュアルダマシン・スキームに適切であり得る。
あるいは、より高いステップカバレッジでシード層を堆積するために、CVDプロセスが採用される。有機金属CVD(MOCVD)技術は、例えば、Wolfらによる「Process and equipment simulation of copper chemical vapor deposition using Cu(HFAC)VTMS」,Microelectronic Engineering,vol.45,No.1,pp.15−27(1999年2月)によって開示され、その開示は参照によって本明細書に組み込まれる。
あるいは、シード層もまたALDにより形成される。より導電性の高い埋め込み金属と、コンタクトビア及びトレンチを完全に埋め込む機会の増大とに利用可能な、より大きな容量のおかげで、接着、バリアおよびシード層の、1つ以上の高いステップカバレッジ形成によって節約された容量は、したがって、より高い導電率の配線に寄与する。
上記の表3はALDの純粋な金属プロセスを示す。段階を交互にする際、塩化銅が最初に吸着されて、その後TEBによって還元される。好都合にも、塩化銅は、有機銅の種と比較してより小さな反応性種であり、ワークピース上の反応部位の迅速かつより完全な飽和を促進する。
以降のセクションは、上記ステップおよび層の代替の記述と、それらが互いにどのように相互に関連するかとを簡潔に提供する。
結果的に得られる集積回路
図9aのデュアルダマシン構造は、典型的な回路の記述のための出発点として役立つことができる。表面は、最初にプラズマ(例えばH/He、図17A)で十分に処理され、絶縁層56及び50の外部表面から形成されているプラズマ処理層148になる。プラズマ処理層148(プラズマによって変更された絶縁物質の層)は、絶縁層の上面上に堆積されたバリア層の不規則性を低減する。図17Aは埋め込みハードマスク/エッチング停止層54を図示せず、CMP停止層58もまた図9Aに示されていない。先に述べたように、いくつかの実施形態では、トレンチ及びビアは単一の絶縁層内に画定されることができる。例えば、トレンチ及びビアはVFTLアプローチにより形成され得る。
ライナー(あるいはそこにある少なくとも1つのサブレイヤ)は、層の特徴的な断面形状による、特にこの断面形状の一様性による、非常にコンフォーマルなALDプロセスの結果でありえる。より好ましい実施形態では、ライナー層のバリア層150のセクションは、タングステン、窒素、および炭素を含む。この方法は、高いコンフォーマル性の、金属窒化物炭化物の導電層を製造する。ALDライニング層は、バリア層単独で構成され得る。
デュアルダマシンプロセスのバリアのニーズに従って、そして特に、速く拡散する埋め込み金属銅に関連して、ライニング層のバリア層150は、そのバリア機能(図17B)の十分な性能のために必要なほぼ最小の厚さで形成される。従って、深いトレンチ及びビア構造をライニングするバリア層150は、好ましくは約20Åあるいはそれ以上の厚さを有する。同時に、ここに開示された方法によって提供される高いステップカバレッジは、絶縁側壁および導電性のビア底を含むトレンチ60及びコンタクトビア62のすべての表面にわたって一様な、所望の厚さの形成を可能とする。従って、ビア62内のバリア層は、好ましくは、構造の何れのポイントにおいても、また、プロセスの間の何れのポイントにおいても、高々約200Åである。より好ましくは、好ましい実施形態のバリアは、厚さの最大値が、約20Å〜100Åになるように、さらにより好ましくは約30Å〜60Åになるように、さらにより好ましくは約35〜45オングストロームになるように、そして、最も好ましくは約42Åの厚さに堆積される。
いくつかの条件の下では、単分子層の一部分のために十分な物質は、すべての利用可能な部位の占有を防ぐ化学吸着種の物理的なサイズにより、特に、吸着種が有機的な配位子を含む場合には、1サイクル毎に堆積される。好ましい実施形態では、約20サイクルあるいはそれより多いサイクルが、より好ましくは約30〜90サイクルが、さらにより好ましくは約30〜60サイクルが、最も好ましくは約60サイクルが用いられる。
述べたように、本明細書に記述された方法は、好ましい実施形態の高い縦横比のトレンチ及びビア構造にもかかわらず、極めて高いステップカバレッジ(ビアの側壁上のライナーの厚さの比率と同様に、ビアの底面上のライナーの厚さとして定義される)を可能とすることができる。ライニング層、および特にライナー内にALD形成されたフィルムは、好ましくは、約90%より高い、より好ましくは約93%より高い、最も好ましくは約97%より高いステップカバレッジを示す。
今、図17Cを参照すると、バリア層150を覆ってシード層155が付加的に形成されている。上記したように、電気めっきにより埋め込み金属が堆積されることになっている部分、および、バリア層150が、有効な電気めっきのために不十分な導電率を実証する部分に、そのようなシード層155が望まれる。そのような条件の下では、ALDあるいはCVDによって、最も好ましくはPVDによって、シード層155が加えられることができる。図示する実施形態では、「純粋な」銅がシード層に採用される。好ましい実施形態では、銅のシード層は、600〜1000オングストローム、より好ましくは700〜900オングストローム、最も好ましくは約800オングストロームの厚さである。他の組み合わせでは、前述の非電気めっき埋め込み手順のプロセスフローのように、あるいは、バリア層が十分に導電性であり、電気めっきされた銅の直接の核形成を可能とするところのプロセスフローのように、バリア層150を覆うシード層が採用されない。
今、図17Dを参照すると、ライニングされたダマシン構造は、その後、高導電性の金属160で埋め込まれている。シードフィルムがバリア層150を覆って形成される図示する実施形態では、埋め込み金属160は、好ましくは電気めっきされた銅を含む。他の実施形態では、当該技術における熟練者によって認識されるであろうが、アルミニウムなどの金属が、高圧および/または高温の下で堆積されることができ、深いトレンチ及びビア構造内へのリフローを促進する。効果的なバリアは、そのような堆積プロセスに伴う厳しい条件の間のスパイクを防ぐ際にもまた重要である。
今、図17Eを参照すると、前記構造は、その後化学機械研磨(CMP)あるいは他のエッチバックプロセスによって平坦化され、トレンチ60内に分離された、そこから下方へ伸びるインテグラルコンタクト180を有する配線170を残している。埋め込み金属160の拡散は、埋め込みプロセスの間と、同様に、それに続く任意の高温プロセスの間との両方で妨げられる。
好都合にも、プラズマエネルギーは、絶縁層50および56の、水平および垂直セクションの両方の全表面に、容易に向けられることができる。上記で詳細に議論されたように、プラズマ処理されたライナー層の表面は、プラズマ処理によって変更され、プラズマ処理された層148に帰着する。そのうえ、そのような処理は、エッチング停止層あるいはバリア層のような介在層を通じてさえ実行されることができ、開いた孔パスを通じた後続の拡散を最小限にする。しかしながら、上記した開示に従って、プラズマによるそのような処理は、好ましくはバリア層あるいは他の層の生成に先行する。安定した滑らかな界面を作る際に、絶縁物質の側面上の細孔が重要でないと以前には考えられていたが、本データは、絶縁層の側面のプラズマ処理が、とりわけ正確あるいは一様な、優れた層界面(例えばバリア層/絶縁層)を生じ得ることを示している。
上記シーリングプロセスの1つの利点は、それが蒸着技術に比べて非常に効率的かつ安価であることである。
上記のシーリングあるいは絶縁体を巧みに処理するプロセスは、以上に議論されるように、後続のALDプロセスによる開口の埋め込みを防ぐのに特に有用である。しかしながら、そのようなシーリングあるいは絶縁体の巧みな処理はまた、後続の、様々なソースから多孔性の構造の開口への拡散を防ぐのに有用になり得ることが認識されるであろう。
図17A〜17Eでは、エッチング停止層もCMP停止層も採用されない。ビアの底部はプラズマ処理された層として示されないが、この部分もまた、プラズマにさらされ得る。しかしながら、金属をプラズマにさらすことの利益は、絶縁物質をプラズマにさらすことの利益とは異なる。上で述べたように、いくつかの実施形態では、等方性の還元プラズマによるビアの底の処理もまた、装置にとって有益になり得る。
当該技術における熟練者によって認識されるであろうが、上記教示はまた、上記したステップを実行することができる装置を生成するのに用いられ得る。特に興味のあるものは、バリア層が堆積される第2のチャンバへ真空の下で回路を転送し、銅が追加されることができる第3のチャンバへ真空の下で回路を転送する1つのチャンバ内において、表面をプラズマ処理することができる装置である。もちろん、装置がプラズマ処理をいくつかの方法でアシストする限り、バリエーションもまた熟考され得る。
上記した発明は、或る好ましい実施形態に関して記述されたが、当該技術における熟練者には他の実施形態が明らかであろう。例えば、プロセスには、特定のライニング物質が特に規定されているが、熟練者は、他の物質を有するライニング・ダマシン構造にALD法を適用することができることを容易に認識するであろう。そのうえ、デュアルダマシン・メタライゼーションの特定のプロセスフローおよび構造に関して図示されたが、熟練者は、本明細書に開示された方法が役に立つであろうスキームのバリエーションを認識するであろう。さらに、本明細書の開示を考慮した他の組み合わせ、省略、置換、および修正が、熟練者には明らかであろう。従って、本発明は、好ましい実施形態の詳細な説明により制限されて解釈されず、代わりに、添付の特許請求の範囲の参照により定められる。
それ自身のトレンチ及びコンタクトビアをライニングする従来のバリア層を有するデュアルダマシン構造の概略断面図である。 縮小された集積回路について図1と同様にライニングされたデュアルダマシン構造を概略的に図示する。 より高い導電物質での埋め込みに先立って、高い縦横比のデュアルダマシン構造をライニングする方法を概略的に図示するフローチャートである。 反応物が2つの原子層堆積プロセスについての例示的なガスフロー・ダイヤグラムである。 バリア層51を覆う第1又は下部絶縁層50並びに下部相互接続層の一部を形成する導電素子52を示す。 付加的なエッチング停止層又はハードマスク54が絶縁層50を覆って形成された後の図5の構造を示す。 マスクおよびエッチングプロセスが付加的なエッチング停止層54に開口55のパターンを転写した後の図6の構造を示す。 第2又は上部絶縁層56が付加的なエッチング停止層54を覆って形成され、第2の付加的なエッチング又はCMP停止層58が上部絶縁層56を覆って形成された後の図7の構造を示す。 トレンチ60(1つ示す)及びコンタクトビア62(1つ示す)がエッチングされてデュアルダマシン構造が形成された後の図8の構造を示す。 マスク誤整列に起因するより高い有効縦横比を有するキャップされていないデュアルダマシン構造を示す。 小さいが非常に高い縦横比のオーバエッチングホール72を有する完全には重ならないコンタクトビア62を示す。 ビア底からの選択的エッチングに起因する空洞80を示す。 フォトレジスト除去中の絶縁層50、56に対する攻撃に起因するトレンチ60及びビア61内の樽形の断面形状を有するダマシン構造を図示する。 プラズマ処理されたLow−k物質中のダマシントレンチ内に形成された相互接続の信頼性に関連するエレクトロマイグレーションを図示するグラフである。 プラズマ処理されたLow−k物質内に形成された相互接続の信頼性に関連するエレクトロマイグレーションを図示するグラフである。 様々な回路のビア抵抗を比較するグラフである。 ビア抵抗とHe/Hプラズマ処理された絶縁体中に形成されたダマシン相互接続を含む様々な相互接続構造についての変動性とを比較する棒グラフである。 非処理の絶縁体と比較してHe/Hプラズマ処理された絶縁体中に形成された金属についてのより低い抵抗とより大きな一様性とを図示するグラフである。 He/Hプラズマで処理されていない絶縁体中に形成された回路のより高い抵抗とより低い一様性とを図示するグラフである。 様々な回路のリーク特性を比較するグラフである。 He/Hプラズマ処理された絶縁表面とその結果生じるバリア層を有するクリーンな界面とのTEM画像である。 様々な回路のキャパシタンス特性を比較するグラフである。 図9Aのプラズマ処理された絶縁層を示す。この統合化スキーム中では付加的なエッチング停止層58は存在しない。 図17Aの絶縁体56および50のプラズマ処理された表面148上に堆積されたバリア層150を示す。 シード層155を用いる追加のライニング後の図17Bのデュアルダマシン構造を示す。 高導電性の金属160で覆って埋め込まれた図17Cのデュアルダマシン構造を示す。 化学機械研磨(CMP)又は他のエッチバックプロセスによる平坦化によって形成された分離された配線170を有する図17Dの埋め込まれたダマシン構造を示す。

Claims (55)

  1. 半導体基板上のkの低い絶縁層内に、所望の相互接続パターンでトレンチを形成するステップと、
    プラズマプロセスを実施されていないトレンチの表面に結合されるバリア層と比較して、バリア層のバリア特性を改善するのに十分な条件の下で、前記トレンチの露出する表面を前記プラズマプロセスで処理するステップと、
    前記トレンチの露出する表面を前記プラズマプロセスで処理するステップの後に、原子層堆積(ALD)プロセスにより、前記トレンチの前記表面を前記バリア層でライニングするステップとを含むメタライゼーション・プロセス。
  2. 前記バリア層を覆う銅のシード層を堆積するステップをさらに含む請求項1のプロセス。
  3. 前記kの低い絶縁層内に、前記トレンチと通じるビアを形成するステップをさらに含む請求項1のプロセス。
  4. 前記プラズマプロセスが、He/Hプラズマプロセスである請求項1のプロセス。
  5. 前記トレンチを形成する前記ステップと前記プラズマプロセスとの間に、前記トレンチが酸化されない請求項4のプロセス。
  6. 前記He/Hプラズマプロセスの前に、酸化物除去プロセスで、下部導電素子の露出する部分をさらに処理し、金属酸化物を還元するステップをさらに含む請求項4のプロセス。
  7. 前記プラズマプロセスが、He/Hプラズマプロセスであり、該He/Hプラズマプロセスが、トレンチ側壁を処理する間に、下部導電素子から酸化物を還元し、前記バリア特性を改善する請求項1のプロセス。
  8. 前記改善されたバリア特性が、前記プロセスにより形成された回路について、0.1%未満の累積故障リスクでの使用の10年より長い延長された寿命である請求項1のプロセス。
  9. 前記延長された寿命が約17年である請求項8のプロセス。
  10. 前記プラズマプロセスが、250〜350℃でのHe/Hプラズマプロセスを含む請求項1のプロセス。
  11. 前記相互接続パターンが、それ自身の底で導体を露出させるビアを含み、前記改善されたバリア特性が、前記プラズマプロセスがなされていないビアよりも、低いビアチェーン抵抗である請求項1のプロセス。
  12. 前記低いビアチェーン抵抗が、前記He/Hプラズマプロセスの欠如を除いて同一のプロセスによるトレンチ内のビア内の抵抗よりも、約1%〜約20%低い請求項11のプロセス。
  13. 前記改善されたバリア特性が、前記バリア層のより一様な抵抗である請求項1のプロセス。
  14. 前記改善されたバリア特性が、エレクトロマイグレーションにおける改善された故障時間である請求項1のプロセス。
  15. 前記バリア特性を改善するのに十分な前記条件が、露出時間の総量を含み、前記露出する表面が5〜20秒の間処理される請求項1のプロセス。
  16. 前記バリア特性を改善するのに十分な前記条件が、13.5MHzで100〜200Wで生成されたプラズマを含む請求項1のプロセス。
  17. 前記バリア特性を改善するのに十分な前記条件が、10〜160部のHに対して190〜40部のHeを含むプラズマを含む請求項1のプロセス。
  18. 前記バリア層が、タングステン、窒素、および炭素を含む請求項1のプロセス。
  19. 前記バリア層が、約35〜45オングストロームの厚さになるように堆積される請求項18のプロセス。
  20. 前記バリア層が、本質的にWNから構成される請求項18のプロセス。
  21. 物理気相蒸着法(PVD)プロセスにより、前記バリア層上に銅のシード層を蒸着するステップをさらに含む請求項20のプロセス。
  22. 前記絶縁層が、Low−kのシロキサンポリマーを含む請求項1のプロセス。
  23. 前記プラズマプロセスが、前記トレンチの前記露出する表面へプラズマ生成物を供給するステップを含み、該プラズマ生成物がリモートに生成される請求項1のプロセス。
  24. 有効なバリア層を形成する際に、30〜60回のALDサイクルが実行される請求項1のプロセス。
  25. 前記トレンチの前記露出する表面が、エッチング停止層あるいはCMP停止層を含まない請求項1のプロセス。
  26. 絶縁層内にビアを形成するステップをさらに含み、前記トレンチ及びビアが、ビア−ファースト・トレンチ−ラスト(VFTL)プロセスにより形成される請求項1のプロセス。
  27. 前記kの低い絶縁層が、埋め込みエッチング停止層を含む請求項1のプロセス。
  28. 半導体基板上の絶縁層内に、所望の配線パターンに形成されたトレンチと、
    前記トレンチの底から下方へ伸びて、下部導電素子の少なくとも一部を露出させるコンタクトビアと、
    前記トレンチの表面上に直接形成された、タングステン、窒素、および炭素を含むバリア層と、
    前記バリア層に付着する銅層とを備える集積回路。
  29. 前記回路が、110℃および5.5×10Å/cmの電流密度の使用条件で、10年より長い期間0.1%の累積故障リスクを有する請求項28の回路。
  30. 前記絶縁層が、kの低い物質を含む請求項28の回路。
  31. 前記kの低い物質が、Low−k物質、ウルトラLow−k物質、エクストリームLow−k物質、およびシロキサンポリマー絶縁フィルムからなる群から選択される請求項30の回路。
  32. 前記kの低い物質が、多孔性かつ約3.3未満の誘電率を有する請求項31の回路。
  33. 前記kの低い物質が、−SiRO−の繰り返し構造単位を有する請求項31の回路。
  34. 前記バリア層の厚さの標準偏差が、約1%である請求項28の回路。
  35. 前記バリア層が、約20〜60オングストロームの厚さである請求項34の回路。
  36. 前記バリア層が、約95%より高いステップカバレッジを有する請求項28の回路。
  37. 前記絶縁層が、一般式Siαβ(ここでa、β、xおよびyは整数である)のシリコン含有炭化水素化合物である請求項28の回路。
  38. 基板上の絶縁表面のインシチュプラズマプロセス用に設定された第1のチャンバと、
    金属窒化物炭化物バリア物質の原子層堆積用に設定された第2のチャンバと、
    前記金属窒化物炭化物物質にシード層を適用するために設定された第3のチャンバと、
    前記第1、第2および第3のチャンバ間の空間であって、該空間が、該空間内において前記基板が前記第1、第2および第3のチャンバ間を移動することを可能にし、前記チャンバ間の転送の間、前記第1、第2および第3のチャンバ間の前記空間が真空を維持するように設定された空間とを備えるメタライゼーションのために設定されたクラスターツール。
  39. 前記第3のチャンバに操作可能に接続された銅のソースをさらに備える請求項38のクラスターツール。
  40. 前記第2のチャンバに操作可能に接続された、窒素のソースと炭素のソースとをさらに備える請求項38のクラスターツール。
  41. 前記第2のチャンバに操作可能に接続された金属のソースをさらに備える請求項40のクラスターツール。
  42. 前記金属のソースが、タングステンを含む請求項41のクラスターツール。
  43. 絶縁層の表面をプラズマ処理するステップであり、前記プラズマ処理が、前記表面を処理するための還元プラズマの使用を含むステップと、
    前記絶縁層の前記表面をプラズマ処理するステップの後に、原子層堆積(ALD)により堆積された、金属、炭素、および窒素を含むバリア層で、前記表面をライニングするステップとを含み、前記バリア層の厚さの標準偏差が、前記バリアの前記厚さの平均の約5%未満である点で、前記表面と前記バリア層との間の界面が比較的一様である集積回路作製方法。
  44. 前記バリア層を覆う電気化学堆積シード層を形成するステップをさらに含む請求項43の方法。
  45. 前記金属がタングステンである請求項43の方法。
  46. 前記還元プラズマが、HおよびHeから形成されたプラズマを含む請求項43の方法。
  47. 前記プラズマが、10〜160部のHに対して190〜40部のHeを含む請求項46の方法。
  48. 前記プラズマにより処理されることになっている前記表面を含む前記層が、kの低い絶縁物質を含む請求項43の方法。
  49. 前記絶縁物質が、フッ素化ケイ酸塩ガラス、テトラエチルオルソシリケート、および多孔性の絶縁物質からなる群から少なくとも1つ選択される請求項43の方法。
  50. 前記バリア層の厚さの前記標準偏差が、前記バリアの前記厚さの前記平均の約1%未満である請求項43の方法。
  51. プラズマ処理された前記表面が、ビアの表面およびトレンチの表面である請求項44の方法。
  52. プラズマ処理された前記表面が、ダマシントレンチの表面である請求項45の方法。
  53. 前記回路が、0.1%未満の累積故障リスクでの使用の10年より長い延長された寿命を有する請求項52の方法。
  54. 前記プラズマ処理で作製される回路が、前記プラズマ処理なしで作製される回路よりも優れたバリア特性を持つように、前記プラズマ処理が十分に実行され、他の点では同じプロセスによって、2つの前記回路が作製される請求項43の方法。
  55. 前記プラズマ処理で作製される回路が、前記プラズマ処理なしで作製される回路よりも低い抵抗性を持つように、前記プラズマ処理が十分に実行され、他の点では同じプロセスによって、2つの前記回路が作製される請求項43の方法。
JP2007556376A 2005-02-22 2006-02-21 原子層堆積のための表面のプラズマ前処理 Withdrawn JP2008532271A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US65561005P 2005-02-22 2005-02-22
PCT/US2006/005868 WO2006091510A1 (en) 2005-02-22 2006-02-21 Plasma pre-treating surfaces for atomic layer deposition

Publications (1)

Publication Number Publication Date
JP2008532271A true JP2008532271A (ja) 2008-08-14

Family

ID=36577377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007556376A Withdrawn JP2008532271A (ja) 2005-02-22 2006-02-21 原子層堆積のための表面のプラズマ前処理

Country Status (6)

Country Link
US (1) US7498242B2 (ja)
EP (1) EP1851794A1 (ja)
JP (1) JP2008532271A (ja)
KR (1) KR20070108918A (ja)
TW (1) TW200634982A (ja)
WO (1) WO2006091510A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010508661A (ja) * 2006-11-01 2010-03-18 エーエスエム アメリカ インコーポレイテッド 金属炭化物膜の気相成長
JP2010067638A (ja) * 2008-09-08 2010-03-25 Tokyo Electron Ltd ルテニウム膜の成膜方法
WO2014156932A1 (ja) 2013-03-27 2014-10-02 凸版印刷株式会社 積層体、バリアフィルム、及びこれらの製造方法
JP2020500423A (ja) * 2016-11-28 2020-01-09 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation 超伝導体相互接続構造を形成する方法
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
EP1652226A2 (en) * 2003-08-04 2006-05-03 ASM America, Inc. Surface preparation prior to deposition on germanium
US7294851B2 (en) 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7338893B2 (en) * 2005-11-23 2008-03-04 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
US20070278682A1 (en) * 2006-05-31 2007-12-06 Chung-Chi Ko Self-assembled mono-layer liner for cu/porous low-k interconnections
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100760920B1 (ko) * 2006-07-25 2007-09-21 동부일렉트로닉스 주식회사 반도체 집적회로 소자에서 구리 배선을 형성하는 방법
US7329956B1 (en) * 2006-09-12 2008-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene cleaning method
US7466027B2 (en) * 2006-09-13 2008-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with surfaces roughness improving liner and methods for fabricating the same
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080160754A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Method for fabricating a microelectronic conductor structure
US7670947B2 (en) * 2007-01-11 2010-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal interconnect structure and process for forming same
TW200913134A (en) * 2007-09-05 2009-03-16 Powerchip Semiconductor Corp Method of metal interconnection
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8764961B2 (en) * 2008-01-15 2014-07-01 Applied Materials, Inc. Cu surface plasma treatment to improve gapfill window
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8344513B2 (en) * 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US20110318502A1 (en) * 2009-12-24 2011-12-29 Spp Process Technology Systems Uk Limited Methods of depositing sio2 films
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
KR101895398B1 (ko) * 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9059176B2 (en) * 2012-04-20 2015-06-16 International Business Machines Corporation Copper interconnect with CVD liner and metallic cap
US20130307153A1 (en) 2012-05-18 2013-11-21 International Business Machines Corporation Interconnect with titanium-oxide diffusion barrier
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20150001728A1 (en) * 2013-06-26 2015-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-treatment method for metal-oxide reduction and device formed
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9209072B2 (en) 2013-10-25 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Global dielectric and barrier layer
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6086933B2 (ja) * 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160116618A (ko) 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10170419B2 (en) 2016-06-22 2019-01-01 International Business Machines Corporation Biconvex low resistance metal wire
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9859157B1 (en) * 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10879081B2 (en) * 2017-11-22 2020-12-29 Applied Materials, Inc. Methods of reducing or eliminating defects in tungsten film
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11664271B2 (en) 2019-05-02 2023-05-30 International Business Machines Corporation Dual damascene with short liner
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11322502B2 (en) * 2019-07-08 2022-05-03 Micron Technology, Inc. Apparatus including barrier materials within access line structures, and related methods and electronic systems
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240167148A1 (en) * 2022-11-18 2024-05-23 Applied Materials, Inc. Methods of removing metal oxide using cleaning plasma

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
EP0469470B1 (en) 1990-07-30 1996-10-09 Mitsubishi Gas Chemical Company, Inc. Process for producing multilayered printed board
WO1993010652A1 (en) 1991-11-22 1993-05-27 Electrochemicals, Inc. Process for improved adhesion between a metallic oxide and a polymer surface
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
US6124189A (en) * 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6033584A (en) * 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
SK286721B6 (sk) 1999-02-11 2009-04-06 Hardide Limited Materiál na povlaky odolné proti opotrebovaniu, erózii a korózii z karbidu volfrámu, povlaky, substrát potiahnutý viacvrstvovým povlakom, konštrukčný materiál a postupy na ich výrobu
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6331484B1 (en) 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6753249B1 (en) * 2001-01-16 2004-06-22 Taiwan Semiconductor Manufacturing Company Multilayer interface in copper CMP for low K dielectric
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP4938962B2 (ja) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6933246B2 (en) * 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6844710B2 (en) * 2002-11-12 2005-01-18 O2Micro International Limited Controller for DC to DC converter
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
KR101177576B1 (ko) 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
EP1652226A2 (en) * 2003-08-04 2006-05-03 ASM America, Inc. Surface preparation prior to deposition on germanium
JP4235066B2 (ja) * 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 薄膜形成方法
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010508661A (ja) * 2006-11-01 2010-03-18 エーエスエム アメリカ インコーポレイテッド 金属炭化物膜の気相成長
JP2010067638A (ja) * 2008-09-08 2010-03-25 Tokyo Electron Ltd ルテニウム膜の成膜方法
WO2014156932A1 (ja) 2013-03-27 2014-10-02 凸版印刷株式会社 積層体、バリアフィルム、及びこれらの製造方法
KR20150138227A (ko) 2013-03-27 2015-12-09 도판 인사츠 가부시키가이샤 적층체, 배리어 필름 및 이들의 제조 방법
US9957613B2 (en) 2013-03-27 2018-05-01 Toppan Printing Co., Ltd. Laminate, barrier film and method for manufacturing these
JP2020500423A (ja) * 2016-11-28 2020-01-09 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation 超伝導体相互接続構造を形成する方法
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Also Published As

Publication number Publication date
EP1851794A1 (en) 2007-11-07
KR20070108918A (ko) 2007-11-13
WO2006091510A1 (en) 2006-08-31
TW200634982A (en) 2006-10-01
US7498242B2 (en) 2009-03-03
US20060216932A1 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US7498242B2 (en) Plasma pre-treating surfaces for atomic layer deposition
JP4825422B2 (ja) 絶縁層の上部表面から延びる複数のトレンチを有する多孔質絶縁層を含む集積回路を製造する方法
US9748105B2 (en) Tungsten deposition with tungsten hexafluoride (WF6) etchback
US7102235B2 (en) Conformal lining layers for damascene metallization
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6482733B2 (en) Protective layers prior to alternating layer deposition
US6852635B2 (en) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7928006B2 (en) Structure for a semiconductor device and a method of manufacturing the same
US7799681B2 (en) Method for forming a ruthenium metal cap layer
TWI694501B (zh) 防止銅擴散的介電/金屬阻障集成
TW202111870A (zh) 用於混成特徵金屬化之方法與設備
JP2004153274A (ja) 金属カルボニルを使用して堆積したバリアメタル層を使用したダマシン相互接続の形成方法
JP2005129937A (ja) 低k集積回路相互接続構造
US20230274932A1 (en) Selective inhibition for selective metal deposition
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
JP2006147895A (ja) 半導体装置の製造方法
KR20040050516A (ko) 듀얼 다마신 공정을 이용한 금속배선 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090203

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091208

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100118