JP5173098B2 - ダマシン・メタライゼーションのためのコンフォーマルライニング層 - Google Patents

ダマシン・メタライゼーションのためのコンフォーマルライニング層 Download PDF

Info

Publication number
JP5173098B2
JP5173098B2 JP2001531140A JP2001531140A JP5173098B2 JP 5173098 B2 JP5173098 B2 JP 5173098B2 JP 2001531140 A JP2001531140 A JP 2001531140A JP 2001531140 A JP2001531140 A JP 2001531140A JP 5173098 B2 JP5173098 B2 JP 5173098B2
Authority
JP
Japan
Prior art keywords
metal
species
monolayer
forming
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001531140A
Other languages
English (en)
Other versions
JP2003531474A5 (ja
JP2003531474A (ja
Inventor
イヴォ ラアイジメイカース
スヴィ ピー. ハウッカ
エルンスト エイチ. エー. グランネマン
ヴィール アンテロ サアニラ
ペッカ ジュハ ソイニネン
カイ−エリック エレース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2003531474A publication Critical patent/JP2003531474A/ja
Publication of JP2003531474A5 publication Critical patent/JP2003531474A5/ja
Application granted granted Critical
Publication of JP5173098B2 publication Critical patent/JP5173098B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
(発明の分野)
本発明は、広く、集積回路製造時に高いアスペクト比のボイド内にライニング層を形成することに関し、より詳細には、デュアルダマシンのメタライゼーション方式でトレンチおよびコンタクトビアにライニングするバリア層に関する。
【0002】
(発明の背景)
集積回路を製造するときに、絶縁材料、導電材料、および半導体材料の層が、所望の構造を製作するために堆積され、さらにパターニングされる。「バックエンド」法またはメタライゼーション方法は、コンタクト形成および金属ラインまたは配線の形成を含む。コンタクト形成により、絶縁層を貫通して垂直方向に導電層を接続する。従来、コンタクトビアまたはコンタクト開口は絶縁層中に形成され、この絶縁層は、一般に、ホウ素リンケイ酸ガラス(BPSG)のような酸化物、またはテトラエチルオルトシリケート(TEOS)前駆物質から形成される酸化物の形で構成される。それから、ビアに導電材料を充填して、電気装置と絶縁層の上や下の配線とを相互に接続する。垂直方向のコンタクトで相互接続された層は、一般に、集積回路全体にわたって走る水平な金属ラインを含む。そのようなラインは、従来、絶縁層の上に金属層を堆積し、その金属層を所望の配線パターンでマスクし、さらに所望の配線や導電ラインの間の金属をエッチング除去して形成される。
【0003】
ダマシン処理は、所望のラインパターンでトレンチを形成し、そのトレンチに金属または他の導電材料を充填し、それからその金属を絶縁層までエッチバックすることを含む。このようにして、配線は、トレンチ内に残り、所望のパターンで互いに分離される。このように、エッチバック法によって、従来の金属ライン画定におけるより困難なフォトリソグラフィマスキングプロセスおよびエッチングプロセスを回避することができる。
【0004】
ダマシン処理の延長で、デュアルダマシンとして知られているプロセスは、一般にエッチストップ材料で隔てられた2層の絶縁層を形成し、上述したように、ダマシン処理のために、上層の絶縁層にトレンチを形成することを含む。トレンチをエッチングした後で、コンタクトが必要な下方の導電部材を露出させるように、さらなるマスクを使用して、トレンチの底部および下層の絶縁層を貫通して下方に向かってコンタクトビアをエッチングする。
【0005】
ゲート電極、キャパシタ、コンタクト、ランナーおよび配線層のような導電部材は、各々、集積回路の適正な動作のために、互いに電気的に分離されていなければならない。そのような導電部材の周りに絶縁層を設けることに加えて、導電材料が、絶縁層を通り抜けて拡散またはスパイクするのを防ぐように注意しなければならない。このような拡散やスパイクは、デバイスやラインの間に不要な短絡を生じさせることがある。保護バリアは、多くの場合に、基板アセンブリにおいてビアまたはトレンチの壁と金属との間に形成されて、堆積材料をビアやトレンチの壁の内側に閉じ込めるのに役立つ。このように、バリアは、ダマシンやデュアルダマシンの相互接続への応用にとって有用であり、銅のように小さくて拡散速度が速い元素には特に有用である。
【0006】
保護バリアの候補材料は、第一に、拡散バリアとして効果的な特性を示さなければならない。加えて、その材料は、隣接する材料(例えば、ビアの酸化膜壁、接着層、エッチストップ層および/またはビアやトレンチを充填する金属材料)との間で優れた接着性を示さなければならない。多くの用途で、バリア層は電流経路内に配置されるので、導電性でなければならない。一般に、バリアは、窒化チタン(TiN)、窒化タンタル(TaN)、窒化タングステン(WN)のような金属窒化物(MNx)で形成されている。これらの金属窒化物(MNx)は、高密度であり、さらに、コンタクトビア、配線用トレンチおよび他の導電性バリアの用途にライニングするのに十分に導電性を有する。
【0007】
次に、化学的気相成長法(CVD)、物理的気相成長法(PVD)、および電気メッキを含む様々なプロセスのいずれかによって、ライニングされたこれらのビアまたはトレンチに金属を充填する。有効な導電率を得るために、また動作中のエレクトロマイグレーションを防止するため、ビアまたはトレンチに、ボイドや重大な穴を残さないように、コンタクトまたは配線層の金属を充填しなければならない。より速い動作処理速度やより低い消費電力の追求により、集積回路の寸法が次第に縮小されてきており、深くて狭い開口に導電材料を完全に充填することが、ますます課題になっている。
【0008】
図1および図2に示したように、導電性バリア層および/または他のライナーを使用することにより、デュアルダマシン処理のトレンチおよびビアを充填することは一層困難になる。図1に、デュアルダマシンのプロセスを示す。ここでは、上層の絶縁層10が下層の絶縁層12の上に形成され、その下層の絶縁層12は、同様に導電性配線層14の上に、好ましくは介在する誘電体バリア15を伴って、形成されている。この誘電体バリア15は、下層の導電性配線層14における銅または他の導電性材料が、上方に配置された下層の絶縁層12内に拡散するのを防ぐ役割を果たす。
【0009】
所望の配線パターンにパターニングし、エッチングしてトレンチ16を形成するためにマスクを使用する。図示した実施の形態では、2つの絶縁層、上層の絶縁層10および下層の絶縁層12の間に形成された、エッチストップ用のハードマスク19のレベルまで、エッチングしてトレンチ16を形成する。一般に、上層の絶縁層10の堆積の前に、このハードマスク19をパターニングおよびエッチングして、トレンチ16の底から延びることになる所望のコンタクトビアの水平方向の寸法を画定するハードマスクを形成する。ハードマスク19を介した連続したエッチングによって、トレンチ16の底から下層の導電性配線層14までコンタクトビア20が開けられる。また、当業者には理解されるであろうが、図1には、上層の絶縁層10の上に、後の平坦化ステップにおけるエッチングまたは化学機械研磨(CMP)を停止させる停止層21が示されている。
【0010】
そして、好ましくは導電材料で形成される保護ライナー22を、露出した水平な面および側壁面に形成する。一般に、保護ライナー22は、少なくとも金属窒化物を含み、さらに接着強化層やシード層を含んでもよい。例えば、保護ライナー22は、Ti/TiN/Cuの3層で構成することもできる。そのような構造では、チタン層は、露出した酸化膜側壁との接着を改善する役割を果たす。すなわち、窒化チタンは、拡散バリアとして作用し、そして、薄い銅層は、後の銅メッキのためのシード層として作用する。他の例では、保護ライナー22は、窒化タンタルまたは窒化タングステンのバリアを含む。
【0011】
しかし、保護ライナー22のコンフォーマル堆積は、従来の処理では非常に困難である。例えば、金属層(接着層、バリア層および/またはシード層用)のスパッタリングなどの物理的気相成長法(PVD)では、トレンチ16およびコンタクトビア20の全面にわたるのに、少なくとも約50Åは必要である。残念ながら、高アスペクト比のボイドへの金属のPVDで、ビアの底で十分なカバレージを実現するには、加工物の上面においてより多くの堆積を必然の結果として伴う。例えば、デュアルダマシン方式のための一般的な最先端のトレンチおよびコンタクト構造において、厚さ50Å分の金属がコンタクトビア20の底および側壁に到達するためには、厚さ約500Å分のPVD金属が必要になる。
【0012】
このようにステップカバレージが悪いのは、今日の集積回路の設計においてデュアルダマシン処理で高アスペクト比のボイドが形成されるようになったことに起因する。コンタクトビアのアスペクト比は、深さまたは高さと幅との比として定義される。デュアルダマシンのコンタクトの場合、トレンチ16およびコンタクトビア20は、上層の絶縁層10、下層の絶縁層20の2つの層を貫通して一体となって延びているので、コンタクトビア20の実効アスペクト比は非常に高くなる。
【0013】
従来の堆積プロセスでは、様々な理由のために、そのような高アスペクト比のビアでのステップカバレージ(すなわち、側壁カバレージとフィールドまたは水平面のカバレージの比)が非常に悪くなる。例えば、PVDの異方性のために、トレンチ16の上の角26およびコンタクトビア20の上の角28では、ビアの底面30に比べて、堆積物が速く蓄積する傾向がある。構造物の上面における堆積材料の速い形成の結果として、トレンチ16内の導電ライン幅の大部分をライナーが占めるようになり、また、それとともに、コンタクトビア20のさらに多くの部分をライナーが占めるようになる。次に、これらの形成されたトレンチ16の上の角26、コンタクトビア20の上の角28が構造の下方の領域に陰を落とし、その結果、下方の面、特に底部の隅は、それ以上の堆積が起こらないようになっててしまう。例えば堆積する気体のコリメーションまたはイオン化によって、PVD堆積をより明確にビアの底に向けることができるが、そのような付加的な異方性により、側壁のカバレージが犠牲になる傾向がある。
【0014】
化学的気相成長法(CVD)のプロセスは、ある特定の金属および金属窒化物のために開発されてきた。CVDは、PVDプロセスよりも優れたステップカバレージを示す傾向がある。CVDプロセスが優れたステップカバレージを示すために、反応はいわゆる「表面律速」方式で操作されなければならない。この方式において反応種は、最初の衝突ではトレンチまたはビアの壁に付着しない。むしろ反応種は、反応する前に何回か(例えば、10〜500回)トレンチまたはビア表面に当たって跳ね返る。
【0015】
周囲の材料と両立できるように、十分に低い温度でバリア層を堆積する最先端のCVDプロセスは、完全には表面制御方式の範囲内で作用しない。したがって、CVDプロセスでさえも、構造の上面および側壁よりも遥かに少ない材料が、デュアルダマシンのコンタクトビア20の底に堆積する傾向がある。トレンチ16およびコンタクトビア20の上の角は、容積に対する表面領域の集中が著しい部分に相当する。水平な上面への堆積と隣接する垂直な側壁面への堆積とが一緒になって、トレンチ16の上の角26、コンタクトビア20の上の角28の近くでは堆積速度が増加することになる。さらに、フローされる反応生成物は、トレンチ16およびコンタクトビア20の狭い空間内にゆっくりと拡散する。したがって、ビアの底30に達する反応生成物の濃度は、構造の上面に達する反応生成物の濃度に比べて遥かに小さくなる。このようにして、PVDに比べて幾分改良されるものの、デュアルダマシン構造のCVDによるステップカバレージは、現在知られている多くの低温CVDでは依然として一様ではない。
【0016】
より速い動作速度およびより低い消費電力の追求により、集積回路における寸法は絶えず縮小してきている。不断の縮小に伴って、コンタクトおよびトレンチのアスペクト比は上昇し続けている。これは、集積回路内の構造の幅または水平方向の寸法は縮小し続ける一方で、金属層を分離する絶縁層の厚さは同じ基準で薄くすることはできないからである。絶縁層の薄膜化は、導電線で挟まれた誘電体層間の容量によって、電荷は速度が遅くなるか、または拘束される、寄生容量の現象によって制限される。周知のように、水平方向の寸法が縮小するのにつれて絶縁層が比例して薄くなると、そのような寄生容量は害をもたらすものとなるだろう。
【0017】
図2を参照して図1を縮小したものを示す。ここで、同じ部分は、接尾文字「a」を付加した同じ数字と文字「a」の組合せで表すものとする。図示したように、不断の縮小によって、デュアルダマシン構造にライニングする間の不均一なステップカバレージの効果は一層顕著になっている。コンタクトビア20aの上の角28aでの材料の蓄積によって、開口の大きさは急速に減少し、コンタクトビア20a内に達する反応生成物の濃度をさらに減少させている。したがって、ビアの底面30aのカバレージは一層早く減少する。さらに、図2の縮小した構造では、ライナー材料によって占められるトレンチ16aの割合が遥かに大きくなる。ライニング材料は、それに続く充填金属(例えば、銅)よりも導電性が一般に劣るので、全体としての導電率が小さくなる。さらに悪いことには、底面30aが十分に被覆されないうちに、または充填金属の堆積中に、コンタクトビアの上の角28aの先端が塞がってしまうことがある。
【0018】
したがって、特にデュアルダマシンメタライゼーションの状況において集積回路内のトレンチおよびビアにライニングする、より効果的な方法が求められている。
【0019】
(発明の概要)
この要求を満たすため、デュアルダマシン・メタライゼーション方式での高アスペクト比のトレンチおよびコンタクトビア内にライニング材料を堆積させる方法を、ここに提供する。有利なことに、本発明に係る方法によれば、高いステップカバレージが達成されるので、最低限必要な厚さのライニング層だけを全ての表面に形成するとよい。接着層、バリア層および電気メッキ用シード層のうち1またはそれ以上の膜の形成に本発明に係る方法を適用する実施例を提供する。
【0020】
一般に、本発明に係る方法は交互になる反応生成物段階のサイクルを含み、各段階が自己限定効果を有する。「純粋な」金属層は、例えば、自己飽和性ハライドまたは有機終端金属のモノレイヤを吸着させることと、その金属含有モノレイヤを還元することとを交互に行わせることで、形成することができる。導電性拡散バリアに適した金属窒化物は、自己終端金属含有モノレイヤを吸着することと、配位子交換反応を行うこととを交互に行わせ、金属含有モノレイヤのハロゲンテールまたは有機テールを窒素含有種で置換することによって形成することができる。もしくは、自己終端金属含有モノレイヤのテールは、窒素段階に先行する中間除去物質またはゲッターの段階で還元するか、または別に取り除くことができる。
【0021】
有利なことに、本発明に係る方法によって、高アスペクト比の開口(例えば、トレンチおよびビア)内に、望ましくはそれぞれの機能に合わせてできるだけ薄い、一様な厚さの導電層を形成することが可能となる。したがって、そのような開口内の残りの体積が最大になり、金属ランナーおよび不可欠なコンタクトための銅のような、より高導電性の充填材料の体積をそれに合わせてより大きくすることが容易になる。
【0022】
本発明に係るこれらの態様および他の態様は、以下の説明、添付した特許請求の範囲を考慮して、および本発明を例証するが限定しない意図である図面から、当業者には容易に明らかになるであろう。
(好ましい実施の形態の詳細な説明)
ある特定の好ましい材料に関連して説明するが、ここで説明する方法および構造は、ダマシン構造にライニングするための様々な他の材料に応用できることは、ここでの開示を考慮して理解されるであろう。
【0023】
上記発明の背景の項で述べたように、物理的気相成長法(PVD)および従来の化学的気相成長法(CVD)によって、ダマシン構造、特にデュアルダマシン構造にライニングすると、トレンチおよびコンタクトビアの大部分の体積部が、望ましいようには埋められない。したがって、後に続く高導電性金属の充填には余りスペースが残っていない。より薄いライナー材料を使用すると、銅のような高導電性の充填金属のためにより多くのスペースが残るようになり、このスペースが多くなることで、今度は、導電率が増加し、また集積回路の動作信号伝達速度が向上する。PVDおよびCVDのような従来の方法は、まさにその特質により、底よりもダマシン構造の上端方向ほど厚い層を形成する。デュアルダマシンのトレンチおよびコンタクトビアのよりコンフォーマルなステップカバレージを得ることに対して多くの研究が行われたが、そのような構造の全ての表面に、同じ濃度の反応種(または、PVDスパッタ材料)を供給することは非常に難しい。特に、既に深いトレンチの底から延びる深くて狭いコンタクトビアの底に供給されるものと同じ濃度の堆積種を、そのような構造の上面に供給することは難しい。
【0024】
殆ど完全なステップカバレージを実現することで、好都合にもこの好ましい実施の形態によって、デュアルダマシン構造におけるトレンチおよびコンタクトビアの全ての表面にわたって、所望のライナー層のために必要最小限の厚さを実現することができる。望ましいことには、好ましい実施の形態の方法の場合には、トレンチおよびコンタクトビアの下方領域に比べて、上方領域では反応種の相対的な濃度への依存がより少ない。
【0025】
図5〜図8に示したように、好ましい実施の形態に従って、絶縁物層が半導体基板の一面に形成される。まず図5に示したように、下層の絶縁層である第1の絶縁層50が下層のバリア層51および導電性回路部材52の上に形成される。この導電性回路部材52は、例示した実施の形態において、下層の相互接続層の一部を形成する。当業者には理解されるように、メタライゼーション方式では、一般に、様々な配線層全体にわたって1つの金属組成が使用される(例えば、銅の相互接続、またはアルミニウムの相互接続)。
【0026】
好ましい実施の形態は、多くの異なる材料に適応可能であるが、ある特定の実施の形態はダマシン構造にライニングするのに特に適合しており、そのダマシン構造では、ビアの底または下層の導電性回路部材52は高導電性銅ラインを含む。第1の絶縁層50は、形成される上層の配線構造から、下層の導電性回路部材52を絶縁するのに十分な厚さに形成されるのが好ましい。エッチストップ層となるハードマスク54(図6〜図7)が、第1の絶縁層50の上に形成され、上層の絶縁層となる第2の絶縁層56(図8)がハードマスク54の上に形成される。第2のエッチストップ層またはCMP停止層となる停止層58(シールド層としても知られている)もまた、第2の絶縁層56の上に形成されるのが好ましい。
【0027】
例示した実施の形態では、第1の絶縁層50および第2の絶縁層56の各々は、前駆物質テトラエチルオルトシリケートを使用するプラズマCVDによって堆積された酸化物を含む。好ましい材料(当業界では、「PECVD TEOS」と呼ばれる)の第1の絶縁層50および第2の絶縁層56は、厚さ約0.3μm〜1.5μmで提供されるのが好ましく、より好ましくは厚さ約0.5μm〜1.0μmである。当業者は容易に理解するであろうが、絶縁層は他のいくつかの適当な誘電体材料のいずれかを含むことができる。例えば、従来の酸化物に比べて、低い誘電率(小さなk)を示す誘電体材料が、最近開発された。このkの小さい誘電体には、高分子材料、多孔質材料およびフッ素ドープト酸化物がある。トレンチおよびコンタクトビアにライニングする本発明に係る方法は、そのようなkの小さい材料と併せても有用である。
【0028】
例示した実施の形態におけるハードマスク54、停止層58は、各々、第1の絶縁層50および第2の絶縁層56に対して異なるエッチングレートを示す材料を含み、エッチングプロセスのより優れた制御を可能にする。例示した実施の形態では、エッチストップ層54、58は窒化シリコン(Si34)を含み、厚さ約100Å〜700Åで提供されるのが好ましく、より好ましくは厚さ約200Å〜500Åである。下層のバリア層51もまたSi34を含むことが好ましい。
【0029】
上記発明の背景の項で述べたように、第1のの絶縁層50およびハードマスク54となる層を形成した後で(図5および図6)、マスク工程およびエッチング工程により、開口55のパターン(図7に1つ示す)が転写され、ハードマスク54となる。それから、第2の絶縁層56および停止層58がハードマスク54の上に形成されてもよい。
【0030】
ここで図9Aに示したように、基板をマスクし、第2の絶縁層56を貫通してトレンチ60(1つを図示する)がエッチングされ、好ましくは、第1のハードマスク層54の露出した部分で停止する。当業者には理解されるように、集積回路の設計に従って金属ラインに所望のパターンで、トレンチ60は、絶縁層56を貫通するようにエッチングされる。例示した実施の形態では、トレンチの幅は約0.35μmよりも狭く、より好ましくは約0.25μmよりも狭い。
【0031】
ハードマスク54を通したエッチングの継続によって、下層の導電性部材(例えば、導電性回路部材52)を露出させるために、トレンチの底部から第1の絶縁層50を貫通して下方に延びるコンタクトビア62(1つを図示する)が画定される。コンタクトビア62は、ハードマスク54内の開口55によりトレンチ60に沿った別々の位置に画定される。望ましくは、コンタクトビア62は、幅が約0.35μmよりも狭く、より好ましくは、約0.05μmと0.25μmとの間である。コンタクトビア62の幅または直径は、上のトレンチ60で画定されたライン幅に等しく、または僅かに狭くすることができる。
【0032】
したがって、コンタクトビア62の実効アスペクト比(深さ:幅)は、約2:1よりも高いことが好ましい。コンタクトビア62の実効深さは第1の絶縁層50および第2の絶縁層56の両方を貫通して画定されるので、実効アスペクト比は、より好ましくは約3:1よりも高く、最も好ましくは約4:1と8:1との間である。好ましい実施の形態は、未来世代のデバイスに関係する特有の有用性を備えるであろうし、それによって、ライン幅およびコンタクト幅はなお一層狭くなるだろう。
【0033】
図9B〜図9Dを参照して、好ましい実施の形態は、また図9Aのデュアルダマシン構造上の変形と関連する特有の有用性を備える。図9Aの部分と同様な部分は、同じ符号で参照する。
【0034】
図9Bを参照して、キャップしていないデュアルダマシン構造を示す。キャップしていないビア62が、デザインルール上許容されるとき(そして、これらのビアはより高い回路密度を得るために望ましい)、マスクアライメント不良により、一層高いアスペクト比をもたらすこともある。一方のビア側壁は、ハードマスク54で画定された開口55に対応する縁部から後退しているので、実効コンタクトサイズは減少し、そのアスペクト比は、図9Aにおいて例示した上記の実施の形態で示したアスペクト比の2倍に容易く、なりうる。
【0035】
図9Cに、十分に土台を持っていないビアは、同様により高い実効アスペクト比を有すること示す。そのような状況においてハードマスク54の開口55は、導電性回路部材52の縁部70と重なる。回路部材52を取り囲む絶縁層となる誘電体層74に、小さいが非常に高いアスペクト比のオーバエッチ孔72が形成される。オーバエッチ孔72の深さは、もちろん、下層のバリア層51と周囲の誘電体層74との間のエッチング選択性に依存している。
【0036】
図9Dは、ビアのエッチングの間における下層のバリア層51のアンダーカット効果を示す。下方に配置された導電性回路部材52を露出させるように、下層のバリア層51がビアの底から選択性エッチングでエッチングされるときに、下層のバリア層51は横方向に窪まされる傾向がある。結果として生じる空洞80には、従来プロセスではライニングするのが非常に難しい。
【0037】
図9Eは、さらに他の理想的でないダマシン構造を示す。構造をパターニングするために使用されたフォトレジストを取り除くときに、kの小さい誘電体で形成された第1の絶縁層50および第2の絶縁層56は損傷を受け易く、トレンチ60およびコンタクトビア61内に、たる状の外形を残す。この構造においてもまた、従来の処理方法で効果的にライニングし、また充填することは難しい。
【0038】
同様に、他の多くの理想的でない条件によって、デュアルダマシンのトレンチおよびビアに、他の凹角形状、空洞、および/または極端に高いアスペクト比が結果として生じうる。そのような状況で、ボイドを形成することなく、これらの構造にライニングし、そして充填するのに、従来の処理方法では不十分である。対照的に、好ましい実施の形態に係る方法は、図9Bから図9Eに示した異常な構造でさえも、効果的にライニングすることができる。さらに、当業者は、デュアルダマシンの状況を越えて、ここに開示された方法および薄膜の応用を容易に見出すであろう。例えば、ここに開示された方法は、単一ダマシン配線方式におけるトレンチにライニングするために、または従来方式のコンタクトビアおよび開口にライニングするために、効果的に使用することもできる。ライニングする方法は、好ましい実施の形態におけるデュアルダマシンのプロセスフローと関連する特有の有用性を備える。
コンフォーマルライナーの形成方法
そのように形成されるダマシン構造は、その後で、高いステップカバレージでライニングされる。好ましい実施の形態に従って、ライナーは、各サイクルが、自己限定的な方法で、堆積させ、反応させ、または加工物に層を吸着させる周期的なプロセスで形成される。好ましくは、各サイクルは少なくとも2つの別個の段階を含み、ここで各段階は、自己限定効果を有する飽和性反応であり、約1原子モノレイヤ以下の所望のライナー材料を残す。
【0039】
図3は、高いステップカバレージを有するダマシンライニング層を形成する方法を一般的に示す。好ましい方法は、原子層堆積(ALD)の形態であり、それによって、反応生成物は、サイクル内の交互パルスで加工物に供給される。好ましくは、各サイクルにおいて、吸着、好ましくは化学吸着によって、約1モノレイヤ以下のライニング材料による層が形成される。基板温度は、化学吸着を促進する範囲内に保たれる。特に、基板温度は、吸着種とその下の表面との間の結合が損なわれないように維持され、かつ反応種が分解しないように、十分に低い温度に維持される。他方で基板温度は、反応生成物の凝縮を防止するように、かつ各段階における所望の表面反応に対する活性化エネルギーを供給するように、十分に高いレベルに維持される。もちろん、与えられたALD反応のいずれにおいても、その適切な温度の範囲は表面終端および関連する反応種に依存する。
【0040】
各サイクルの各パルスまたは段階は、実質的に自己限定的であることが好ましい。以下に示す実施例では、各々の段階が自己終端的である(すなわち、吸着された、また好ましくは化学吸着されたモノレイヤによって、その段階の化学反応で反応しない表面状態が残される)。構造の表面を飽和させるように、過剰な反応前駆物質が各段階で供給される。表面飽和によって、(以下でより詳細に述べるように、物理的な大きさの制限を条件とする)全ての有効な反応サイトの反応生成物による占有が保証され、一方で、自己終端によって、反応生成物により長く露出される場所での過剰な膜の成長が妨げられる。飽和と自己終端の化学作用とがあいまって、優れたステップカバレージが保証される。
【0041】
説明したように、好ましい実施の形態に従ったプロセスは、図9A〜図9Dに図示し、また上述したような、デュアルダマシン構造の形成100から始まる。
【0042】
必要な場合は、デュアルダマシン構造の露出面(例えば、図9に示すトレンチおよびビアの側壁表面および金属の底部、または先だって堆積された接着層の表面)は、ALDプロセスの第1の段階で反応するように終端される(102)。好ましい実施の形態に係る第1の段階は(表1〜4参照)は、例えば、水酸基(OH)またはアンモニア(NH3)の終端で反応性がある。以下に述べる実施例では、デュアルダマシン構造のシリコン酸化物およびシリコン窒化物の表面は別個の終端を必要としない。ビア62(図9A)の底のようなある特定の金属表面は、例えば、アンモニア処理で終端されうる。堆積すべきライニング材料が金属窒化物である場合、表面終端は、最初の接着層と、そこからの表面終端との構成を含むと考えることができるが、後述する表1についてより詳細に説明するように、接着層の付加的な表面終端処理も可能である。
【0043】
最初の表面終端102の後に、必要に応じて第1の化学物質が加工物に供給される(104)。図4に関してより詳細に後述する好ましい実施の形態によれば、第1の化学物質は、前のステップ102で残された終端した表面に対して反応性がある金属含有化合物を含む。したがって、金属含有種は表面終端に取って替わるか、または表面終端上に吸着する。この金属含有種層は、自己終端的であるのが望ましく、その結果、第1の化学物質のいかなる過剰な成分もそれ以上に、このプロセスで形成されたモノレイヤと反応することはない。ハライドまたは有機の配位子が金属含有モノレイヤの終端となるのが好ましい。
【0044】
反応性の金属含有種は、ガス状の形態で供給されるのが好ましく、したがって、後に説明するように、金属ガスソースと呼ぶ。いくつかの実施例では、反応性種は、実際にプロセス温度より高い融点を有する(例えば、下の表5で、CuClは430℃で溶融するが、プロセスは約350℃で行われる)。それにもかかわらず、この種がプロセス条件の下で十分な蒸気圧を有し、露出した表面を飽和させるために十分な濃度で種を加工物に移送する場合、本説明の上では、金属ソースガスは「揮発性」であると考える。
【0045】
それから、第1の化学物質が反応チャンバから取り除かれる(106)。例示した実施の形態では、ステップ106は、第1の化学物質のフローの停止を伴うだけであるが、一方で、ビア、トレンチおよび反応チャンバから過剰な反応生成物および反応副生成物を拡散させるか、またはパージするように、十分な時間の間ずっとキャリアガスをフローし続けることを必然的に伴う。ここで、パージガスは、約2つ分の反応チャンバ体積よりも多いことが好ましく、より好ましくは、約3つ分のチャンバの体積である。例示した実施の形態では、化学物質の除去106は、第1の化学物質のフローを止めた後で、約0.1秒から20秒の間ずっとパージガスをフローし続けることを含む。1999年9月8日に出願された、出願番号09/392,371のIMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM(薄膜成長のための改良された装置およびその方法)というタイトルの係属中の米国特許出願に、パルス間のパージが記載されている。この文献の開示を参照してここに組み込む。他の形態では、交互の化学物質の間で、チャンバが完全に排気されうる。例えば、1996年6月6日に公開されたMETHOD AND APPARATUS FOR GROWING THIN FILMS(薄膜成長方法およびその装置)というタイトルのPCT公開番号WO96/17107公報を参照されたい。参照としてこの開示を本明細書に組み込む。吸着104と反応生成物除去106とがあいまってALDサイクルの第1の段階を表す。
【0046】
第1の化学物質の反応生成物がチャンバから取り除かれたときに(106)、第2の化学物質が加工物に供給される(108)。第2の化学物質は、ステップ104で形成された自己終端モノレイヤと反応するのが望ましい。図4に関して、以下でより詳細に説明される例示の実施の形態では、この反応は、窒素ソースガスを加工物に供給することを含む。窒素ソースガスからの窒素種または窒素含有種が、前に吸着された金属含有種と反応して、金属含有モノレイヤの代わりに金属窒化物を残す。
【0047】
他の形態では、第2の化学物質は、ステップ104で形成された、吸着された金属複合体モノレイヤの配位子終端を、(例えば、配位子交換、昇華、または還元によって)ただ単に除去するか、または取り除くか、または、そうでなければ、さらなるモノレイヤの堆積/吸着および/または、さらなる化学物質との反応のためのモノレイヤを調整する(例えば、下の表1、表4および表5を参照されたい)。反応108もまた自己限定的であるのが好ましい。反応生成物は、ステップ104で残された、限られた数の反応サイトを飽和させる。温度条件および圧力条件は、第2の化学物質からの反応生成物がモノレイヤを通って下層の材料に拡散することがないように調整するのが好ましい。第2の化学物質も、飽和性反応段階で堆積を制限するように作用する表面終端を残す。下の表2および表3の例示した実施の形態では、金属窒化物モノレイヤを終端とする窒素テールおよびNHxテールは、第2の化学物質のNH3に対して反応性でない。
【0048】
金属含有モノレイヤを第2の化学物質で完全に飽和させ、反応させるのに十分な時間の後で、第2の化学物質を加工物から取り除く(110)。第1の化学物質の除去106でのように、このステップ110は、好ましくは、第2の化学物質のフローを止めること、および第2化学物質の過剰な反応生成物および反応副生成物が、ダマシン構造のビアおよびトレンチから拡散し、反応チャンバからパージされるのに十分な時間の間、キャリアガスをフローし続けることを含む。例えば、反応生成物第1の化学物質のフローを止めた後で、パージガスをフローすることで、反応生成物および反応副生成物を取り除くことができる。好ましくは、少なくとも約2つ分のチャンバの体積で行い、より好ましくは、少なくとも約3チャンバ分の体積のパージガスで行う。例示した実施の形態では、第2の化学物質の除去110は、第1の化学物質のフローを止めた後で、約0.1秒から約20秒の間パージガスをフローし続けることを含む。反応108と除去110があいまってALDサイクルにおける第2の段階111を表す。
【0049】
第2の化学物質の過剰な反応生成物および副生成物が、ビアおよびトレンチから、また好ましくは反応チャンバからいったん拡散すると、2つの段階が入れ替わる、例示した実施の形態では、ALDプロセスの第1の段階が繰り返される。したがって、第1の化学物質を加工物に再度供給(104)して、別の自己終端モノレイヤを形成する。
【0050】
このように、2つの段階107、111は、ALDプロセスにおいてモノレイヤを形成するために繰り返されるサイクル115を表す。第1の化学物質は、一般に、前のサイクルにおける第2の化学物質で残された終端と反応する。必要な場合は、サイクル115は、図3の点線で示すように、ステップ102に類似の別個の表面調整を含むように広げることができる。それから、サイクル115は、ステップ104から110を通して続く。このサイクル115は、所望の機能を行うのに十分な厚さのライニング層をデュアルダマシン構造内に生成するように、十分な回数繰り返される。
【0051】
図3において、第1および第2の化学物質だけを用いて説明したが、他の形態において、追加の化学物質が各サイクルに含まれうることは理解されるであろう(例えば、下の表4を参照されたい)。さらに、以下の実施例において、最初の金属段階およびその後の窒素段階で説明するが、表面および段階の化学物質に依存して、サイクルを窒素段階から始めてもよいことは理解されるであろう。
【0052】
金属接着ライナーの形成
露出した材料および所望のALD化学物質に依存して、拡散バリアの形成に先立つ接着層が望まれることがあり、または望まれないことがある。TEOS酸化物では、本発明者は接着層使用の必要性を見出さなかった。他方で、スピンオン誘電体およびkの小さい材料のような慣習的方法でない絶縁材料で形成されたビアおよびトレンチには、接着層が望ましいことがある。ビア62(図9A)の底面に露出された金属ランナーまたはランディングパッドとなる導電性回路部材52の上で第1の段階の反応を促進するために、導電性接着層が望まれる場合もある。
【0053】
接着層が望まれる場合、その接着層は、デュアルダマシン構造の酸化物層、金属層およびエッチストップ層の上に、「純粋な」金属ライニング層を含むことが好ましい。そのため、好ましいバリア層を形成する前に、図9Aから図9Eの構造と同様なデュアルダマシン構造に、金属接着層をライニングするのが好ましい。当技術分野で知られているように、そのような接着層はPVDまたはCVDで形成することができる。例えば、PVDチタンおよびCVDタングステンが当技術分野でよく知られている。
【0054】
より好ましくは、下の表1のプロセスレシピで例示されるように、接着層はALDで形成される。理解されるであろうが、図3に関して、また以下に示す金属窒化物の特定の実施例に関して、ここに開示される原理は、様々なライナー材料の形成に適用することができる。例えば、金属のハロゲン終端モノレイヤまたは有機終端モノレイヤを交互に堆積し、還元剤(例えば、Hラジカル、トリエチルボンまたは他の強い還元剤)をフローしてハロゲン終端を取り除くことで、純粋な金属層を堆積することができる。配位子と結合し、これを運び去ることによる金属モノレイヤ終端の除去は、より一般的に、配位子の「ゲッタリング」または「除去」と呼ばれている。したがって、次のサイクルで、金属ソースガスが、別の自己終端段階で下方に配置された金属モノレイヤに吸着することができる。結果として生じるALD金属は、バリア層堆積前の接着層として、また、バリア堆積に続き、電気メッキに先行するシード層として、特に有用である。
【0055】
したがって、反応種の1つは、有機配位子またはハロゲン化物配位子を有する金属含有種を含むことが好ましい。例示的な金属前駆物質は、タンタルペンタエトキシド、テトラキス(ジメチルアミノ)チタン、ペンタキス(ジメルアミノ)タンタル、TaCl5およびTiCl4を含む。例示した実施の形態では、タングステン(W)シード層を、パージステップで隔てられた交互の金属段階および還元段階において、ALDによって形成する。下の表1のプロセスレシピでは、六フッ化タングステン(WF6)が、還元剤トリエチルボン((CH3CH23B)またはTEBの形の除去物質と交互になっている。
【0056】
【表1】
Figure 0005173098
【0057】
プラズマ発生装置で供給されるラジカルは、ALD処理の低温域で金属含有層の堆積を促進することができる。金属および金属含有層をラジカルの高揚により堆積させる方法およびその構造は、1999年9月8日に出願された、出願番号09/392,371のIMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM(薄膜成長のための改良された装置およびその方法)というタイトルの特許出願に提供されており、この開示は、上で参照して組み込まれている。他の例示的なALD金属プロセスフローは、1999年6月29日に発行されたShermanの米国特許第5,916,365に提供されている。この開示は、参照して本明細書に組み込む。
【0058】
金属窒化物バリアライナーの形成方法
図4および下の表2〜表4は、図9Aから図9Eの構造をライニングする金属窒化物バリア層を形成するための例示的なプロセスを示す。簡単のために、同じ符号を用いて、図3の一般的な説明に対応する金属窒化物の実施例(図4)の段階およびステップを参照する。
【0059】
図4を参照して、特定の実施の形態に従って、ガスフローの順序を説明する。図示した実施例では、加工物に金属ソースガスと窒素ソースガスとを交互に供給して、導電性窒化物、より詳細には金属窒化物を形成する。各サイクルの第1または金属段階107において、望ましくは窒素ソースガスがない状態で、金属含有材料の層を化学吸着させる。各サイクルの第2または窒素段階111において、望ましくは金属ソースガスのない状態で、堆積した金属含有層に窒素含有材料を反応させるか、または吸着させる。他の形態では、段階の順序は逆にすることができること、および反応生成物除去ステップまたはパージステップは、先行するまたはそれに続く反応生成物パルスの一部と考えられうることが、理解されるであろう。
【0060】
ライニング材料が形成されるべきダマシン構造の表面は、金属ソースガスに対して反応性がある表面を与えるように、最初に終端される。図9Aの実施の形態では、堆積が必要な露出した表面には、第1の絶縁層50および第2の絶縁層56(例示した実施の形態では、TEOS)の側壁、露出したハードマスク54、停止層58、およびより下層の導電性回路部材52(例示した実施の形態では、銅)で画定されるコンタクトビア62の底部が含まれる。これらの表面には、例えば、望ましくは上述したようなALD金属堆積による接着層の堆積と、NH3による金属接着層のさらなる処理とによって、バリア層形成の調整するのが好ましい。接着層がない場合、金属段階107の反応生成物は、別個の表面終端のない所望のダマシン構造の酸化物表面および窒化物表面に、反応生成物化学吸着することができる。金属段階107の化学物質に依存して、露出した金属ランナー52の表面処理を(例えば、NH3で)行うことができる。
【0061】
最も好ましくは、金属段階107は自己限定的であり、その結果、第1の段階で、約1原子のモノレイヤ以下の堆積がなされる。望ましくは、揮発性金属ソースガスがパルス104で供給される。例示的な金属ソースガスには、四塩化チタン(TiCl4)、六フッ化タングステン(WF6)、五塩化タンタル(TaCl5)、タンタルペンタエトキシド、テトラキス(ジメチルアミノ)チタン、ペンタキス(ジメチルアミノ)タンタル、塩化銅(CuCl)および銅ヘキサフルオロアセチルアセテートビニルトリメチルシラン(Cu(HFAC)VTMS)が含まれる。
【0062】
金属ソースガスがデュアルダマシンのコンタクトビアの底部へと拡散するのに十分な時間の後で、金属ソースガスのフローを遮断して、金属パルス104を終了させる。金属ソースガスがチャンバからパージされるまで、キャリアガスは、パージステップ106でフローされ続けることが好ましい。
【0063】
パルス104の間に、金属ソースガスは、加工物の露出した表面および終端した表面と反応して、金属含有種の「モノレイヤ」を堆積させるか、または化学吸着させる。理論的に、反応生成物は加工物の露出した層上有効なサイトのそれぞれに化学吸着するが、各サイクルによる被覆率は、(特に、終端配位子を有する)吸着種の物理的な大きさで、一般にモノレイヤの断片に限られる。表2の実施例では、ALDプロセスで、およそ0.35Å/サイクルで金属窒化物層を成長させるので、完全なモノレイヤは、約4.2Åのバルク格子定数を有するTiNでは、ほぼ15サイクル毎に堆積される材料から形成される。各サイクルは、金属ソースガスのパルスと窒素ソースガスのパルスの組合わせで表される。したがって、本明細書で使用するような「モノレイヤ」は、堆積中の断片的なモノレイヤを意味し、主としてパルス104の自己限定的効果のことをいう。
【0064】
特に、加工物上に堆積/吸着された金属含有種は、表面がそれ以上は金属ソースガスと反応しない自己限定的なものである。以下に示す実施例では、TiCl4(表2)は、塩化物で終端したチタンのモノレイヤを残す。WF6(表3および表4)は、フッ素で終端したタングステンのモノレイヤを残す。同様に、他の揮発性メタルハライドは、ハロゲン化物で終端した表面を残し、タンタルペンタエトキシド、テトラキス(ジメチルアミノ)チタン、およびペンタキス(ジメチルアミノ)タンタルのような金属有機物は、有機配位子で終端した表面を残す。そのような表面は、金属ソースガスパルス104の間、反応生成物フローの金属ソースまたは他の成分とそれ以上は反応しない。反応生成物に対する過剰な露出によって過剰な堆積が起こらないので、プロセスの金属段階107の間における化学物質は、自己限定的であると言える。より高濃度の反応生成物により長く露出しているにもかかわらず、加工物の上面での堆積はビアの底部の堆積を超えない。
【0065】
サイクル115の第2の段階111で、次に、窒素ソースガスのパルス108が加工物に供給される。例示した実施例では、窒素ソースガスはアンモニアを含む。好ましくは、第1の段階107で残された金属含有種のモノレイヤを十分に窒素ソースガスにさらすのに十分な時間の間、第2の段階111は維持される。窒素ソースガスがデュアルダマシンのコンタクトビアの底へと拡散するのに十分な時間の後で、金属ソースガスのフローを遮断することにより、窒素パルス108を終了させる。好ましくは、チャンバから窒素ソースガスがパージされるまで、キャリアガスをパージステップ110でフローし続ける。
【0066】
窒素パルス108の間、窒素ソースガスは、第1の段階107で残された自己終端金属モノレイヤと反応し、またはその上に化学吸着する。表2および表3の実施の形態では、この化学吸着は飽和性の配位子交換反応を含み、金属モノレイヤのハロゲン終端を窒素含有種に置き換える。対照的に、表4の実施の形態では、中間のゲッターまたは除去の段階によって、まず窒素パルスに先立って金属モノレイヤのハロゲン終端を取り除く。この場合、第3の段階で、窒素含有種は、ゲッター段階で露出されたままになっている金属と反応し吸着する。どちらの場合にも、好ましくはモノレイヤで、金属窒化物が形成される。望ましくは、このプロセスによって、化学量論の金属窒化物が残される。金属段階107に関して述べたように、吸着種の物理的な大きさのために、モノレイヤは有効なサイトを全ては占有する必要はない。しかし、第2の段階111も自己限定的効果を有する。
【0067】
特に、窒素ソースガスは、金属ソースガスに先立つパルスの間に加工物表面に化学吸着した金属含有種と反応する。パルス108の間のアンモニアは、金属窒化物モノレイヤを終端する窒素テールおよびNH テールと反応しないので、反応もまた表面終端される。さらに、温度条件および圧力条件は、アンモニアが金属モノレイヤを通り抜けて下方に配置された材料に拡散しないように調整される。この飽和性の自己限定的反応段階111において、より高濃度の反応生成物により長くさらされても、加工物の上面に形成される金属窒化物の厚さは、ビアの底部に形成された金属窒化物の厚さを超えない。
【0068】
金属段階107(金属ソースパルス104およびパージ106を含む)および窒素段階108(窒素ソースパルス108およびパージ110を含む)が、ともに、ALDプロセスで繰り返されるサイクル115を決定する。最初のサイクル115の後で、第2のサイクル115aが行われ、ここで金属ソースガスパルス104aが再度供給される。金属ソースガスは、前のサイクル115で形成された金属窒化物の表面に、金属含有種を化学吸着させる。金属含有種は容易に露出した表面と反応して、金属含有種の別のモノレイヤまたは断片的なモノレイヤを堆積し、それ以上は金属ソースガスと反応しない自己終端した表面を再び残す。金属ソースガスフロー104aが止められ、チャンバからパージされる(106a)と、さらに、(表2および表3に従って)第2のサイクル115aの第2の段階111aで、第2の金属モノレイヤを窒化物化する窒素ソースガスが供給される。表4の実施例に従って、窒素段階の前に中間のゲッターまたは除去の段階がある。
【0069】
デュアルダマシン構造でバリア機能を果たすよう十分な厚さの金属窒化物が形成されるまで、サイクル115aは、少なくとも約10回、より好ましくは少なくとも約20回繰り返される。都合のよいことに、好ましい実施の形態に係る方法によれば、約200Åより薄い、より好ましくは約100Åより薄い厚さの層を、ほぼ完全なステップカバレージで形成することができる。
【0070】
(実施例)
下の表には、超大規模集積処理のデュアルダマシン・メタライゼーション方式における、バリア用に適した金属窒化物層を形成するための模範的なプロセスレシピが提供されている。プロセスレシピの各々は、単一ウェーハ処理モジュールにおける1サイクルを表す。特に、例示したパラメータは、フィンランドのASM Microchemistry Ltd.から市販されている、商標名Pulsar 2000(商標)の単一ウェーハALDモジュール用に開発された。
【0071】
以下の表(および、上の表1もであるが)のパラメータは、ただ例示的なものにすぎないことに留意すべきである。各プロセス段階は、ビアおよびトレンチの表面を飽和させるように構成されるのが望ましい。パージステップは、反応段階の間にビアから反応生成物を取り除くように構成されている。ここでの実施例は、フィンランドのASM Microchemistry Ltd.のPulsar 2000(商標)の反応チャンバ内で、パターニングされていない平坦なウェーハ表面に対して行われた。同様なALDプロセスが、約20より高いアスペクト比のボイド内で90%より高いステップカバレージを達成するように決定された。ここでの開示を考慮して、当業者は容易に堆積条件を修正し、置換し、またはそうでなければ変更して、異なる反応チャンバおよび異なる選ばれた条件で、許容可能な堆積速度において、飽和し、自己終端した段階を実現することができる。
【0072】
好都合なことに、ここで説明するALDプロセスは、反応生成物の供給がトレンチおよびビアの表面を飽和させるのに十分である限りは、圧力および反応生成物濃度に対して比較的影響を受けない。さらに、このプロセスは低い温度において処理することができ、バックエンドプロセスの間に熱バジェットを保持しながら、比較的速い堆積速度を実現するために、加工物の温度は、プロセス全体を通して、約300℃と500℃との間に維持されるのが好ましい。より好ましくは、その温度は約350℃と400℃との間に維持され、また、最も好ましくは、約380℃と400℃との間に維持される。チャンバ内の圧力は、ミリtorrの範囲から大気圧以上におよぶことができるが、好ましくは約1torr(133Pa)と500torr(66700Pa)との間に維持され、より好ましくは約10torr(1330Pa)と100torr(13300Pa)との間に維持される。
【0073】
【表2】
Figure 0005173098
【0074】
上の表2は、デュアルダマシン構造のトレンチおよびコンタクトビアへの窒化チタン(TiN)バリアのALD用のパラメータを表す。上述したように、金属ソースガスは四塩化チタン(TiCl4)を含み、キャリアガスは窒素(N2)を含み、さらに窒素ソースガスは好ましくはアンモニア(NH3)を含む。
【0075】
第1のサイクルの第1の段階で、デュアルダマシンのトレンチおよびコンタクトビアの酸化物、窒化物、金属および/またはOH−またはNHx−の終端した表面に、TiCl4が化学吸着する。金属ソースガスは、その他のパラメータが与えられると、ダマシン表面を飽和させるように、十分な割合のキャリア流量を含むことが好ましい。チタン複合体のモノレイヤが、トレンチおよびビアの表面に残り、このモノレイヤは塩化物で自己終端される。
【0076】
望ましくは、反応装置は、金属ソースガスをより小さなおよび/またはより多くの反応種に変換するために、触媒を含む。例示した実施の形態では、好ましい反応チャンバは、TiCl4をTiCl3 +に変換する有利なチタンの壁を含む。より小さな種は容易にビア内に拡散し、サイクル当りにより多くの反応サイトを占有し、より容易に活性サイトに化学吸着する。したがって、触媒によって、より速い堆積速度が可能となる。他の触媒を他の化学物質に使用できることを、当業者は容易に理解するであろう。
【0077】
TiCl4のフローが停止され、連続したキャリアガスのフローによりパージされた後で、NH3のパルスが加工物に供給される。他のプロセスパラメータが与えられると、金属含有モノレイヤの表面を飽和させるように、アンモニアは十分な割合のキャリア流量を含むのが好ましい。NH3は、配位子交換反応で金属モノレイヤの塩化物終端した表面と容易に反応し、窒化チタン(TiN)のモノレイヤを形成する。反応は、前に吸着された有効な金属塩化物複合体の数で制限される。アンモニアもキャリアガスも、結果として生じた窒化チタンモノレイヤとそれ以上は反応せず、モノレイヤは、窒素とNH のブリッジ終端で残される。さらに、好ましい温度および圧力のパラメータにより、金属モノレイヤを通り抜けるアンモニアの拡散が抑止される。
【0078】
次のサイクルでは、第1段階で、窒化チタンモノレイヤの表面と容易に反応して、再び塩化物終端したチタン層を残すTiCl4が導入される。次に、第2サイクルの第2段階は、第1サイクルに関して説明したようになる、所望の厚さの窒化チタンが形成されるまで、これらのサイクルを繰り返す。
【0079】
例示した実施の形態では、各サイクルの両段階の間、キャリアガスを一定の速度でフローし続ける。理解されるであろうが、反応生成物交互となるガスパルスの間に、チャンバの排気により反応生成物を除去することができる。1つの形態において、好ましい反応装置は、パルス堆積の間、一定の圧力を維持するハードウェアおよびソフトウェアを内蔵する。1988年5月31日にPosaに発行された米国特許第4,747,367号、および1988年8月2日にConger等に発行された米国特許第4,761,269号の開示を参照して本明細書に組み込む。
【0080】
【表3】
Figure 0005173098
【0081】
上の表3は、窒化タングステン(WN)のALD用のパラメータを表す。上述したように、金属ソースは六フッ化タングステン(WF6)を含み、キャリアガスは窒素(N2)を含み、また窒素ソースガスは好ましくはアンモニア(NH3)を含む。各反応段階の間に、反応生成物与えられた他のパラメータで表面が飽和されるのに十分な量で反応生成物を供給する。
【0082】
この場合、金属段階に形成された金属モノレイヤは、好ましい条件の下でWF6と容易には反応しないフッ化物で、自己終端される。しかし、前に吸着されたフッ化タングステン複合体の限られた供給により制限される反応では、窒素段階の間に、好ましい窒素ソースガスは、フッ化物終端した表面と反応するか、あるいはその上に吸着する。さらに、窒化作用によって、飽和性段階での過剰なアンモニアとそれ以上反応しない窒素アンモニア終端が残される。
【0083】
【表4】
Figure 0005173098
【0084】
上の表4は、窒化タングステン(WN)を形成する別のALDプロセス用のパラメータを表す。例示した実施の形態は、ビア底部の金属とトレンチおよびビアの絶縁性表面に、中間接着層なしで、バリア層を直接堆積するのに特に有利である。上述したように、金属ソースは六フッ化タングステン(WF6)を含み、キャリアガスは窒素(N2)を含み、また窒素ソースガスは好ましくはアンモニア(NH3)を含む。この場合、金属段階で残された配位子は、ゲッターまたは除去物質によって取り除かれる。特に、例示した実施の形態ではTEB(トリエチルボン)を含む、強い還元剤によって、ハロゲン終端金属複合体のモノレイヤは還元され、または、そうでなければ除去される。そのとき、窒素ソースガスは還元された金属表面と容易に反応する。他の形態では、ゲッターは、配位子交換反応でハロゲン終端に取って代わり、望ましくは次の窒素含有種と反応する表面を残す。窒素段階では、ゲッター段階で残された反応サイト(すなわち、例示した実施の形態では、露出したタングテン表面)を飽和し、前記飽和性段階で過剰なアンモニアとそれ以上反応しない窒素及びNHx終端を残す。
【0085】
中間の還元段階の結果、表3の配位子交換反応で形成された膜よりも低い抵抗率を示す金属窒化物結晶が生じる。このような低い抵抗率は、集積回路配線の導電経路内に設けられる、好ましいデュアルダマシンにおけるバリアの状況に有利である。
【0086】
さらに、表4のTEBパルスで表されるように、中間の除去物質は、アンモニア段階の導入に先立つ、先の金属段階で残されたハライドテールと結合し、これを運び去る。有利なことに、アンモニア段階では、配位子交換反応でハロゲン化水素(例えば、HF)を遊離するのではなくむしろ、第1段階で形成された金属と直接反応する。HFと対照的に、ハロゲン化物をゲッターまたは除去物質に結合して生成された複合体は、ダマシン構造底の金属のように敏感な表面を腐食しない。したがって、デュアルダマシン構造の導電性回路部材52は、HFまたは他のハライド種の腐食作用から保護される。表4のプロセスは、好ましい実施形態のように、導電性回路部材52が銅を含む場合に、特に効果的であることが見出されている。銅のエッチングは最小限にされ、それにより、全面的な金属窒化物の堆積の均一性が改善される。
【0087】
表4の方法で金属窒化物(例えば、WN)の最初の薄い層(例えば、約3〜10nm)がいったん形成されると、中間の除去物質段階なしで、バリア用材料および/または接着層用材料のそれ以上の堆積を除去物質進めることができる。配位子交換反応を使用する2段階のサイクルにより、最初の層上に、より厚いバリアをより効率的に生成することができる。例えば、表4の方法によるWNの後に、表2の方法でのようなTiNのさらなる堆積を続けることができる。WN/TiNバリアでの上層のTiNの表面は、いくつかのプロセスフローにより優れた両立性を示す。
【0088】
金属シード層の形成方法
金属窒化物バリア層の形成後に、デュアルダマシン構造を充填するために使用される方法や、堆積されたバリア層の導電率に依存して、シード層が望まれる場合がある。例示した実施の形態では、銅の充填材を、例示した金属窒化物バリアの上に電気メッキするのが望ましい。したがって、最初に高導電性シード層をバリア層の上に形成するのが好ましい。
【0089】
当技術分野で知られているように、シード層は、金属層、より好ましくは銅の層を含むのが好ましく、いくつかのプロセスのいずれかによって堆積することができる。例えば、最先端の処理では、PVDまたはスパッタリングを使用して銅シード層を形成する。ALDによる前の金属窒化物のバリア層を形成する際に得られる高いステップカバレジと共に、そのような方法は多くのデュアルダマシン方式に適し得る。
【0090】
好ましくは、CVDプロセスを使用して、より高いステップカバレージでシード層を堆積する。有機金属CVD(MOCVD)が、例えば、Wolfらによって「Process and equipment simulation of copper chemical vapor deposition using Cu(HFAC)VTMS(Cu(HFAC)VTMSを用いた銅の化学気相堆積プロセスおよびその装置シミュレーション)」、Microelectronic Engineering、 Vol. 45、No. 1、pp. 15-27(1999年2月)で開示されており、この開示を参照して、本明細書に組み込む。
【0091】
最も好ましいことには、シード層もまたALDで形成される。接着層、バリア層およびシード層の1つまたは複数を高いステップカバレージで形成することにより体積が節約される。これにより、より高導電性の充填金属に利用できる、より大きな体積に起因して、より高導電率のラインが導かれ、また、コンタクトビアおよびトレンチを完全に充填する機会が増す。
【0092】
【表5】
Figure 0005173098
【0093】
上の表5は、上の表1のものに類似したALDによる純粋な金属のプロセスを示す。交互となる段階では、最初に塩化銅を吸着し、次にTEBで還元する。有利なことには、塩化銅は有機銅の反応種よりも小さな反応種であり、加工物上の反応サイトを素早くより完全に飽和させるのに役立つ。
【0094】
結果として得られるトレンチおよびビアのライナー
図10には、上記プロセスに従って形成された高いステップカバレージのライニング層150を有する、図9におけるデュアルダマシン構造を示す。上述したように、ビアおよびトレンチ構造の材料と様々な堆積ステップの化学物質とに依存して、ライニング層150は、金属窒化物のバリア層に加えて、最初の金属接着層を含むことができる。ライニング層150は、例えば、W/TiN、W/WN、Ti/TiN、Ti/WNの二層や、接着膜とバリア膜のいくつかの組合せのいずれかを含むことができる。表4の実施例では、バリア層は、デュアルダマシン構造の金属表面および絶縁性表面の上に直接堆積され、WN/TiNの二層を含むこともできる。好ましくは、部分層の少なくとも1つは、上に開示した方法に従って、ALDにより形成される。
【0095】
デュアルダマシン処理のバリアの要求に従って、特に、高速で拡散する銅の金属充填材と共に、ライニング層150の金属窒化物のバリア層は、バリア機能の十分な能力に必要な、ほぼ最小限の厚さに形成される。したがって、深いトレンチとビアの構造にライニングする金属窒化物層は、約20Åよりも厚いことが望ましい。同時に、ここに開示した方法により提供される高いステップカバレージによって、絶縁性側壁および導電性のビア底部を含む、トレンチ60およびコンタクトビア62の全ての面にわたって、均一に、所望の厚さの層を形成することができる。したがって、コンタクトビア62内の金属窒化物ライナーは、その構造のいずれかの点で、あるいは、そのプロセスにおけるいずれかの時点で、約200Å以下であることが好ましい。さらに、好ましい実施の形態での金属窒化物は、より好ましくは約20Åと100Åとの間の厚さ、最も好ましくは約40Åと80Åとの間の厚さに堆積される。
【0096】
特に吸着種が有機配位子を含む場合に、好ましい条件の下では、すべての有効なサイトの占有を抑制する化学吸着種の物理的な大きさに起因して、断片的なモノレイヤに十分な材料がサイクルごとに堆積される。表2の実施例では、TiNは約0.35Å/サイクルで成長するので、銅の拡散を防ぐのに十分なTiNバリア層を生成するために、好ましくは約50サイクル以上で、より好ましくは約60サイクルと300サイクルとの間で、さらに、最も好ましくは約60サイクルと200サイクルとの間で行われる。
【0097】
上述したように、ここで説明する方法により、好ましい実施の形態での高いアスペクト比のトレンチとビアの構造についても、非常に高いステップカバレージ(ビアの側壁でのライナーの厚さの比率としての、ビアの底でのライナーの厚さとして定義される)を可能とする。ライニング層150、そして特にライニング層150内のALD形成膜は、好ましくは約90%よりも高く、より好ましくは約93%よりも高い、そして最も好ましくは約97%よりも高いステップカバレージを示す。
【0098】
次に図11に示したように、シード層155をライニング層150の上に形成してもよい。上述したように、充填金属を電気メッキで堆積する必要がある場合、およびライニング層15が効果的な電気メッキには不十分な導電率を示す場合に、そのようなシード層155が望まれる。そのような条件の下で、シード層155をPVDで、より好ましくはCVDで、また最も好ましくはALDで堆積することができる。例示した実施の形態では、「純粋な」銅がシード層に使用される。他の形態では、電気メッキ用シード層としてタングステンを使用することができる。さらに他の形態では、電気メッキではない充填手順に先立つプロセスフローにおいて、またはバリア層が十分に導電性を有し(例えば、窒化タングステン)、電気メッキされた銅の直接的な核形成を可能にする場合などにおいて、ライニング層150の上にシード層が使用されない。
【0099】
次に図12に示したように、ライニングされたダマシン構造に、高導電性金属160を充填する。例示した実施の形態では、シード膜がライニング層150の上に形成される場合、充填材となる高導電性金属160は電気メッキされた銅を含むことが好ましい。当業者は理解するであろうが、他の形態では、深いトレンチとビアの構造へのリフローを促進するように、アルミニウムのような金属を高圧および/または高温で堆積することができる。そのような堆積プロセスを伴う厳しい条件の間、スパイクを防ぐのにも有効なバリアが重要である。
【0100】
次に図13に示したように、構造は、化学機械研磨(CMP)または他のエッチバックプロセスで平坦化されて、下方に延びる必須のコンタクト180を有するトレンチ60内に、分離されたライン170が残される。後続する高温処理の間はもちろんのこと充填プロセスの間も、高導電性金属160の拡散は防止される。
【0101】
前述の発明は、ある特定の好ましい実施の形態の観点から説明したが、他の実施の形態は当業者には明らかになるであろう。例えば、プロセスに特定のライニング材料を具体的に与えたが、当業者は容易に理解するであろうが、他の材料によってダマシン構造にライニングするために、ALD方法を適用することができる。さらに、デュアルダマシン・メタライゼーションの特定のプロセスフローおよび構造に関連して例証したが、当業者は、ここに開示された方法が有用となるような方式の変形に、想到可能であろう。さらに、ここでの開示を考慮して、他の組合せ、省略、置換え、および修正は、当業者に明らかであろう。したがって、本発明は、好ましい実施の形態の詳説で限定されることを意図しておらず、添付した特許請求の範囲を参照して定義されるべきである。
【図面の簡単な説明】
【図1】 トレンチおよびそこからのコンタクトビアをライニングする、従来のバリア層を有するデュアルダマシン構造の概略断面図である。
【図2】 縮小された集積回路のための、図1と同様なライニングされたデュアル・ダマシン構造を一般的に示す図である。
【図3】 より高導電性の材料を充填するのに先立って、高アスペクト比のデュアルダマシン構造をライニングする方法を一般的に示すフローチャートである。
【図4】 本発明の好ましい実施の形態に従って、バリア層を堆積するための例示的なガスフロー図である。
【図5】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図6】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図7】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図8】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図9A】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図9B】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図9C】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図9D】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図9E】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図10】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図11】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図12】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。
【図13】 好ましいデュアルダマシンのプロセスフローに従って、半導体基板の上の絶縁層内に形成されたトレンチおよびビアの構成、ライニング、および充填を一般的に説明する集積回路の製造過程における模式的な部分拡大断面図である。

Claims (44)

  1. 半導体基板上の絶縁層に所望の配線パターンでトレンチを形成すること、
    下層の導電性部材の少なくとも一部を露出させるために、前記トレンチの底部から下方に延びるコンタクトビアを形成すること、
    前記半導体基板を収容する反応チャンバ内で第1の反応種にさらして、前記トレンチおよびコンタクトビアの表面を、ハロゲン化物又は有機配位子がモノレイヤの終端になるように1モノレイヤ以下でライニングすること、
    前記反応チャンバから第1の反応種を除去すること、
    還元種と前記モノレイヤを反応させることで、前記モノレイヤからハロゲン化物又は有機配位子の終端を除去すること、および
    前記反応チャンバから前記還元種を除去すること、
    前記還元種を除去した後で、第2の反応種と、ライニングした前記モノレイヤとを反応させること、および
    前記反応チャンバから第2の反応種を除去することを含むダマシン・メタライゼーション方法。
  2. ライニングすることが、前記第2の反応種を除く第1の化学物質を供給することを含み、前記第2の反応種と、ライニングした前記モノレイヤとを反応させることが、前記第1の反応種を除く第2の化学物質を供給することを含む請求項1記載の方法。
  3. 厚さ20Å〜200Åの層が形成されるまで、前記第1の化学物質を供給することと、前記第1の化学物質を除去することと、前記モノレイヤを前記還元種と反応させることと、前記還元種を除去することと、前記第2の化学物質を供給することと、前記第2の反応種を除去することと、を交互に繰り返すことをさらに含む請求項2記載の方法。
  4. 前記第1の化学物質を供給することと、前記第2の化学物質を供給することとを交互に繰り返す間に、キャリアガスを供給することをさらに含む請求項2記載の方法。
  5. 前記第1の反応種を除去することが、前記第1の反応種と前記キャリアガスとをパージすることを含む請求項4記載の方法。
  6. 前記第2の化学物質を供給する前に、前記第1の化学物質の供給を停止し、化学物質反応チャンバを、2つ分のチャンバより多い体積のパージガスでパージする請求項5記載の方法。
  7. ライニングすることが、自己限定的反応で膜を形成することを含む請求項1記載の方法。
  8. 前記自己限定的反応で形成された前記膜が、ハロゲン終端(halogen−terminated)である請求項7記載の方法。
  9. 前記還元種と前記モノレイヤを反応させることが、ライニングした後で、かつ前記第2の反応種を反応させる前に、ハロゲン終端を除去することを含む請求項1記載の方法。
  10. 前記還元種が、トリエチルボランを含む請求項1記載の方法。
  11. 前記第1の反応種が、金属アルキルアミンを含む請求項1記載の方法。
  12. 前記第1の反応種が、揮発性有機金属化合物であり、前記ライニングすることが、有機表面終端を含む金属含有膜を形成することを含む請求項1記載の方法。
  13. 前記第1の反応種が、揮発性メタルハライドを含む請求項1記載の方法。
  14. 前記第1の反応種が、四塩化チタンを含む請求項13記載の方法。
  15. 前記第1の反応種が、六フッ化タングステンを含む請求項13記載の方法。
  16. 前記第2の反応種が、揮発性の窒素ソースを含む請求項13記載の方法。
  17. 前記窒素ソースが、アンモニアを含む請求項16記載の方法。
  18. 前記モノレイヤを前記還元種と反応させることが、前記第2の反応種を反応させる前に、ライニングされた前記モノレイヤからハライドテール(halide tails)を除去することを含む請求項17記載の方法。
  19. 前記還元種がトリエチルボランを含む請求項1記載の方法。
  20. ライニングする前に、前記第1の反応種と反応する終端を与えるように前記トレンチおよびコンタクトビアの表面を処理することをさらに含む請求項1記載の方法。
  21. 処理することが、接着層を堆積することを含む請求項20記載の方法。
  22. 前記接着層が、金属層を含む請求項21記載の方法。
  23. 処理することが、前記接着層に表面終端を形成することをさらに含み、前記第1の反応種が、前記表面終端との配位子交換反応を行う請求項21記載の方法。
  24. 処理することが、前記トレンチおよびコンタクトビアの少なくとも複数の表面に、表面終端を形成することを含み、前記第1の反応種が、前記表面終端との配位子交換反応を行う請求項20記載の方法。
  25. 前記表面終端が、前記トレンチおよびコンタクトビアの少なくとも露出された金属表面にNH終端を含む請求項24記載の方法。
  26. 集積回路に金属構造を形成するための形成方法であって、
    部分的に製造された集積回路に、デュアルダマシンのトレンチおよびコンタクト構造を形成すること、
    前記トレンチおよびコンタクト構造の表面に第1の化学物質を供給することで、ハロゲン化物又は有機配位子が終端となる表面を形成すること、
    前記トレンチおよびコンタクト構造から第1の化学物質を除去すること、
    前記トレンチおよびコンタクト構造の前記表面に還元剤を供給すること、
    前記トレンチおよびコンタクト構造から前記還元剤を除去すること、
    前記還元剤を除去した後、前記トレンチおよびコンタクト構造に第2の化学物質を供給すること、
    前記トレンチおよびコンタクト構造から前記第2の化学物質を除去すること、および
    前記第1の化学物質を供給し、除去することと、前記還元剤を供給し、除去することと、前記第2の化学物質を供給し、除去することとを、少なくとも10回繰り返すことを含む金属構造形成方法。
  27. 前記第1の化学物質を除去することが、前記第1の化学物質を供給した後で、かつ前記第2の化学物質を供給する前に、不活性ガスをフローすることを含む請求項26記載の金属構造形成方法。
  28. 前記第1の化学物質を供給する間、及び前記第2の化学物質を供給する間に、不活性ガスをフローすることをさらに含む請求項26記載の金属構造形成方法。
  29. 前記還元剤が、トリエチルボランである請求項26記載の金属構造形成方法。
  30. 集積回路内の絶縁層を貫通して形成されたコンタクトビア内に金属窒化物バリア層を形成する方法であって、
    自己限定反応により金属含有種の1以下のモノレイヤを形成し、前記モノレイヤはハロゲン化物又は有機配位子が終端であること、
    過剰な前記金属含有種を除去した後に、前記ハロゲン化物又は有機配位子を除去するために還元剤と前記モノレイヤを反応させること、
    前記還元剤を除去すること、および
    窒素含有種を前記モノレイヤと反応させることを含む金属窒化物バリア層形成方法。
  31. 前記自己限定反応が、ハロゲン終端金属膜を形成することを含む請求項30記載の金属窒化物バリア層形成方法。
  32. 前記窒素含有種を反応させることが、前記金属膜にアンモニアを吸着させることを含む請求項31記載の金属窒化物バリア層形成方法。
  33. 前記モノレイヤを前記還元剤と反応させることが、前記窒素含有種を反応させる前に、前記ハロゲン終端金属膜からハロゲン終端を除去することを含む請求項32記載の金属窒化物バリア層形成方法。
  34. 前記窒素含有種を前記モノレイヤと反応させた後で、前記金属含有種の第2のモノレイヤを形成すること、および前記窒素含有種を前記第2のモノレイヤと反応させることをさらに含む請求項30記載の金属窒化物バリア層形成方法。
  35. 半導体基板上の絶縁層に、下方に位置する導電性部材の少なくとも一部を露出させる開口を形成すること、
    第1の段階として、前記開口の表面を、1モノレイヤ以下のハライド終端(halide−terminated)金属種でライニングすること、
    前記第1の段階と別個の第2の段階として、トリエチルボラン(TEB)を含む還元剤を用いて、前記ハライド終端金属種からハロゲンテールを除去すること、
    第3の段階として、ハロゲンテールを除去された金属種に1モノレイヤ以下の反応性種を吸着させること、および
    前記第1、第2および第3の段階を少なくとも10サイクル繰り返すことを含むメタライゼーション方法。
  36. 前記開口が、単一ダマシン形成方法の一部として形成されたトレンチである請求項35記載のメタライゼーション方法。
  37. 前記開口が、デュアルダマシン形成方法の一部として形成されたダマシントレンチの底部から延びるビアである請求項35記載のメタライゼーション方法。
  38. その形状的構造が穴である請求項35記載のメタライゼーション方法。
  39. 前記ハライド終端金属種が、塩化銅を含む請求項35記載のメタライゼーション方法。
  40. 前記第3の段階の前記反応性種が、窒素含有種を含む請求項35記載のメタライゼーション方法。
  41. 前記第1の段階が、六フッ化タングステンをフローすることを含み、前記第2の段階が、トリエチルボランをフローすることを含み、さらに前記第3の段階が、アンモニアをフローすることを含む請求項40記載のメタライゼーション方法。
  42. 各サイクルの前記第3の段階が、各サイクルの直後のサイクルの前記第1の段階を含む請求項35記載のメタライゼーション方法。
  43. 前記第1および前記第3の段階が、メタルハライドをフローすることを含み、前記第2の段階が、還元剤をフローすることを含む請求項42記載のメタライゼーション方法。
  44. 集積回路のメタライゼーションの間に、トレンチを含むダマシン構造に金属窒化物ライナーを形成する方法であって、
    前記トレンチの表面に1モノレイヤ以下のメタルハライド種を化学吸着させること、
    トリエチルボランを用いて、前記メタルハライド種からハロゲン原子を除去すること、
    ハロゲン原子を除去した後で、窒素含有種を前記モノレイヤと反応させること、および
    銅の拡散を防止するのに十分な厚さの金属窒化物が前記トレンチの表面を覆って形成されるまで、繰り返し化学吸着させ、除去し、反応させることを含む金属窒化物ライナーの形成方法。
JP2001531140A 1999-10-15 2000-08-24 ダマシン・メタライゼーションのためのコンフォーマルライニング層 Expired - Lifetime JP5173098B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15979999P 1999-10-15 1999-10-15
US60/159,799 1999-10-15
US17694400P 2000-01-18 2000-01-18
US60/176,944 2000-01-18
PCT/US2000/023213 WO2001029891A1 (en) 1999-10-15 2000-08-24 Conformal lining layers for damascene metallization

Publications (3)

Publication Number Publication Date
JP2003531474A JP2003531474A (ja) 2003-10-21
JP2003531474A5 JP2003531474A5 (ja) 2007-10-04
JP5173098B2 true JP5173098B2 (ja) 2013-03-27

Family

ID=26856310

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001531140A Expired - Lifetime JP5173098B2 (ja) 1999-10-15 2000-08-24 ダマシン・メタライゼーションのためのコンフォーマルライニング層

Country Status (6)

Country Link
EP (1) EP1221177B1 (ja)
JP (1) JP5173098B2 (ja)
KR (1) KR100795534B1 (ja)
DE (1) DE60028394T2 (ja)
TW (1) TW478105B (ja)
WO (1) WO2001029891A1 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TWI223867B (en) * 2001-10-26 2004-11-11 Applied Materials Inc Method for forming a metal interconnect on a substrate
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
GB0330010D0 (en) * 2003-12-24 2004-01-28 Cavendish Kinetics Ltd Method for containing a device and a corresponding device
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
TW439151B (en) * 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films

Also Published As

Publication number Publication date
DE60028394D1 (de) 2006-07-06
TW478105B (en) 2002-03-01
JP2003531474A (ja) 2003-10-21
EP1221177B1 (en) 2006-05-31
EP1221177A1 (en) 2002-07-10
DE60028394T2 (de) 2007-03-29
KR20030020257A (ko) 2003-03-08
KR100795534B1 (ko) 2008-01-16
WO2001029891A1 (en) 2001-04-26

Similar Documents

Publication Publication Date Title
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
US7102235B2 (en) Conformal lining layers for damascene metallization
US11587829B2 (en) Doping control of metal nitride films
KR100737305B1 (ko) 집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법
US6686271B2 (en) Protective layers prior to alternating layer deposition
JP4825422B2 (ja) 絶縁層の上部表面から延びる複数のトレンチを有する多孔質絶縁層を含む集積回路を製造する方法
KR101468241B1 (ko) 상호접속 구조체 및 다마신 구조체의 제조 방법
TWI385730B (zh) 銅金屬化用之具有變化組成的阻障層之製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040701

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040701

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040701

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070820

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070820

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111025

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120731

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121031

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121227

R150 Certificate of patent or registration of utility model

Ref document number: 5173098

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R154 Certificate of patent or utility model (reissue)

Free format text: JAPANESE INTERMEDIATE CODE: R154

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term