JP2007529102A - 動的な温度制御を有する基板支持体 - Google Patents

動的な温度制御を有する基板支持体 Download PDF

Info

Publication number
JP2007529102A
JP2007529102A JP2006517743A JP2006517743A JP2007529102A JP 2007529102 A JP2007529102 A JP 2007529102A JP 2006517743 A JP2006517743 A JP 2006517743A JP 2006517743 A JP2006517743 A JP 2006517743A JP 2007529102 A JP2007529102 A JP 2007529102A
Authority
JP
Japan
Prior art keywords
heat transfer
transfer member
temperature
substrate
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006517743A
Other languages
English (en)
Other versions
JP5053632B2 (ja
Inventor
ロバート, ジェイ. ステガー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007529102A publication Critical patent/JP2007529102A/ja
Application granted granted Critical
Publication of JP5053632B2 publication Critical patent/JP5053632B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0037Supports specially adapted for semi-conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • C23C14/505Substrate holders for rotation of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

プラズマ処理装置に用いられる基板支持体であって、金属熱伝達部材と、基板支持表面を有した上に位置する静電チャックと、を備える。前記熱伝達部材は、当該熱伝達部材に加熱及び冷却の少なくとも一方を行うために、それを通して液体が循環される少なくとも1つの流路を含む。前記熱伝達部材は、小さい熱質量を有し、プラズマ処理の間に、前記基板温度を急激に変化させるように、前記液体によって所望の温度に急激に加熱及び/又は冷却されうる。

Description

発明の背景
プラズマ処理装置は、例えば、半導体材料、誘電体材料及び金属材料のプラズマ・エッチング、物理気相成長、 化学気相成長(“CVD”)、物理気相成長(“PVD”)、イオン注入及びレジスト剥離のために使用される。
半導体材料処理で使用されるプラズマ処理装置の1つのタイプは、反応チャンバを含む。処理基板は、基板支持体上で反応チャンバ内に支持される。基板は、典型的には、基板ホルダによって基板支持体上に固定される。処理ガスは、ガス分配システムによって反応チャンバ内に導入される。電界は、基板を処理する処理ガスからプラズマを生成するように形成される。
発明の概要
基板支持体が提供される。基板支持体は、基板を処理している間に基板がその上に支持される支持体の表面で、動的な温度制御を提供することができる。基板支持体は、例えば、プラズマ処理装置の反応室をプラズマエッチングするのに役に立つ。
基板支持体の好適な実施形態は、セラミック部材、セラミック部材の上に位置する金属熱伝達部材及び熱伝達部材の上に位置する静電チャックを備える。熱伝達部材は、小さい熱質量(low thermal mass)を有する。熱伝達部材は、少なくとも1つの流路を含み、それを通して熱伝達部材を加熱する及び/又は冷却するために、液体が循環することができる。静電チャックは、静電気的に基板をクランプすることができる支持体の表面を有する。 基板支持体は、好適には、1つ以上の流路と温度制御された流れの伝達がある液体源を備える。基板支持体はまた、好適には、支持体の表面と基板との間に熱伝達ガスを供給するために動作可能な熱伝達ガス源を備える。随意的なコントローラは、液体の制御パラメータ及び基板支持体に供給される熱伝達ガスを制御するように、液体源及び熱伝達ガス源の制御動作を制御することができる。
熱伝達部材は、好適には、1つ以上の流路に供給される液体によって急速に加熱及び/又は冷却されうる。従って、基板支持体は、基板支持体上で基板の動的な熱制御を提供することができる。
プラズマ処理装置内で基板を処理する方法の好適な実施形態は、プラズマ処理装置の反応チャンバ内の静電チャックの支持表面の上に基板を支持する工程と、基板の温度を制御するするために静電チャックの下に位置する金属熱伝達部材内の少なくとも1つの通路を通して液体を循環させる工程と、を含む。熱伝達部材は、小さい熱質量(low thermal mass)を有する。
基板(例えば、半導体ウェハ、フラットパネルディスプレイ基板、誘電体材料等)のプラズマ処理の間、処理基板から作製されたデバイスが十分な電気特性を持つように、出来るだけ均一に、材料がエッチングによって基板から取り除かれるか、又は材料が基板上に成膜されることが好ましい。しかしながら、基板上に形成されたフィーチャ(feature)のサイズが減少する一方で、基板サイズが増大するにつれて、この目標を達成するのが益々困難となっている。
プラズマ処理装置内の基板のプラズマ処理の均一性を向上させるために、エッチングが行われ、その上に(例えば、CVD又はPVD技術によって)材料が成膜され、及び/又はフォトレジストが剥離される、基板の露出した表面における温度を制御することが好ましい。プラズマ・エッチングでは、基板温度の変動及び/又は基板の露出した表面での化学反応の速度における変動によって、エッチング選択性及び異方性における変動に加えて、基板のエッチング速度の変動を引き起こしうる。例えば、ウェハの温度がある温度よりも上昇すると、ウェハダメージ(例えば、フォトレジストダメージ)が生じ、温度に依存する化学反応が変化しうる。材料成膜処理では、基板上に成膜される材料の構成と特性に加えて、成膜中の基板温度の変化によって、成膜速度が大きく変化しうる。
基板支持体と基板支持体上に支持される基板との間の熱伝達を向上させるために、基板支持体は、裏面ガス冷却システムを含むことができる。しかしながら、熱伝達ガスの熱伝達能力は、基板支持体の表面条件に依存する。表面条件が処理の間に変化するにつれて、基板から熱を取り除く熱伝達ガスの能力も変化しうる。
基板支持体は、処理の間に熱を取り除く液体冷却システムを含むことができる。このようなシステムでは、基板支持体の金属本体(「冷却板」)内の1つ以上の流路を通って液体が流れるか又は金属本体を冷却し、それによって基板支持体上の基板の温度を変化させる。本体が加熱又は冷却されうる速度は、本体の熱容量(heat capacity)又は“熱質量(thermal mass)”Cに関係する。本体の熱質量は、本体の材料の比熱容量(specific heat capacity)c、及び本体の質量mの積に等しい(すなわち、C=c・m)。従って、本体の熱質量は、その質量を変化させることによって変化することができ、これは本体の体積を変化させることによって実現することができる。また、本体の温度をΔTだけ変化させるために、本体を加熱することによって本体に与えられる必要がある熱量q又は本体を冷却することによって本体により放出される必要がある熱量qは、q=c・m・ΔTで与えられる。その結果、本体の熱質量が増加するにつれて、本体の温度をΔTだけ変化させるために、本体に与えられる必要がある熱量又は本体から取り除く必要がある熱量も増大する。
大きい熱質量(例えば、1 1/4インチ以上の厚さを有する金属冷却板)を持つ冷却板を含む基板支持体、そしてまた大きい液体容量を有する液体供給部は、プラズマ処理の間、固定された温度に基板支持体を維持するために、液体を冷却板内の流路に供給することができる。しかしながら、基板支持体の温度を素早く変化させる液体によって、多量の熱が基板支持体に加えられるか又は基板支持体から取り除かれなければならないので、そのような基板支持体が動的な温度制御能力を有しないことが分かった。その結果、そのような大きな熱質量を有する基板支持体は、基板支持体上に支持される基板の応答性の良い温度制御を提供しない。
熱伝達部材を所望の温度に急速に加熱する及び/又は冷却することが可能な、小さな熱質量を持つ熱伝達部材を使用することによって、動的な温度制御能力を有する基板支持体を提供することができることが分かった。
好適な実施形態では、基板支持体は、液体を供給して必要な温度に熱伝達部材を加熱する及び/又は冷却するために動作可能な液体源を備える。熱伝達部材は、少なくとも1つの流路を含み、それを通して熱伝達部材に又は熱伝達部材からの熱伝達の速度を向上させる液体源から液体が循環される。液体源は、好適には、少量の液体を加熱及び/又は冷却することができる。温度制御された液体が熱伝達部材に供給され、素早い応答能力を与えることができる。基板支持体の表面の動的な温度制御は、小さい熱質量を有する熱伝達部材及び素早い応答能力を有する液体源の組み合わせによって、向上させることができる。液体源はまた、好適には、その温度及び/又は流量などの選択された液体のパラメータを制御することができる。
好適な実施形態では、基板支持体は、熱伝達ガスを基板支持体の上面と基板との間に供給するために動作可能である熱伝達ガス源を含む。
好適な実施形態に係る基板支持体がその内部で使用可能である例示的なプラズマ反応室は図1に示される。プラズマ反応室は、誘導結合プラズマ反応室である。また、プラズマ処理の間に基板の温度制御が必要な他のタイプのプラズマ反応室内で基板支持体が使用されうる。例えば、基板支持体は、他の誘導結合プラズマ反応室構造、電子サイクロトロン共鳴(“ECR”)、マグネトロン及び容量結合プラズマ反応室内で使用されうる。
図1に示されるプラズマ反応室は、静電チャック34を有する基板支持体12を含む反応チャンバ10を備える。静電チャック34は、基板13を静電気的にクランプするほかに基板にRFバイアスを与える。例えば、基板13は、半導体ウェハであってもよい。フォーカスリング14は、基板13の上方のプラズマを増加させる。エネルギ源は、反応チャンバ10の上部に配置され、処理ガスにエネルギを与えて、反応チャンバ内にプラズマを生成する。エネルギ源は、例えば、RF源19によってパワーが与えられるアンテナ18であってもよい。反応チャンバ10は、所望の圧力にチャンバの内部を維持するための真空ポンプ装置を含む。
誘電体窓20は、アンテナ18と処理チャンバ10の内部との間に配置される。ガス分配板22は、ウィンドウ20の下にあって、それを通して処理ガスがガス供給部23から反応チャンバ10まで供給される開口部を含む。
動作中は、基板13は、基板ホルダ12の露出した上面に配置され、静電チャック34によって静電気的にクランプされる。熱伝達ガスは、好適には、基板13とその上面との間の熱伝達を向上させるために、基板ホルダ12の上面と基板13との間に導入される。処理ガスは、反応チャンバ10に供給される。プラズマは、反応チャンバ10内でRFパワーをアンテナ18に供給することによって生成される。
図2は、基板支持体40の好適な実施形態を示す図である。例えば、反応チャンバ10内で基板支持体40を使用することができる。基板支持体40は、下部カバー42、カバー42上のベース44、ベース44の上に配置され、内部空間56を囲むセラミック部材46、セラミック部材46の上に位置する低熱質量伝達部材(low-mass heat transfer member)48、及び、熱伝達部材48の上に位置する静電チャック50を備える。RF電源49は、RFバイアスを提供するために、導電体51を通して電気的に熱伝達部材48に接続される。エッジリング52は、セラミック部材46の上に配置されて、熱伝達部材48及び静電チャック50を取り囲む。エッジリング52は、石英(quartz)やSiCなどで作られうる。基板70は、静電チャック50の上に支持された状態で示される。
基板支持体40は、熱伝達部材48内に提供される1つ以上の流路と流れの伝達がある入口58と出口60を含む。入口58及びと出口60は、供給ライン102と戻りライン104をそれぞれ通って液体源100と流れの伝達がある。液体は、液体源100から供給ライン102を介して、熱伝達部材48の流路内に入口58を介して循環し、この流路を通って液体源100に出口60及び戻りライン104を介して戻る。液体源100の動作は、好適には、液体源100と流れの伝達があるコントローラ200によって制御される。
ベース44は、アルミニウム、アルミ合金などの金属で作られる。ベース44は電気的に接地される。
図2に示される実施形態では、セラミック部材46は、ベース44に固定される。例えば、ベース44にセラミック部材46を固定するボルト、ねじなどの接合留め具(mating fastener)を受けるために、金属挿入物などをセラミック部材46に挿入することができる。セラミック部材46は適当な材料で作られ、また、セラミック部材46の下部表面62の上に作用する圧力(典型的には大気圧)を相殺するのに十分な剛性を持つように構成される。セラミック部材46は、好適には、プラズマ処理の間のゆがみ(deflection)に耐えるのに十分に高い係数(例えば、少なくとも15Mpsi)を有する。セラミック部材46のゆがみを最小化することによって、プラズマ処理の間、セラミック部材46並びにその上に位置する熱伝達部材48及び静電チャック50の寸法安定性(dimensional stability)が維持される。例えば、セラミック部材46は、最も薄い部分で約1〜4mmの厚さを持つことができる。処理の間、ベース44は、熱伝達部材48よりも更に高いか又は更に低い温度のいずれかであってもよい。セラミック部材46は、好適には、ベース44から熱的に絶縁するのに十分に低い熱伝導率を有する。さらに、セラミック部材46は、接地(ground)からRF駆動される熱伝達部材48に対しRF絶縁(RF isolation)を提供する。セラミック部材46は、アルミナ(A1203)などの他の適当な材料で作ることができる。
好適な実施形態では、セラミック部材46の上部は、周辺フランジ64によって取り囲まれた、中央が凹んだ表面63を有する。凹んだ表面63は、セラミック部材46が作られた後に、フライス削り(milling)などの機械加工処理によって形成することができる。また、凹んだ表面63は、例えば、モールド(molding)又はキャスティング(casting)によって、セラミック部材46を形成するために使用される処理によって形成することができる。熱伝達部材48は、凹んだ表面63、好適にはフランジ64と同一平面上に配置される。
熱伝達部材48は、好適には、セラミック部材46の凹んだ表面63に接着して結合される。セラミック部材46と熱伝達部材48との間に与えられる接着剤は、好適には、セラミック部材46から熱伝達部材48を熱的に絶縁する低い熱伝導率を有する。接着剤はまた、好適には、半導体基板のプラズマ処理の間に、セラミック部材46と熱伝達部材48との間に生じる熱ストレスを緩和する(accommodate)のに十分な弾性を有する。接着剤は、好適には、ゼネラル・エレクトリック社からRTV 133とRTV 167として入手可能な室温硬化接着剤(room temperature-curing adhesive)などのエラストマー材料である。
熱伝達部材48は、熱伝達部材48の周辺エッジがフランジ64から横方向に間隔が空くように、凹んだ表面63の幅よりも小さな幅を持つことが好ましい。例えば、凹んだ表面63は円形であり、また、熱伝達部材48は円形かつ凹んだ表面よりも小さい直径を持つすることができる(図3)。静電チャック50は、フランジ64及び熱伝達部材48の上に搭載される。例えば、300mmウェハを処理する場合には、静電チャック50は、静電チャック50がフランジ64に接触するように、例えば、約298mmなどの凹んだ表面63及びウェハの直径にほぼ等しい直径を持つことができる。熱伝達部材48は、約298mm未満の直径を持つことができるので、フランジ64から間隔が空いている。
図4では、熱伝達部材48は、好適には、ベース72及び上に位置するカバー74を含む。熱伝達部材48は、銅、銅の合金、アルミニウム、アルミ合金などの十分な熱伝達特性を持つ金属で作られうる。ベース72及びカバー74は、好適には、ろう付けなどによって接合され、その間に流体シールを提供する。
ベース72は、好適には、約1/4インチ以下、より好適には約1/8インチ以下の厚さを有する。カバー74は、好適には、約1/16インチ以下の厚さを有する。ベース72及びカバー74は、好適には、約1/4インチ、より好適には約1/8インチの最大結合厚さ(maximum combined thickness)を有する。
ベース72は、1つ以上の流路が形成される上部表面73を含む。図5は、円形の流路76を含むベース72の好適な実施形態を示す図である。液体は、入口58と供給ライン102を介して流路76に導入され、この液体は出口60と戻りライン104を介して液体源100に戻される。流路は、熱伝達部材48の温度制御を提供するために、ベース72の中に他の構成を持つことができる。例えば、流路は、らせん、ジグザグなどの他のパターンを代わりに持つことができる。
ベース72の流路は、例えば、半円形、円形、長方形、正方形、他の多角形などを含んだ、様々な横断面形状を持つことができる。流路の横断断面積は、流路を通る液体の所望の体積流量(volumetric flow rate)及び液体の熱伝達能力に基づいて、所望の体積の流路を提供するように選択されうる。好適な実施形態では、流路は、約1/32〜約3/32インチの幅、及び約1/32〜約1/16インチの深さを持つ。
任意の適当な処理によって、ベース72内の流路が形成されうる。例えば、流路は、ベース72が機械加工処理などによって形成された後に、上部表面73内に形成されうる。また、流路は、例えば、キャスティング、型打ち、スタンピング又は他の処理などによって、本体を製造している間に形成されうる。
液体は、水(例えば、脱イオン水)、エチレングリコール、シリコン油(silicon oil)、水/エチレングリコール混合物などであってもよい。液体の冷却性能は、液体流量及び/又は流路に導入される液体の温度を変化させて、異なる液体及び/又は異なる液体混合物を使用することによって、制御することができる。液体の温度は、好適には、液体源100によって調整することができる。
図2を参照すると、静電チャック50は、誘電体材料内に挟まれた導電性の電極(又は、二極動作(bipolar operation)用の1組の電極)を含む。電極は、基板70をクランプするために設けられる。RFバイアスは、静電チャック50を通して基板70に容量結合される。熱伝達部材48は、好適には、カップリングの均一性を向上させる平たんな上面を有する。誘電体材料は、アルミナなどの適当なセラミック材料であってもよい。導電性の電極は、タングステンなどで作られうる。静電チャック50は、例えば、約1mmの厚さを持つことができる。静電チャック50は、その周辺エッジがセラミック材料46のフランジ64に接触するような幅を有する。従って、熱伝達部材48には直接的なRF経路が存在せず、アーク放電を防止している。誘電体材料は、基板70を支持するために静電チャックの露出表面を形成する。露出した表面は、好適には、ウェハの形状で基板70の形状に適合する円形である。
図6に示される他の好適な実施形態では、セラミック部材146は、熱伝達部材48がその上に配置される平らな表面147(すなわち、凹んでいない表面)を有する。静電チャック50は、熱伝達部材48の上に位置する。ウェハ70は、静電チャック50の上に配置された状態で示されている。内側リング80は、表面147の上に配置され、熱伝達部材48、静電チャック50及びウェハ70を取り囲む。エッジリング52は、内側リング80を取り囲む。内側リング80は、好適には、セラミック部材146(例えば、アルミナ)と同じ材料で作られる。熱伝達部材48は、空間82によって内側リング80と横方向に間隔が空いている。静電チャック50は、内側リング80に接触する。
静電チャック50は、好適には、エラストマー材料などの適当な接着物質で熱伝達部材48に結合される。接着剤は、好適には、その熱伝導率を向上させて、静電チャック50とその下に位置する熱伝達部材48との間に十分な熱伝達を提供するために、金属フィラー(metallic filler)などの材料を含む。例えば、接着剤は、その伝導率を向上させるために、少なくとも1つの金属又は金属合金の粒子(particles)を含むことができる。
上述したように、大きな金属冷却板(典型的には、アルミニウムで作られる)は、1 1/4インチ以上の厚さと対応する大きな熱質量を持つことができる。一方、熱伝達部材48は、好適には、その容積をこのような大きな冷却板の体積の約5-10%に等しい体積を持つ。熱伝達部材48の大きく低減された容積によって、所定量だけその温度の変化させるために、熱伝達部材48から除去されるか又は加えられる必要がある熱量は、このような大きな冷却板と比較して大幅に低減される。熱伝達部材48は、好適には、約0.25℃/秒から約2℃/秒の速度で、加熱及び/又は冷却することができる。これと比較して、大きい熱質量を持つ大きな冷却板は、約1℃/分以下と同じ程度の温度変化速度(temperature change rate)を提供する。熱伝達部材48は、好適には、プラズマ処理の間、約-20〜約80℃の範囲で温度を制御することができる。
さらに、熱伝達部材48の小さい熱質量によって、熱伝達部材48を必要な温度に加熱及び/又は冷却するために、熱伝達部材48に供給される必要がある液体の体積流量は、大きな熱質量を持つ大きな冷却板を加熱及び/又は冷却するのに必要とされる液体流量に比べて大幅に低減される。
基板支持体40の好適な実施形態は、液体源100、熱伝達ガス源150(図6)及びコントローラ200を含む。上述のように、液体源100(図2)は、液体を熱伝達部材48内の流路に供給する。液体源100は、選択された温度及び/又は流量で液体を流路に供給するために、熱電冷却装置(thermoelectric chiller)(例えば、ペルチェクーラー)、熱交換器などを備えることができる。液体源100は、適当なポンプ装置を備えることができる。冷却装置などは、好適には、液体源100から液体が流れる距離を低減するために、熱伝達部材48の近傍に配置され、それによって液体源の応答時間を低減することに加えて、加熱又は冷却される必要がある液体経路内の液体容積を低減する。
熱伝達ガス源は、熱伝達ガスを熱伝達ガス通路に供給する。熱伝達ガスは、熱伝達ガス通路を通して、静電チャック50の露出した表面に流れる。そこでは、熱伝達ガスが、露出した表面に形成された開口部及び/又はチャンネル(不図示)を通して、基板70の露出した表面と裏面との間のインタフェース85に分配される(図6)。基板支持体の露出した表面を冷却させる領域を提供する適当な熱伝達ガス供給システムは、本願と同じ出願人による米国特許第5,609,720号に開示され、その全体は本願に参照により組み込まれる。熱伝達ガスは、プラズマ処理の間、基板から離れた熱を十分に伝達する熱伝達能力を有する任意のガスであってもよい。例えば、熱伝達ガスは、ヘリウムなどであってもよい。
コントローラ200は、好適には、流路に供給される液体のパラメータを選択的に変化させる液体源の動作を制御し、熱伝達ガス通路に供給される熱伝達ガスのパラメータを選択的に変化させるために熱伝達ガス源150の動作を制御する。コントローラ200は、好適には、液体源100の動作を制御して、液体源によって流路に供給される液体の温度及び/又は流量を制御することができ、熱伝達ガス源150の動作を制御して、露出した表面における必要な温度を実現するためにインタフェース部に供給される熱伝達ガスの流量及び/又は圧力を制御することができる。
コントローラ200は、好適には、基板支持体40の1つ以上の選択された位置及び/又は基板上で(例えば、裏面で)温度を測定するために基板支持体40内に配置される1つ以上の温度センサ(不図示)から入力信号を受け取る。温度センサは、例えば、静電チャック50の露出した表面に最も近い位置で温度を測定するために配置することができる。温度センサは、好適には、熱伝達ガス源150の動作の制御に加えて、液体源100の動作のフィードバック制御を可能にするために、リアルタイムの温度測定を提供する。コントローラ200は、液体源100及び熱伝達ガス源150の動作をマニュアルで操作可能であるか又は自動的に制御するようにプログラムされうる。
基板支持体40は、その中でプラズマ・エッチング、物理気相成長、化学気相成長(CVD)、イオン注入及び/又はレジスト剥離を含む様々なプラズマ処理動作が実行されるプラズマ処理装置内で使用されうる。プラズマ処理動作は、半導体材料、誘電体材料及び金属材料を含む様々な基板材料に対して実行されうる。
基板支持体40は、様々な真空半導体処理の役に立つ、動的で近接した温度制御(dynamic、close temperature control)を提供することができる。例えば、これらの特性は、ゲート及びシャロートレンチ素子分離(“STI”)エッチング処理における正確でステップが変わりやすい温度制御に役に立つ。また、例えば、基板支持体40の温度は、エッチングの間、基板内に傾斜した側壁(tapering sidewalls)を形成するために、傾斜して変化され(ramped)てもよい(例えば、線形的に)。急速に基板温度を変化させる能力は、誘電体材料のエッチング処理などの様々な処理で役に立つ。そこでは、熱が基板から素早く取り除かれない場合には、使用される高電力密度によって急激なウェハ過剰温度状態(rapid wafer over-temperature conditions)を生じさせうる。
本発明は、その特定の実施形態を参照して詳細に説明したが、当業者であれば、添付した特許請求の範囲を逸脱しない限り、様々な変形及び修正がなされ、均等物が用いられうることが明らかであろう。
図1は、基板支持体を使用することができる実施形態の例示的なプラズマ反応室を示す図である。 図2は、基板支持体の好適な実施形態の横断面図である。 図3は、基板支持体のセラミック部材の上に位置する低熱質量伝達部材(low thermal mass heat transfer member)を示す平面図である。 図4は、2分割構造(two-piece construction)を有する熱伝達部材の実施形態を示す図である。 図5は、流路を示す熱伝達部材のベースの実施形態の平面図である。 図6は、他の好適な実施形態に係る基板支持体の一部を示す図である。

Claims (31)

  1. プラズマ処理装置の反応室内で用いられる基板支持体であって、
    セラミック部材と、
    前記セラミック部材の上に位置する金属熱伝達部材であって、最大約1/4インチの厚さを有し、かつ、当該熱伝達部材に加熱及び冷却の少なくとも一方を行うためにそれを通して液体が循環可能な少なくとも1つの流路を含む金属熱伝達部材と、
    前記熱伝達部材の上に位置する静電チャックであって、プラズマ処理装置の反応室内で基板を支持するための支持表面を有する静電チャックと、
    を備えることを特徴とする基板支持体。
  2. 前記熱伝達部材は、最大約1/8インチの厚さを有することを特徴とする請求項1に記載の基板支持体。
  3. 前記少なくとも1つの流路は、約1/32から約3/32インチまでの幅と、約1/32から約1/16インチまでの深さとを有することを特徴とする請求項1に記載の基板支持体。
  4. 前記少なくとも1つの流路と流体の伝達がある温度制御された液体源を更に備えることを特徴とする請求項1に記載の基板支持体。
  5. 前記温度制御された液体源は、前記液体の温度を選択された温度に変化させるように動作可能なペルチェクーラーを含むことを特徴とする請求項4に記載の基板支持体。
  6. 前記支持表面と前記基板との間に熱伝達ガスを供給するように動作可能な熱伝達ガス源と、
    (i)前記少なくとも1つの流路を通して循環される前記液体の体積流量及び温度の少なくとも一方、並びに、(ii)前記支持表面と前記基板との間に供給される前記熱伝達ガスの流速及び圧力の少なくとも一方、のうち少なくとも一方を制御するように動作可能なコントローラと、
    を更に備えることを特徴とする請求項4に記載の基板支持体。
  7. 前記熱伝達部材は、少なくとも1つの流路を含むベースと、前記ベースの上に位置するカバーと、を備えることを特徴とする請求項1に記載の基板支持体。
  8. 前記セラミック部材は、凹んだ表面と周辺フランジとを含み、
    前記セラミック部材は、前記凹んだ表面で約1から4mmの厚さを有し、
    前記熱伝達部材は、前記凹んだ表面の上に配置され、かつ、前記フランジから横方向に間隔が空けられ、
    前記静電チャックは、前記フランジに接触することを特徴とする請求項1に記載の基板支持体。
  9. 前記セラミック部材の上に位置し、かつ、前記熱伝達部材及び前記静電チャックを取り囲むセラミックリングを更に備え、
    前記熱伝達部材は、前記セラミックリングから横方向に間隔が空けられ、
    前記静電チャックは、前記セラミックリングと接触することを特徴とする請求項1に記載の基板支持体。
  10. 前記熱伝達部材に電気的に接続されたRF電源を更に備えることを特徴とする請求項1に記載の基板支持体。
  11. 前記セラミック部材と前記熱伝達部材との間のエラストマー接続部と、
    前記熱伝達部材と前記静電チャックとの間のエラストマー接続部と、
    を備えることを特徴とする請求項1に記載の基板支持体。
  12. 請求項1に記載の前記基板支持体を備えることを特徴とするプラズマ処理装置。
  13. プラズマ処理装置内の基板を熱的に制御する方法であって、
    プラズマ処理装置の反応室内で請求項1に記載の前記基板支持体の前記支持表面の上に基板を配置する工程と、
    前記反応室内に処理ガスを導入する工程と、
    前記反応室内の前記処理ガスからプラズマを生成する工程と、
    前記基板を処理する工程と、
    前記基板の処理の間に、前記熱伝達部材の温度を選択された温度に制御するために前記少なくとも1つの流路を通して液体を循環させる工程と、
    を含むことを特徴とする方法。
  14. 前記基板の処理の間に、前記熱伝達部材の温度を第1の温度に制御するために前記少なくとも1つの流路を通して第1の温度を有する液体を循環させる工程と、
    前記基板の処理の間に、前記熱伝達部材の温度を第2の温度に制御するために前記少なくとも1つの流路を通して第2の温度を有する液体を循環させる工程と、
    を含み、
    前記熱伝達部材の温度は、(i)前記第1の温度から前記第2の温度に傾斜して変化されるか、又は、(ii)前記第1の温度から前記第2の温度に階段状に変化されることを特徴とする請求項13に記載の方法。
  15. プラズマ処理装置内で用いられる基板支持体であって、
    温度制御された液体源と、
    セラミック部材と、
    前記セラミック部材の上に位置する金属熱伝達部材であって、前記液体源と流体の伝達があり、かつ、約0.25から2℃/秒の速度で前記熱伝達部材に加熱及び冷却の少なくとも一方を行うためにそれを通して液体が循環可能な少なくとも1つの流路を含む金属熱伝達部材と、
    前記熱伝達部材の上に位置する静電チャックであって、プラズマ処理装置の反応室内で基板を支持するための支持表面を有する静電チャックと、
    を備えることを特徴とする基板支持体。
  16. 前記熱伝達部材は、最大約1/8インチの厚さを有することを特徴とする請求項15に記載の基板支持体。
  17. 前記支持表面と前記基板との間に熱伝達ガスを供給するように動作可能な熱伝達ガス源と、
    前記液体源及び前記熱伝達ガス源の動作を制御するように動作可能なコントローラと、
    を更に備えることを特徴とする請求項15に記載の基板支持体。
  18. 前記熱伝達部材は、少なくとも1つの流路を含むベースと、前記ベースの上に位置するカバーと、を備えることを特徴とする請求項15に記載の基板支持体。
  19. 前記セラミック部材は、凹んだ表面と周辺フランジとを含み、
    前記熱伝達部材は、前記凹んだ表面の上に配置され、かつ、前記フランジから横方向に間隔が空けられ、
    前記静電チャックは、前記フランジに接触することを特徴とする請求項15に記載の基板支持体。
  20. 前記セラミック部材の上に位置し、かつ、前記熱伝達部材及び前記静電チャックを取り囲むセラミックリングを更に備え、
    前記熱伝達部材は、前記セラミックリングから横方向に間隔が空けられ、
    前記静電チャックは、前記セラミックリングと接触することを特徴とする請求項15に記載の基板支持体。
  21. 前記熱伝達部材に電気的に接続されたRF電源を更に備えることを特徴とする請求項15に記載の基板支持体。
  22. 前記セラミック部材と前記熱伝達部材との間のエラストマー接続部と、
    前記熱伝達部材と前記静電チャックとの間のエラストマー接続部と、
    を備えることを特徴とする請求項15に記載の基板支持体。
  23. 請求項15に記載の前記基板支持体を備えることを特徴とするプラズマ処理装置。
  24. プラズマ処理装置内の基板を熱的に制御する方法であって、
    プラズマ処理装置の反応室内で請求項15に記載の前記基板支持体の前記支持表面の上に基板を配置する工程と、
    前記反応室内に処理ガスを導入する工程と、
    前記反応室内の前記処理ガスからプラズマを生成する工程と、
    前記基板を処理する工程と、
    前記基板の処理の間に、前記熱伝達部材の温度を選択された温度に制御するために前記少なくとも1つの流路を通して前記液体源から液体を循環させる工程と、
    を含むことを特徴とする方法。
  25. 前記基板の処理の間に、前記熱伝達部材の温度を第1の温度に制御するために前記少なくとも1つの流路を通して第1の温度を有する液体を循環させる工程と、
    前記基板の処理の間に、前記熱伝達部材の温度を第2の温度に制御するために前記少なくとも1つの流路を通して第2の温度を有する液体を循環させる工程と、
    を含み、
    前記熱伝達部材の温度は、(i)前記第1の温度から前記第2の温度に傾斜して変化されるか、又は、(ii)前記第1の温度から前記第2の温度に階段状に変化されることを特徴とする請求項24に記載の方法。
  26. プラズマ処理装置内で基板を処理する方法であって、
    プラズマ処理装置の反応室内の静電チャックの支持表面の上で基板を支持する工程と、
    前記基板をプラズマ処理する工程と、
    前記基板の温度を制御するように前記静電チャックの下に位置する金属熱伝達部材を通して延びる少なくとも1つの流路を通して液体を循環させる工程と、
    を含み、
    前記熱伝達部材は、最大約1/4インチの厚さを有することを特徴とする方法。
  27. 前記熱伝達部材は、最大約1/8インチの厚さを有し、
    前記少なくとも1つの流路は、約1/32から約3/32インチまでの幅と、約1/32から約1/16インチまでの深さとを有することを特徴とする請求項26に記載の方法。
  28. 前記基板をプラズマ処理する工程の間に、前記支持表面と前記基板との間に熱伝達ガスを供給する工程を更に含むことを特徴とする請求項26に記載の方法。
  29. 前記熱伝達部材にRFパワーを加える工程を更に含むことを特徴とする請求項26に記載の方法。
  30. 前記熱伝達部材は、約0.25から2℃/秒の速度で前記液体によって加熱及び冷却の少なくとも1つが行われることを特徴とする請求項26に記載の方法。
  31. 前記基板の処理の間に、前記熱伝達部材の温度を第1の温度に制御するために前記少なくとも1つの流路を通して第1の温度を有する液体を循環させる工程と、
    前記基板の処理の間に、前記熱伝達部材の温度を第2の温度に制御するために前記少なくとも1つの流路を通して第2の温度を有する液体を循環させる工程と、
    を含み、
    前記熱伝達部材の温度は、(i)前記第1の温度から前記第2の温度に傾斜して変化されるか、又は、(ii)前記第1の温度から前記第2の温度に階段状に変化されることを特徴とする請求項26に記載の方法。
JP2006517743A 2003-06-30 2004-06-28 基板支持体、プラズマ処理装置及びプラズマ処理装置内の基板を熱的に制御する方法 Expired - Fee Related JP5053632B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/608,091 US7993460B2 (en) 2003-06-30 2003-06-30 Substrate support having dynamic temperature control
US10/608,091 2003-06-30
PCT/US2004/020749 WO2005006400A2 (en) 2003-06-30 2004-06-28 Substrate support having dynamic temperature control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011259478A Division JP2012099825A (ja) 2003-06-30 2011-11-28 動的な温度制御を有する基板支持体

Publications (2)

Publication Number Publication Date
JP2007529102A true JP2007529102A (ja) 2007-10-18
JP5053632B2 JP5053632B2 (ja) 2012-10-17

Family

ID=33540476

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006517743A Expired - Fee Related JP5053632B2 (ja) 2003-06-30 2004-06-28 基板支持体、プラズマ処理装置及びプラズマ処理装置内の基板を熱的に制御する方法
JP2011259478A Pending JP2012099825A (ja) 2003-06-30 2011-11-28 動的な温度制御を有する基板支持体

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011259478A Pending JP2012099825A (ja) 2003-06-30 2011-11-28 動的な温度制御を有する基板支持体

Country Status (8)

Country Link
US (2) US7993460B2 (ja)
EP (1) EP1644962A4 (ja)
JP (2) JP5053632B2 (ja)
KR (3) KR101476566B1 (ja)
CN (1) CN100440422C (ja)
MY (1) MY151676A (ja)
TW (1) TWI338931B (ja)
WO (1) WO2005006400A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017506828A (ja) * 2014-02-12 2017-03-09 アクセリス テクノロジーズ, インコーポレイテッド 広温度範囲チャックに対する複数流体冷却システム

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4991286B2 (ja) * 2003-03-21 2012-08-01 東京エレクトロン株式会社 処理中の基板裏面堆積を減らす方法および装置。
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US6976782B1 (en) * 2003-11-24 2005-12-20 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
DE112006000327T5 (de) * 2005-03-03 2007-12-27 Applied Materials, Inc., Santa Clara Vorrichtung zur Temperatursteuerung eines Substrats
JP4647401B2 (ja) * 2005-06-06 2011-03-09 東京エレクトロン株式会社 基板保持台、基板温度制御装置及び基板温度制御方法
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7528392B2 (en) * 2006-11-27 2009-05-05 Varian Semiconductor Equipment Associates, Inc. Techniques for low-temperature ion implantation
JP4450245B2 (ja) * 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US8989837B2 (en) 2009-12-01 2015-03-24 Kyma Medical Technologies Ltd. Methods and systems for determining fluid content of tissue
US9265438B2 (en) 2008-05-27 2016-02-23 Kyma Medical Technologies Ltd. Locating features in the heart using radio frequency imaging
US8352015B2 (en) * 2008-05-27 2013-01-08 Kyma Medical Technologies, Ltd. Location tracking of a metallic object in a living body using a radar detector and guiding an ultrasound probe to direct ultrasound waves at the location
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8410393B2 (en) * 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
CA2805947A1 (en) 2010-07-21 2012-01-26 Kyma Medical Technologies Ltd. Implantable dielectrometer
JP5781803B2 (ja) * 2011-03-30 2015-09-24 東京エレクトロン株式会社 温度制御方法及びプラズマ処理システム
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US20130240144A1 (en) * 2012-03-13 2013-09-19 Applied Materials, Inc. Fast response fluid temperature control system
CN113862634A (zh) 2012-03-27 2021-12-31 诺发***公司 钨特征填充
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
CN206040982U (zh) 2013-10-29 2017-03-22 基马医疗科技有限公司 印刷电路板和医疗装置
EP3102100B1 (en) 2014-02-05 2023-08-09 ZOLL Medical Israel Ltd. Apparatuses for determining blood pressure
WO2016040337A1 (en) 2014-09-08 2016-03-17 KYMA Medical Technologies, Inc. Monitoring and diagnostics systems and methods
WO2016115175A1 (en) 2015-01-12 2016-07-21 KYMA Medical Technologies, Inc. Systems, apparatuses and methods for radio frequency-based attachment sensing
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN104835761A (zh) * 2015-04-27 2015-08-12 沈阳拓荆科技有限公司 一种边缘出气的可控温加热盘
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106637132B (zh) * 2015-10-29 2020-01-10 沈阳拓荆科技有限公司 循环媒介自动控温、热传导气体传导温度的晶圆反应台
KR102447723B1 (ko) * 2016-03-18 2022-09-27 에이씨엠 리서치 (상하이) 인코포레이티드 기판 열처리 장치
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10725485B2 (en) * 2016-12-15 2020-07-28 Lam Research Corporation System and method for calculating substrate support temperature
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR102439617B1 (ko) * 2017-06-27 2022-09-05 주식회사 미코세라믹스 본딩 헤드 및 이를 갖는 본딩 장치
US10199252B2 (en) * 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11020002B2 (en) 2017-08-10 2021-06-01 Zoll Medical Israel Ltd. Systems, devices and methods for physiological monitoring of patients
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN112786422B (zh) * 2019-11-08 2024-03-12 中微半导体设备(上海)股份有限公司 一种聚焦环、等离子体处理器及方法
CN111276430B (zh) * 2020-01-20 2023-02-14 北京北方华创微电子装备有限公司 一种半导体设备中的温控装置及半导体设备
CN111979530B (zh) * 2020-08-28 2022-10-18 湖南红太阳光电科技有限公司 Pecvd设备的加热***、加热控制方法及pecvd设备
KR102572570B1 (ko) * 2021-07-02 2023-08-29 광운대학교 산학협력단 멀티존 열전달 구조물을 이용한 기판 처리 장치 및 온도 제어 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09291366A (ja) * 1996-04-26 1997-11-11 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2001102435A (ja) * 1999-07-28 2001-04-13 Tokyo Electron Ltd 載置台構造及び処理装置
JP2002110774A (ja) * 2000-06-09 2002-04-12 Applied Materials Inc 全域温度制御静電チャック及びその製造方法
JP2002222799A (ja) * 2001-01-25 2002-08-09 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
JP2003174079A (ja) * 2001-12-04 2003-06-20 Toto Ltd 静電チャックモジュールおよび冷却システム

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US5225663A (en) * 1988-06-15 1993-07-06 Tel Kyushu Limited Heat process device
JPH03190125A (ja) 1989-12-19 1991-08-20 Fujitsu Ltd ドライエッチング装置
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
JPH05226292A (ja) 1992-02-13 1993-09-03 Tokyo Electron Yamanashi Kk プラズマ処理開始方法
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
US6231776B1 (en) * 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
DE69719108D1 (de) 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
JPH1074600A (ja) * 1996-05-02 1998-03-17 Tokyo Electron Ltd プラズマ処理装置
US6046439A (en) * 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
JP3808902B2 (ja) * 1996-10-11 2006-08-16 東京エレクトロン株式会社 プラズマエッチング方法
JPH10220909A (ja) * 1996-12-03 1998-08-21 Komatsu Ltd 流体温度制御装置
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6077357A (en) * 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
JPH1140654A (ja) 1997-07-17 1999-02-12 Kokusai Electric Co Ltd 基板保持構造
US6007635A (en) * 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6138745A (en) * 1997-09-26 2000-10-31 Cvc Products, Inc. Two-stage sealing system for thermally conductive chuck
US5983644A (en) * 1997-09-29 1999-11-16 Applied Materials, Inc. Integrated bake and chill plate
JP3980187B2 (ja) * 1998-07-24 2007-09-26 日本碍子株式会社 半導体保持装置、その製造方法およびその使用方法
US6280584B1 (en) * 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
KR100317829B1 (ko) * 1999-03-05 2001-12-22 윤종용 반도체 제조 공정설비용 열전냉각 온도조절장치
US6635580B1 (en) * 1999-04-01 2003-10-21 Taiwan Semiconductor Manufacturing Co. Ltd. Apparatus and method for controlling wafer temperature in a plasma etcher
US6184504B1 (en) * 1999-04-13 2001-02-06 Silicon Thermal, Inc. Temperature control system for electronic devices
US6179921B1 (en) * 1999-04-19 2001-01-30 Applied Materials, Inc. Backside gas delivery system for a semiconductor wafer processing system
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6461801B1 (en) * 1999-05-27 2002-10-08 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
US6474986B2 (en) * 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
JP3921913B2 (ja) 2000-03-13 2007-05-30 株式会社日立製作所 ウエハ処理装置およびウエハ製造方法
JP2001267403A (ja) 2000-03-21 2001-09-28 Nhk Spring Co Ltd 半導体ウェハの加熱/冷却装置
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
WO2002017384A1 (en) 2000-08-23 2002-02-28 Applied Materials, Inc. Electrostatic chuck temperature control method and system
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4593007B2 (ja) * 2001-05-23 2010-12-08 東京エレクトロン株式会社 載置装置
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09291366A (ja) * 1996-04-26 1997-11-11 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2001102435A (ja) * 1999-07-28 2001-04-13 Tokyo Electron Ltd 載置台構造及び処理装置
JP2002110774A (ja) * 2000-06-09 2002-04-12 Applied Materials Inc 全域温度制御静電チャック及びその製造方法
JP2002222799A (ja) * 2001-01-25 2002-08-09 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法および静電チャックの除電方法
JP2003174079A (ja) * 2001-12-04 2003-06-20 Toto Ltd 静電チャックモジュールおよび冷却システム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017506828A (ja) * 2014-02-12 2017-03-09 アクセリス テクノロジーズ, インコーポレイテッド 広温度範囲チャックに対する複数流体冷却システム

Also Published As

Publication number Publication date
KR20060025586A (ko) 2006-03-21
KR20120066683A (ko) 2012-06-22
US7993460B2 (en) 2011-08-09
EP1644962A2 (en) 2006-04-12
US20040261721A1 (en) 2004-12-30
WO2005006400A3 (en) 2006-04-13
WO2005006400A2 (en) 2005-01-20
JP2012099825A (ja) 2012-05-24
EP1644962A4 (en) 2010-11-17
JP5053632B2 (ja) 2012-10-17
US8747559B2 (en) 2014-06-10
TWI338931B (en) 2011-03-11
MY151676A (en) 2014-06-30
KR101476566B1 (ko) 2014-12-24
KR20130049819A (ko) 2013-05-14
US20110262315A1 (en) 2011-10-27
TW200507158A (en) 2005-02-16
CN1849697A (zh) 2006-10-18
CN100440422C (zh) 2008-12-03

Similar Documents

Publication Publication Date Title
JP5053632B2 (ja) 基板支持体、プラズマ処理装置及びプラズマ処理装置内の基板を熱的に制御する方法
US8921740B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
JP4745961B2 (ja) 温度制御された基板支持体表面を有する基板支持体及びその制御方法並びに半導体処理装置及びその方法
JP4970679B2 (ja) 温度均一性が改良されたプラズマ反応チャンバ構成部品及びそれを用いた処理方法
US6847014B1 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6394026B1 (en) Low contamination high density plasma etch chambers and methods for making the same
US6302966B1 (en) Temperature control system for plasma processing apparatus
JP2008522446A (ja) 空間温度分布の制御方法及び装置
JP2014222786A (ja) 温度制御式ホットエッジリング組立体
US20020007795A1 (en) Temperature control system for plasma processing apparatus
US20030089457A1 (en) Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
CN111276382A (zh) 等离子体处理设备和使用该设备制造半导体器件的方法
CN110867363A (zh) 等离子体处理装置
KR20210004056A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
KR20200139259A (ko) 접촉 저항을 낮추기 위한 기판 프로세싱을 위한 방법들, 장치들, 및 시스템들
KR20200005398A (ko) 정전 척 및 그를 포함하는 플라즈마 처리 장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110519

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110808

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120726

R150 Certificate of patent or registration of utility model

Ref document number: 5053632

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150803

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees