JP3448737B2 - ウエハーチャック用冷却板及びウエハーチャック - Google Patents

ウエハーチャック用冷却板及びウエハーチャック

Info

Publication number
JP3448737B2
JP3448737B2 JP2000154533A JP2000154533A JP3448737B2 JP 3448737 B2 JP3448737 B2 JP 3448737B2 JP 2000154533 A JP2000154533 A JP 2000154533A JP 2000154533 A JP2000154533 A JP 2000154533A JP 3448737 B2 JP3448737 B2 JP 3448737B2
Authority
JP
Japan
Prior art keywords
heat transfer
plate
outer peripheral
wafer chuck
transfer plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000154533A
Other languages
English (en)
Other versions
JP2001332608A (ja
Inventor
俊治 山田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Heavy Industries Ltd
Original Assignee
Sumitomo Heavy Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Heavy Industries Ltd filed Critical Sumitomo Heavy Industries Ltd
Priority to JP2000154533A priority Critical patent/JP3448737B2/ja
Priority to US09/795,494 priority patent/US6499533B2/en
Publication of JP2001332608A publication Critical patent/JP2001332608A/ja
Application granted granted Critical
Publication of JP3448737B2 publication Critical patent/JP3448737B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28DHEAT-EXCHANGE APPARATUS, NOT PROVIDED FOR IN ANOTHER SUBCLASS, IN WHICH THE HEAT-EXCHANGE MEDIA DO NOT COME INTO DIRECT CONTACT
    • F28D9/00Heat-exchange apparatus having stationary plate-like or laminated conduit assemblies for both heat-exchange media, the media being in contact with different sides of a conduit wall
    • F28D9/0012Heat-exchange apparatus having stationary plate-like or laminated conduit assemblies for both heat-exchange media, the media being in contact with different sides of a conduit wall the apparatus having an annular form
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F2250/00Arrangements for modifying the flow of the heat exchange media, e.g. flow guiding means; Particular flow patterns
    • F28F2250/10Particular pattern of flow of the heat exchange media
    • F28F2250/102Particular pattern of flow of the heat exchange media with change of flow direction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Thermal Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ウエハーチャック
に関し、特に、その冷却板に関する。
【0002】
【従来の技術】半導体製造技術の分野では、半導体ウエ
ハー(シリコンウエハー)上に半導体素子(IC等)を
製造した後、その半導体素子が、正常に動作するかどう
かを調べるために、電気的試験が行なわれる。
【0003】通常、この種の試験は、半導体ウエハーを
ウエハー(吸着)チャックと呼ばれる保持器に保持さ
せ、半導体素子が置かれることになるであろう使用環境
を模擬した状態(動作環境温度)を作り出して行なわれ
る。このような試験は、以前は、高温(室温から150
℃程度まで)で行なわれることが多かったが、最近で
は、低温(−10℃〜−50℃)で行なわれることも多
くなってきている。
【0004】高温での試験にも低温での試験にも対応で
きる、従来のウエハーチャックの分解斜視図を図6に示
す。また、そのようなウエハーチャックの断面構造図を
図7に示す。
【0005】図6に示すように、従来のウエハーチャッ
クは、半導体ウエハー61を吸着保持するための吸着板
62と、吸着板62を介して半導体ウエハー61を加熱
する電気ヒーター63と、電気ヒーター63及び吸着板
62を介して半導体ウエハー61を冷却する冷却板64
を有している。
【0006】吸着板62は、例えば厚さ12mm程度の
アルミニウム製円盤であって、その上面には、同心円状
の複数の溝65が形成されている。また、その内部に
は、図7に示すように、少なくとも1つの真空排気通路
71が形成されている。そして、この真空排気通路71
は、溝65の各々に連通している。この吸着板62の上
に半導体ウエハー61を載置し、真空排気通路71に続
く真空引き口66に接続された図示しない排気ポンプを
駆動して真空排気通路71内を排気すると、半導体ウエ
ハー61によってその上面を塞がれた溝65内の空気等
も排気され、その結果、半導体ウエハー61は、吸着板
62によって吸引保持される。なお、この吸着板62に
よる吸引は、例えば、−0.05MPa程度の吸引力
(圧力)で行なわれる。
【0007】電気ヒーター63は、厚み4mm程度の薄
い円盤状のヒーターであって、そのリード線67に電流
を流すことによって発熱し、吸着板62を加熱する。半
導体ウエハー61は薄く、熱容量が小さいので、その温
度は、吸着板62の温度が変化すると、直ちに吸着板6
2の温度にほぼ等しくなる。この電気ヒーター63は、
高温で試験を行なう場合に使用されるだけでなく、低温
で試験を行なう場合にもその温度を調節するために使用
される。
【0008】冷却板64は、図7に示すように、内部に
熱媒体液(冷却液)を流すための流路72(例えば、幅
5mm程度の溝あるいは直径5mm程度のきり穴)が形
成されており、図示ない冷凍機により冷却された熱媒体
液(例えば、アウジモント社製のガルデンや、3M社製
のフロリナート等)を流すことにより、ヒーター63を
介して吸着板62を冷却する。上述のように、半導体ウ
エハー61は、熱容量が小さいので、吸着板62の温度
が低下すると、その温度は、直ちに吸着板62とほぼ同
じ温度にまで下がる。この冷却板64は、低温で試験を
行なう場合に使用されるだけでなく、半導体素子を動作
させたときに大きな発熱があるような場合に、半導体素
子の過熱を防ぐ目的にも使用される。なお、図7では、
冷却板64の流路72が図の左側から右側に向かって真
っ直ぐに設けられているように描いているが、これは実
際のもの(及び図6のもの)とは異なる。実際には、冷
却板64の流路72は、例えば、図8乃至10に示すよ
うに、星型や、2重渦巻状、或いは蛇行状に形成され
る。
【0009】なお、上記ウエハーチャックは、吸着板6
2と冷却板64とを別体で備えているが、吸着板62内
部に熱媒体液を流すための流路を設け、吸着板62に冷
却板としての機能を備えさせたものある。
【0010】
【発明が解決しようとする課題】従来のウエハーチャッ
ク用冷却板は、1本(多くても数本)の流路に熱媒体液
を流すように構成されているため、伝熱面積が小さく、
熱媒体液から冷却板への熱伝達率も小さいという問題点
がある。
【0011】ここで、熱媒体液は、低温では粘性が大き
くなり、その流れが層流になる。このとき、熱媒体液か
ら冷却板への熱伝達率は、流路の直径(等価直径:溝の
幅と深さにより決まる)に反比例する。つまり、流路の
直径が大きい程、熱伝達率は小さくなる。また、流路の
直径が大きいと流路の全長を長くすることができないの
で、結果的に伝熱面積が小さくなる。従って、熱媒体液
の流量が一定であるとの前提で、より大きな熱伝達率を
達成するためには、流路の直径を小さくして熱媒体液の
流速を高め、熱媒体液から流路壁までの熱伝達距離を小
さくするとともに、流路の全長を長くして、伝熱面積を
大きくする必要がある。しかしながら、流路の直径を小
さくし、その全長を長くすると、熱媒体液の流量を一定
にするために、非常に大きな圧力を熱媒体液に加えなけ
ればならず(つまり、圧力損失が大きい)、耐圧の問題
等、別の問題が生じる。
【0012】また、従来のウエハーチャック用冷却板で
は、その表面(電気ヒーターに接する面)の温度分布が
均一にならないという問題点もある。
【0013】本発明は、伝熱面積が大きく、熱媒体液と
の間の熱伝達率も大きく、その表面の温度分布を均一に
できるウエハーチャック用冷却板を提供することを目的
とする。
【0014】
【課題を解決するための手段】本発明によれば、中央部
側から外周側にかけて放射状に形成された複数の流路を
それぞれ備えた2つの伝熱板を互いに重ね合わせるとと
もに、一方の伝熱板の前記複数の流路と他方の伝熱板の
前記複数の流路とを前記中央部側または前記外周側で互
いに連通させ、前記一方の伝熱板の前記複数の流路と前
記他方の伝熱板の前記複数の流路とを前記中央部側で互
いに連通させたときは、前記一方の伝熱板の前記複数の
流路を前記外周側から前記中央部側へと流れた前記熱媒
体液が前記他方の伝熱板の前記複数の流路を前記中央部
側から前記外周側へと連続的に流れるようにし、前記一
方の伝熱板の前記複数の流路と前記他方の伝熱板の前記
複数の流路とを前記外周側で互いに連通させたときは、
前記一方の伝熱板の前記複数の流路を前記中央部側から
前記外周側へと流れた熱媒体液が前記他方の伝熱板の前
記複数の流路を前記外周側から前記中央部側へと連続的
に流れるようにしたことを特徴とするウエハーチャック
用冷却板が得られる。
【0015】ここで、前記2つの伝熱板は、前記放射状
に形成された複数の流路の各々よりも大きな断面積を持
つよう当該伝熱板の外周側に周方向に沿って形成された
外周流路を有している。
【0016】また、前記2つの伝熱板は、銅、銅合金、
アルミニウム、またはアルミ合金のうちの何れかからな
る単数または複数の部材によって構成されている。
【0017】さらに、前記2つの伝熱板は、銅よりも機
械的強度が強い、例えばステンレス製のケースに収めら
れている。
【0018】
【発明の実施の形態】以下、図面を参照して本発明の実
施の形態について説明する。
【0019】図1に、本発明の第1の実施の形態による
ウエハーチャック用冷却板の分解斜視図を示す。この冷
却板10は、ケースを構成する下板11、側壁板12、
及び上板13と、このケース内に上下に重ねて収容さ
れ、熱媒体液(冷却液)との熱交換を行なう、ほぼ同一
構造の2つの伝熱板14,15を有している。
【0020】下板11、側壁板12、及び上板13は、
金属製で、各々2mm程度の厚みを有している。また、
側壁板12の外周面には、ケース内に熱媒体液を導入
し、また排出するための、熱媒体液出入口16が取り付
けられている。これら下板11、側壁板12、及び上板
13は、銅製でもよいが、それより機械的強度が強い
(熱に強い)ステンレスや、チタン等の方が望ましい。
【0021】伝熱板14は、熱伝導率の大きな金属材
料、例えば銅、銅合金、アルミニウム、あるいはアルミ
ニウム合金等からなる板状部材14a、多数の略扇形の
扇形部材14b、及び円柱部材14cを有している。詳
述すると、伝熱板14は、厚さ2mm程度の薄い金属円
板である板状部材14aの上面に、同じく厚さ2mm程
度の多数の扇形部材14bを、互いに僅な距離(0.5
〜1mm程度)を置いて円環状に並べ、そこにろう付け
または接着してある。ここで、扇形部材14bが形成す
る円環の外径は、板状部材14aの直径を200mmよ
り若干大きいものとすると(8インチ半導体ウエハーに
対応するものとすると)、それより24mm程度小さ
い。また、板状部材14aの中央部には、扇形部材14
bが形成する円環の内径が28mm程度であるとして、
厚み6mm程度、外径18mm程度の円柱部材14c
が、ろう付けまたは接着されている。
【0022】伝熱板15は、ほぼ伝熱板14同じ構成で
あるが、その中央に内径28mm程度の円孔を有し、円
柱部材を持たない点で異なっている。詳述すると、伝熱
板15は、厚さ2mm程度のドーナツ盤状の板状部材1
5aの上面に、伝熱板14と同様、厚さ2mm程度の多
数の扇形部材15bを、互いに僅な距離(0.5〜1m
m程度)を置いて円環状となるように並べ、そこにろう
付けまたは接着してある。
【0023】なお、伝熱板14,15は、それぞれ1つ
の厚みがある金属円盤を削るなどしても作製可能であ
る。
【0024】伝熱板14,15は、その中心軸が一致す
るように互いに重ねられ、ろう付けあるいは接着され、
上板11、側壁板12、及び上板13からなるケース内
に収容され、おきろう(金ろう)にて固定される。
【0025】図2に、伝熱板14,15をケースに収容
した状態(上板13を外した状態)を示す。図2に示す
ように、伝熱板15の扇形部材15bが形成する円環の
外周面と側壁板12の内周面との間には、比較的幅の広
いリング状の空間が形成される。この空間は、熱媒体液
が流れる(排出側)外周流路21となる。また、隣り合
う扇形部材15bの間に形成される幅0.5〜1mmの
空間は、放射状流路22となる。さらに、扇形部材15
bが形成する円環の内周面と円柱部材14cとの間に形
成される空間は、上下連絡流路23となる。なお、伝熱
板14に関しても、伝熱板15と重ねられてケース内に
収容されることで、(供給側)外周流路、放射状流路、
及び上下連絡流路(伝熱板15側の上下連絡流路23と
一体)が形成される。
【0026】図3に、図1に示す冷却板10を用いたウ
エハーチャックの断面図を示す。なお、ここでは、冷却
板10が単一部材からなるように描かれており、また、
2つの熱媒体液出入口16が上下に並んで配置されてい
るように描かれている点で、図1及び図2のものとは異
なっている。
【0027】図3のウエハーチャックは、冷却板10を
用いた点以外は、従来のウエハーチャック(図6及び7
参照)と同じである。即ち、上面に複数の同心円状溝6
5が形成され、これらの溝65に連通する真空排気通路
71が形成された、半導体ウエハー61を吸着保持する
ための吸着板62と、吸着板62の下面側に密着するよ
う取り付けられた電気ヒーター63と、電気ヒーター6
3の下面側に密着するよう取り付けられた冷却板10と
を備えている。
【0028】次に、このウエハーチャックの動作につい
て、図3に加え、図1及び図2をも参照して説明する。
【0029】熱媒体液出入口16の一方から冷却板10
に流入した熱媒体液は、下側の伝熱板14の(供給側)
外周流路31に流れ込む。低温の熱媒体液は粘度が大き
いので、幅の狭い放射状流路32に流れ込むよりも、比
較的幅の広い供給側外周流路31内を流れ易い。従っ
て、冷却板10に流入した熱媒体液は、まず伝熱板14
の供給側外周流路31内を満たし、その後、全ての放射
状流路32へと均等に流れ込む。放射状流路32に流れ
込んだ熱媒体液は、伝熱板14の中心部へと向かい、上
下連絡流路23へと流れ込む。さらに、熱媒体液は、上
下連絡流路23から、上側の伝熱板15の放射状流路2
2へと流れ込み、外周側へと流れ、排出側外周流路21
へと流れ込む。排出側外周流路21へと流れ込んだ熱媒
体液は、図2に矢印で示すように、他方の熱媒体液出入
口16へと向かい、そこから排出される。
【0030】以上のように、本実施の形態による冷却板
10では、多数の幅の狭い(等価直径の小さい)放射状
流路22,32を、熱媒体液が流れるようにしたので、
熱伝達率の向上、伝熱面積の向上、及び圧力損失の低下
を実現できる。例えば、放射状流路22,23の各々の
幅を1mmとすると、従来の5mm幅のもの(図8、図
9、または図10のもの)に比べ5倍程度の熱伝達率を
実現でき、また、伝熱面積も5倍程度を実現できる。そ
の結果、熱伝達能力は従来に比べ25倍に改善できる。
しかも、各放射状流路の長さが短いので、圧力損失は小
さい。
【0031】また、本実施の形態では、2枚の伝熱板1
4,15を上下に重ね、これらの伝熱板14,15(特
に、その放射状流路22,32)に流れる熱媒体液の流
動方向を互いに逆方向としたことで、冷却板10表面温
度をその中心部側と外周側とでほぼ等しくすることがで
きる。
【0032】図4に、上下の伝熱板14,15の各々に
ついて1本の放射状流路に着目し、そこを流れる熱媒体
液の温度及び冷却板10表面の温度と、冷却板10の中
心からの距離との関係を示す。図4に示すように、熱媒
体液は、供給側外周流路31で最も温度が低く、この供
給側外周流路31から上下連絡流路23へ向かって、放
射状流路32を流れるに従い、その温度は上昇する。ま
た、熱媒体液は、上下連絡流路23から排出側外周流路
21へ向かって放射状流路22を流れるに従い、その温
度が上昇する。熱媒体液から冷却板10の表面への熱伝
達量は、その温度差が大きいほど大きい(つまり、熱媒
体液の温度が低い方が大きい)が、冷却板10の表面温
度は、熱媒体液が供給側外周流路31から上下連絡流路
23へ向かうときの冷却能力と、上下連絡流路23から
排出側外周流路21へ向かうときの冷却能力との合計に
よって決まるので、図4に示すように半径方向に関して
ほぼ等しくなる。
【0033】なお、上記実施の形態では、熱媒体液出入
口16として、入口と出口を1つずつ設けた例について
説明したが、熱媒体液入口及び熱冷媒液出口をそれぞれ
複数設けるようにしてもよい。この場合、複数の入口及
び出口は、ケースの側壁板12に、周方向に沿って均等
に配置することが望ましい。
【0034】また、上記実施の形態では、熱媒体液が下
側の伝熱板14から上側の伝熱板15へと流れるように
したが、上側の伝熱板から下側の伝熱板14へと流れる
ようにしてもよい。
【0035】次に、図5を参照して、本発明の第2の実
施の形態によるウエハーチャック用冷却板について説明
する。
【0036】図5に示すように、本実施の形態による冷
却板50では、ケースを構成する下板の下面の中央部で
あって、少しばかり偏心した位置に熱媒体液出入口51
が設けられている。これに伴い、この冷却板50では、
図1の冷却板10とは異なる点がいくつかある。
【0037】まず、下側の伝熱板は、その中心部に円柱
部材が取り付けられておらず、板状部材の中心部には、
熱媒体液出入口51に繋がる2つの開口52が形成され
ている。また、上側の伝熱板の板状部材には、その中心
部に円孔が形成されておらず、熱媒体液出入口51の一
方に連通する連通管53が取り付けられている。この結
果、この冷却板50の中央部には、上下連絡通路23が
存在しない。
【0038】そして、上側の伝熱板の板状部材は、さら
に、その外周を扇形部材が形成する円環の外周と一致さ
せてある。この結果、上側の伝熱板の外周流路21と下
側の外周流路31とが連続する空間となり、これが上下
連絡通路となる。
【0039】図5の冷却板において、熱媒体液出入口5
1の一方から上側の伝熱板の中央部に流れ込んだ熱媒体
液は、上側の伝熱板の放射状流路22を外周へ向かって
流れ、外周流路21から下側の伝熱板の外周流路31へ
と流れ込む。下側の伝熱板の外周流路31へと流れ込ん
だ熱媒体液は、下側の伝熱板の放射状流路32へと流れ
込みその中央部へと向かって流れ、他方の熱媒体液出入
口51から排出される。
【0040】なお、本実施の形態では、熱媒体液が上側
の伝熱板から下側の伝熱板へと流れる場合について説明
したが、下側の伝熱板から上側の伝熱板へと流れるよう
にしてもよい。
【0041】本実施の形態においても、第1の実施の形
態と同様、熱伝達率の向上、伝熱面積の向上、及び圧力
損失の低下を実現できる。また、冷却板の表面温度をそ
の中心側と外周側とでほぼ等しくすることができる。
【0042】
【発明の効果】本発明によれば、熱媒体液が、幅の狭い
多数の放射状流路を流れるようにしたことで、熱伝達率
の向上、伝熱面積の向上、及び圧力損失の低下を実現す
ることができる。
【0043】また、本発明によれば、2枚の伝熱板を上
下に重ねて熱媒体液が流れる方向を互いに逆方向とした
ことで、冷却板の表面温度をその中心側と外周側とでほ
ぼ等しくすることができる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態のよるウエハーチャ
ック用冷却板の分解斜視図である。
【図2】図1のウエハーチャック用冷却板を上板を外し
た状態で上から見た図である。
【図3】図1の冷却板を用いたウエハーチャックの断面
構成図である。
【図4】図1の冷却板における、熱媒体液の温度及び冷
却板表面の温度と、冷却板の中心からの距離との関係を
示すグラフである。
【図5】本発明の第2の実施の形態によるウエハーチャ
ックの断面構造図である。
【図6】従来のウエハーチャックの構成を示すための分
解斜視図である。
【図7】従来のウエハーチャックの断面構造図である。
【図8】従来のウエハーチャックに使用される冷却板の
流路形状の一例を示す断面図である。
【図9】従来のウエハーチャックに使用される冷却板の
流路形状の他の例を示す断面図である。
【図10】従来のウエハーチャックに使用される冷却板
の流路形状のさらに別の一例を示す断面図である。
【符号の説明】
11 下板 12 側壁板 13 上板 14 伝熱板 14a 板状部材 14b 扇形部材 14c 円柱部材 15 伝熱板 15a 板状部材 15b 扇形部材 16 熱媒体液出入口 21 外周流路 22 放射状流路 23 上下連絡流路 31 (供給側)外周流路 32 放射状流路 50 冷却板 51 熱媒体液出入口 52 開口 53 連通管 61 半導体ウエハー 62 吸着板 63 電気ヒーター 64 冷却板 65 溝 66 真空引き口 67 リード線 71 真空排気通路 72 流路
フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/68 F25D 1/02 F25D 9/00 H01L 21/66

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】 中央部側から外周側にかけて放射状に形
    成された複数の流路をそれぞれ備えた2つの伝熱板を互
    いに重ね合わせるとともに、一方の伝熱板の前記複数の
    流路と他方の伝熱板の前記複数の流路とを前記中央部側
    または前記外周側で互いに連通させ、前記一方の伝熱板
    の前記複数の流路と前記他方の伝熱板の前記複数の流路
    とを前記中央部側で互いに連通させたときは、前記一方
    の伝熱板の前記複数の流路を前記外周側から前記中央部
    側へと流れた前記熱媒体液が前記他方の伝熱板の前記複
    数の流路を前記中央部側から前記外周側へと連続的に流
    れるようにし、前記一方の伝熱板の前記複数の流路と前
    記他方の伝熱板の前記複数の流路とを前記外周側で互い
    に連通させたときは、前記一方の伝熱板の前記複数の流
    路を前記中央部側から前記外周側へと流れた熱媒体液が
    前記他方の伝熱板の前記複数の流路を前記外周側から前
    記中央部側へと連続的に流れるようにしたことを特徴と
    するウエハーチャック用冷却板。
  2. 【請求項2】 前記2つの伝熱板が、それぞれ、前記
    射状に形成された複数の流路の各々よりも大きな断面積
    を持つよう当該伝熱板の外周側に周方向に沿って形成さ
    れた外周流路を有していることを特徴とする請求項1の
    ウエハーチャック用冷却板。
  3. 【請求項3】 前記2つの伝熱板が、銅、銅合金、アル
    ミニウム、またはアルミ合金のうちの何れかからなる単
    数または複数の部材によって構成されていることを特徴
    とする請求項1または2のウエハーチャック用冷却板。
  4. 【請求項4】 前記2つの伝熱板が、銅より機械的強度
    が強い金属製のケースに収められていることを特徴とす
    る請求項1,2、または3のウエハーチャック用冷却
    板。
  5. 【請求項5】 請求項1,2,3、または4のウエハー
    チャック用冷却板を備えたことを特徴とするウエハーチ
    ャック。
JP2000154533A 2000-05-25 2000-05-25 ウエハーチャック用冷却板及びウエハーチャック Expired - Fee Related JP3448737B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000154533A JP3448737B2 (ja) 2000-05-25 2000-05-25 ウエハーチャック用冷却板及びウエハーチャック
US09/795,494 US6499533B2 (en) 2000-05-25 2001-03-01 Cooling disk unit for use in a wafer chucking device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000154533A JP3448737B2 (ja) 2000-05-25 2000-05-25 ウエハーチャック用冷却板及びウエハーチャック

Publications (2)

Publication Number Publication Date
JP2001332608A JP2001332608A (ja) 2001-11-30
JP3448737B2 true JP3448737B2 (ja) 2003-09-22

Family

ID=18659611

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000154533A Expired - Fee Related JP3448737B2 (ja) 2000-05-25 2000-05-25 ウエハーチャック用冷却板及びウエハーチャック

Country Status (2)

Country Link
US (1) US6499533B2 (ja)
JP (1) JP3448737B2 (ja)

Families Citing this family (453)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003152376A (ja) * 2001-11-12 2003-05-23 Hitachi Ltd 電子装置
DE10201334A1 (de) * 2002-01-16 2003-08-14 Rofin Sinar Laser Gmbh Spiegel für einen Laserstrahl
JP3979143B2 (ja) * 2002-03-27 2007-09-19 株式会社日立製作所 情報処理装置の冷却装置
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
DK176137B1 (da) * 2003-10-27 2006-09-25 Danfoss Silicon Power Gmbh Flowfordelingsenhed og köleenhed med bypassflow
US6988543B2 (en) * 2004-03-22 2006-01-24 Intel Corporation Annular cold plate with reflexive channels
US20050225938A1 (en) * 2004-04-08 2005-10-13 Richard Montgomery Cold plate
US20060023395A1 (en) * 2004-07-30 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for temperature control of semiconductor wafers
CA2589959C (en) * 2004-12-14 2010-05-04 Comverge Inc. Hvac communication system
JP2006222214A (ja) * 2005-02-09 2006-08-24 Dainippon Screen Mfg Co Ltd 熱処理装置
DE102005017452B4 (de) * 2005-04-15 2008-01-31 INSTITUT FüR MIKROTECHNIK MAINZ GMBH Mikroverdampfer
US20060242967A1 (en) * 2005-04-28 2006-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Termoelectric heating and cooling apparatus for semiconductor processing
TWI262761B (en) * 2005-05-27 2006-09-21 Foxconn Tech Co Ltd Liquid cooling apparatus
KR100676203B1 (ko) * 2005-06-21 2007-01-30 삼성전자주식회사 반도체 설비용 정전 척의 냉각 장치
WO2007030471A2 (en) * 2005-09-07 2007-03-15 Comverge, Inc. Method and system for local load control
WO2007030470A2 (en) * 2005-09-07 2007-03-15 Comverge, Inc. Local power consumption load control
US7593096B2 (en) * 2006-05-15 2009-09-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100841340B1 (ko) * 2007-01-26 2008-06-26 세메스 주식회사 기판 베이크 장치
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP2011508436A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 基板の温度を制御するための方法及び装置
US20090216382A1 (en) * 2008-02-26 2009-08-27 Howard Ng Direct Load Control System and Method with Comfort Temperature Setting
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4811881B2 (ja) * 2009-03-18 2011-11-09 東京エレクトロン株式会社 基板熱処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011151344A (ja) * 2009-12-21 2011-08-04 Showa Denko Kk Cvd装置用ウェハトレイ、cvd装置用加熱ユニット及びcvd装置。
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014116392A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Electrostatic chuck with concentric cooling base
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015090753A1 (en) 2013-12-20 2015-06-25 Asml Netherlands B.V. System for positioning an object in lithography
US9847240B2 (en) * 2014-02-12 2017-12-19 Axcelis Technologies, Inc. Constant mass flow multi-level coolant path electrostatic chuck
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102019573B1 (ko) * 2014-10-30 2019-09-06 도쿄엘렉트론가부시키가이샤 기판 적재대
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
FR3047549B1 (fr) * 2016-02-09 2019-05-10 Sermeta Deflecteur pour echangeur de chaleur a condensation et echangeur muni d'un tel deflecteur
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20190077117A (ko) 2016-11-21 2019-07-02 어플라이드 머티어리얼스, 인코포레이티드 효율적인 가스 분배 조립체 냉각을 위한 동심형 또는 나선형 채널을 갖는 2구역 유동 냉각 플레이트 설계
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6982394B2 (ja) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 被加工物の処理装置、及び載置台
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
JP6832804B2 (ja) * 2017-07-20 2021-02-24 東京エレクトロン株式会社 基板載置台及び基板検査装置
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112917108B (zh) * 2021-03-15 2022-05-27 宁波江丰电子材料股份有限公司 一种冷却盘体及其加工方法和用途
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116978825B (zh) * 2023-07-31 2024-03-22 宇弘研科技(苏州)有限公司 一种半导体复合冷却盘结构及半导体轨道

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH486651A (de) * 1969-02-25 1970-02-28 Fischer Ag Georg Keilriemen-Drehzahlwandler
US3923383A (en) * 1974-06-12 1975-12-02 Caterpillar Tractor Co Fluid-cooled laser mirror
US4006972A (en) * 1975-05-12 1977-02-08 United Technologies Corporation Laser mirror coolant pressure balance means
CA1084424A (en) * 1977-11-01 1980-08-26 Peter S. Winckler Retarder
US4535840A (en) * 1979-10-01 1985-08-20 Rockwell International Corporation Internally manifolded unibody plate for a plate/fin-type heat exchanger
US4489570A (en) * 1982-12-01 1984-12-25 The Board Of Trustees Of The Leland Stanford Junior University Fast cooldown miniature refrigerators
US4628991A (en) * 1984-11-26 1986-12-16 Trilogy Computer Development Partners, Ltd. Wafer scale integrated circuit testing chuck
US5365400A (en) * 1988-09-09 1994-11-15 Hitachi, Ltd. Heat sinks and semiconductor cooling device using the heat sinks
US5005640A (en) * 1989-06-05 1991-04-09 Mcdonnell Douglas Corporation Isothermal multi-passage cooler
FR2701554B1 (fr) * 1993-02-12 1995-05-12 Transcal Echangeur de chaleur pour composants électroniques et appareillages électro-techniques.

Also Published As

Publication number Publication date
JP2001332608A (ja) 2001-11-30
US20010045269A1 (en) 2001-11-29
US6499533B2 (en) 2002-12-31

Similar Documents

Publication Publication Date Title
JP3448737B2 (ja) ウエハーチャック用冷却板及びウエハーチャック
US4561040A (en) Cooling system for VLSI circuit chips
US7248456B2 (en) Electrostatic chuck
US7156159B2 (en) Multi-level microchannel heat exchangers
US20050200001A1 (en) Method and apparatus for a layered thermal management arrangement
US7988062B2 (en) Temperature control device for target substrate, temperature control method and plasma processing apparatus including same
JP6050617B2 (ja) 電源モジュール用冷却装置及びそれに関連する方法
JP5901153B2 (ja) 半導体デバイスの冷却装置
US20070000644A1 (en) Microchannel cooling device for small heat sources
US20070110592A1 (en) Integrated liquid cooling system
JPH0831755A (ja) 処理装置
WO2006017301A2 (en) Micro-channel heat sink
CN104167399A (zh) 错位复杂微通道微型换热器
CN101814470A (zh) 用于电子封装器件的微通道热沉
US20020144809A1 (en) Laminated heat transfer device and method of producing thereof
US20020195231A1 (en) Laminated heat transfer device and method of producing thereof
JP2018519661A (ja) 高熱伝導率ウェハー支持ペデスタル装置
US20050224212A1 (en) Diffusion bonded wire mesh heat sink
JP3727049B2 (ja) ウエハーチャック用冷却又は加熱板及びウエハーチャック
JP2960645B2 (ja) セラミックスヒータ及びその製造方法
JP2008530482A (ja) 熱交換器製造方法、マイクロ熱交換器製造方法及びマイクロ熱交換器
KR102510626B1 (ko) 열 관리 시스템
JP3729722B2 (ja) ウエハーチャック用冷却又は加熱板及びウエハーチャック
CN218610504U (zh) 测试台及测试设备
JP3448740B2 (ja) ウエハーチャック用冷却/加熱板及びウエハーチャック

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030611

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080711

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080711

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080711

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090711

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090711

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100711

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees