JP2006307158A - 残留物除去のための組成物と方法及びパターン画定方法 - Google Patents

残留物除去のための組成物と方法及びパターン画定方法 Download PDF

Info

Publication number
JP2006307158A
JP2006307158A JP2006027278A JP2006027278A JP2006307158A JP 2006307158 A JP2006307158 A JP 2006307158A JP 2006027278 A JP2006027278 A JP 2006027278A JP 2006027278 A JP2006027278 A JP 2006027278A JP 2006307158 A JP2006307158 A JP 2006307158A
Authority
JP
Japan
Prior art keywords
acid
composition
fluoride
buffer solution
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006027278A
Other languages
English (en)
Inventor
Roberto J Rovito
ジョン ロビト ロベルト
Aiping Wu
ウ アイピン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/050,562 external-priority patent/US7682458B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2006307158A publication Critical patent/JP2006307158A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B51/00Tools for drilling machines
    • B23B51/02Twist drills
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B2251/00Details of tools for drilling machines
    • B23B2251/04Angles, e.g. cutting angles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B51/00Tools for drilling machines
    • B23B51/011Micro drills
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0044Mechanical working of the substrate, e.g. drilling or punching
    • H05K3/0047Drilling of holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】残留物、例えば灰化されたフォトレジスト及び/又は加工処理残留物などを選択的に除去するための組成物及び方法を提供する。
【解決手段】1つの側面においては、残留物を除去する構成ための、約2〜約9の範囲にわたるpHを有する組成物であって、有機酸及び当該有機酸の共役塩基を10:1から1:10までの範囲にわたる酸対塩基のモル比で緩衝溶液、フッ化物、及び水を含むが、添加された有機溶媒は実質的に含まない残留物除去組成物である。別の側面においては、組成物は更に、腐食防止剤及び/又は界面活性剤を含むことができる。
【選択図】なし

Description

マイクロエレクトロニクス構造の製作には多くの工程が関係する。集積回路を製作する製造スキームでは、半導体の種々の表面の選択的エッチングが時により必要とされる。歴史的には、材料を選択的に除去するための多数の非常に様々なタイプのエッチングプロセスが、程度の差はあれうまく使用されてきた。更に、マイクロエレクトロニクス構造中の異なる層の選択的エッチングは、集積回路製作プロセスにおける重要な工程であると考えられる。
半導体及び半導体超小型回路の製造においては、ポリマー有機物質で基材材料を被覆することがしばしば必要である。一部の基材材料の例としては、アルミニウム、チタン、銅、二酸化シリコンで被覆したシリコンウエハ(任意的にアルミニウム、チタン又は銅等の金属元素を有する)、などが挙げられる。一般に、ポリマー有機物質はフォトレジスト材料である。これは、光に暴露後現像するとエッチマスクを形成する材料である。その後の加工処理工程において、少なくとも一部のフォトレジストは基材の表面から除去される。基材からフォトレジストを除去する1つの通常の方法は、湿式の化学手段による。基材からフォトレジストを除去するために処方された湿式化学組成物は、いかなる金属回路の表面をも腐食せず、溶解せず及び/又はだれさせずに、無機基材を化学的に変化させずに、及び/又は基材自体を攻撃せずに、そのようにしなければならない。フォトレジストを除去するもう一つの方法は、酸素かあるいは成形用気体、例えば水素などを使用するプラズマアッシングによりフォトレジストを除去するドライアッシュ法による。残留物又は副生物は、フォトレジスト自体であるか、あるいはフォトレジスト、下地基材及び/又はエッチガスの組み合わせであることができる。これらの残留物又は副生物はしばしば、側壁ポリマー、ベール又はフェンスと称される。
多くの場合、プラズマアッシュ法は残留物又は副生物を残す。反応性イオンエッチング(RIE)は、ますます、ビア、金属ライン及びトレンチを形成する際のパターン転写のための最適な方法になっている。例えば、複合半導体デバイス、例として相互連結配線のバックエンドの多重層を必要とする先進型のDRAM及びマイクロプロセッサなどは、ビア、金属ライン及びトレンチ構造を製造するのにRIEを使用する。ビアは、層間絶縁膜を通して、シリコン、シリサイド又は金属配線の1つのレベルと次の配線レベルとを接続するのに用いられる。金属ラインは、デバイスの相互配線として使用される導電性構造体である。トレンチ構造は、金属ライン構造体の形成において使用される。ビア、金属ライン及びトレンチ構造は一般的に、金属や合金、例えばAl、Al及びCu合金、Cu、Ti、TiN、Ta、TaN、W、TiW、シリコン又はシリサイド、例えばタングステン、チタンもしくはコバルトのシリサイド、などを露出させる。RIE法は一般に、リソグラフィーでビア、金属ライン及び/又はトレンチ構造を画定するのに使用される再スパッタ酸化物材料、フォトレジストからの有機物質、及び/又は反射防止コーティング材料を含むことができる残留物又は複合混合物を残す。
従って、例えば残存するフォトレジスト及び/又は加工処理残留物、例としてプラズマ及び/又はRIEを使用する選択的エッチングから生じる残留物、などの残留物を除去することができる、選択的クリーニング組成物及び方法を提供することが望ましい。更には、クリーニング組成物に暴露されることもあり得る金属、高誘電率材料(ここでは「高−k」と称される)、シリコン、シリサイド及び/又は、被着酸化物などのような低誘電率材料(ここでは「低−k」と称される)を包含する層間絶縁膜材料と比べて、残留物、例えばフォトレジスト及びエッチング残留物などに対して高い選択性を示し、当該残留物を除去することができる、選択的クリーニング組成物及び方法を提供することが望ましい。HSQ、MSQ、FOx、ブラックダイアモンド及びTEOS(テトラエチルシリケート)のような敏感な低−k膜との相性がよく、且つ共に使用することができる組成物を提供することが望ましい。
ここに開示される組成物は、基材から残留物、例えば加工処理残留物などを、当該組成物に暴露されることもある金属、低−k誘電体及び/又は高−k誘電体材料を不所望なほど攻撃することなく、選択的に除去することができる。1つの側面においては、残留物を除去するための、約2〜約9の範囲にわたるpHを有する組成物であって、有機酸及び当該有機酸の共役塩基を10:1〜1:10の範囲にわたる酸対塩基のモル比で含む緩衝溶液と、フッ化物と、そして水とを含み、但し添加された有機溶媒は実質的に含まない組成物が提供される。別の側面においては、組成物は更に、腐食防止剤及び/又は界面活性剤を含むことができる。
同じくここに開示されるのは、パターン化した基材から灰化フォトレジスト及び/又は加工処理残留物を包含する残留物を除去するための方法であって、物品を先に開示された組成物と接触させることを含む方法である。
例えば灰化したフォトレジスト及び/又は加工処理残留物などの残留物を選択的に除去するための組成物と方法がここで開示される。物品、例えばマイクロエレクトロニクスデバイスのために有用な基材などに関係するクリーニング法においては、除去されるべき典型的汚染物質として、例えば有機化合物、例として露光し灰化されたフォトレジスト材料、灰化されたフォトレジスト残留物、紫外線もしくはX線硬化されたフォトレジスト、C−F含有ポリマー、低及び高分子量ポリマー、及び他の有機エッチ残留物や、無機化合物、例として金属酸化物、化学機械的平坦化(CMP)スラリーからのセラミック粒子、及び他の無機エッチ残留物や、金属含有化合物、例として有機金属残留物及び有機金属化合物や、イオン性及び中性の、軽質及び重質無機(金属)種、水分、及び不溶性物質(平坦化及びエッチングプロセスなどのような加工処理により発生する粒子を含む)、を挙げることができる。1つの特定の態様においては、除去される残留物は、例えば反応性イオンエッチングにより作られものなどの、加工処理残留物である。
更には、灰化フォトレジスト及び/又は加工処理残留物は一般に、金属、シリコン、シリケート及び/又は層間絶縁膜材料、例えば堆積させたシリコン酸化物や誘導されたシリコン酸化物、例としてHSQ、MSQ、FOX、TEOS及びスピンオンガラスなど、及び/又は高−k材料、例としてケイ酸ハフニウム、酸化ハフニウム、バリウムストロンチウムチタン(BST)、Ta25及びTiO2など、をも含む物品中に存在し、ここではフォトレジスト及び/又は残留物も、金属、シリコン、シリサイド、層間絶縁膜材料及び/又は高−k材料も、クリーニング組成物と接触する。そのほかに、ここに開示される組成物は、酸化シリコンなどの特定の誘電材料の最小のエッチング速度を示すことができる。ここに開示される組成物及び方法は、金属、シリコン、二酸化シリコン、層間絶縁膜材料、及び/又は高−k材料を有意に攻撃することなく残留物を選択的に除去するようにする。1つの態様においては、ここに開示される組成物は、敏感な低k−膜を含む構造に好適であることができる。一部の態様においては、基材は、金属、例えば銅、銅合金、チタン、窒化チタン、タンタル、窒化タンタル、タングステン及びチタン/タングステンなどを含むことができるが、金属はこれらに限定されるものではない。
ここに開示される組成物は、緩衝溶液、フッ化物及び水を含む。一部の態様においては、組成物は、添加された溶媒を実質的に含まず、すなわち2重量%以下、又は1重量%以下含有する。一部の態様においては、組成物は、約2〜約9の範囲のpHに調整され、そして腐食防止剤、及び灰化されたフォトレジスト及び/又は加工処理残留物を除去するための組成物において典型的に使用される他の添加剤を任意的に含む。1つの特定の態様においては、組成物は、2〜9の範囲のpHを有する組成物を得るのに必要な量の緩衝溶液、80重量%以上の水、0.001重量%〜10重量%のフッ化物、及び15重量%までの任意的な腐食防止剤から構成される。
前述のように、ここに記載される組成物は緩衝溶液を含む。ここで使用される「緩衝溶液」というのは、少量の酸又は塩基を組成物へ添加した結果としてpHが変化するのに抵抗する溶液である。ここに開示される組成物に添加されると、緩衝溶液は、敏感な金属、例えばタングステン、銅、チタン等の腐食を最小にするように調整されたpHを有する緩衝組成物を提供する。緩衝溶液は、組成物のための所望のpH範囲を得るのに必要な量で添加される。緩衝溶液のここに開示される組成物への添加は、水での希釈又は塩基もしくは酸による汚染に起因するpHの変動を防ぐ。
組成物にそのような緩衝効果をもたらすための緩衝溶液中の酸対その共役塩基のモル比は、10:1から1:10までの範囲にあるか、又は実質的に1:1(すなわち等モル濃度)である。緩衝溶液のモル比は、組成物の所望のpH範囲を得るために必要に応じて調整される。緩衝溶液は一般的には弱酸と考えられ、酸又は塩基に対する最も広い緩衝範囲は、弱酸基のpKaのいずれの側でも約1pH単位である。緩衝液のためのpHの設定は、酸と当該酸についての共役塩基(あるいは一部の態様ではプロトン化された塩基)の酸対塩基モル比を10:1〜1:10の範囲、又は実質的に1:1とし、所望のpH範囲にとって適切なpKaにすることによって行うことができる。
緩衝溶液は、有機酸及びその共役塩基を含む。典型的な有機酸としては、酢酸、リン酸及び安息香酸が挙げられる。一部の態様においては、緩衝溶液中の有機酸は、腐食防止剤及び/又はキレート化剤として組成物中に存在することもできる。典型的な共役塩基としては、アンモニウム塩及びアミン塩が挙げられる。共役塩基の更なる例としては、ヒドロキシルアミン、有機アミン、例えば1級、2級又は3級脂肪族アミン、脂環式アミン、芳香族アミン及び複素環式アミンなど、アンモニア水、及び低級アルキル4級アンモニウム水酸化物が挙げられる。ヒドロキシルアミンの具体的な例としては、ヒドロキシルアミン(NH2OH)、N−メチルヒドロキシルアミン、N,N−ジメチルヒドロキシルアミン及びN,N−ジエチルヒドロキシルアミンが挙げられる。1級脂肪族アミンの具体的な例としては、モノエタノールアミン、エチレンジアミン及び2−(2−アミノエチルアミノ)エタノールが挙げられる。2級脂肪族アミンの具体的な例としては、ジエタノールアミン、N−メチルアミノエタノール、ジプロピルアミン及び2−エチルアミノエタノールが挙げられる。3級脂肪族アミンの具体的な例としては、ジメチルアミノエタノール及びエチルジエタノールアミンが挙げられる。脂環式アミンの具体的な例としては、シクロヘキシルアミン及びジシクロヘキシルアミンが挙げられる。芳香族アミンの具体的な例としては、ベンジルアミン、ジベンジルアミン及びN−メチルベンジルアミンが挙げられる。複素環式アミンの具体的な例としては、ピロール、ピロリジン、ピロリドン、ピリジン、モルホリン、ピラジン、ピペリジン、N−ヒドロキシエチルピペリジン、オキサゾール及びチアゾールが挙げられる。低級アルキル4級アンモニウム塩の具体的な例としては、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム、水酸化テトラプロピルアンモニウム、水酸化トリメチルエチルアンモニウム、水酸化(2−ヒドロキシエチル)トリメチルアンモニウム、水酸化(2−ヒドロキシエチル)トリエチルアンモニウム、水酸化(2−ヒドロキシエチル)トリプロピルアンモニウム及び水酸化(1−ヒドロキシプロピル)トリメチルアンモニウムが挙げられる。これらの塩基の中で、アンモニア水、モノエタノールアミン、N−メチルアミノエタノール、水酸化テトラメチルアンモニウム及び水酸化(2−ヒドロキシエチル)トリメチルアンモニウムが、入手可能性及び安全性の見地から好ましい。共役塩基は、単独で、又は互いに組み合せて使用することができる。
典型的な緩衝溶液としては、酢酸/酢酸塩、安息香酸/安息香酸塩、及びフェノール酸/フェノール酸塩を挙げることができる。1つの態様においては、緩衝溶液は酢酸アンモニウムと酢酸の水性溶液である。この特定の態様では、組成物に添加される酢酸アンモニウムの量は、約1〜約10重量%又は約2〜約8重量%の範囲であることができ、組成物に添加される酢酸の量は、約0.1〜約10重量%又は約0.1〜約5重量%の範囲であることができる。更に別の態様においては、緩衝溶液は安息香酸及び安息鉱酸アンモニウムである。
一部の態様においては、約2〜約9の範囲のpH、又は約3〜約7の範囲のpH、又は約5〜約6の範囲のpHが、最も敏感な金属が最小限の腐食で不活性化するのを可能にする。一部の態様において、無機エッチング残留物及び酸化物スキミングの除去のために使用される組成物は、少し酸性のpH(すなわち5〜6の範囲)を必要とすることがある。別の態様においては、組成物のpHは、エッチング残留物を除きそして金属を不活性化するために約2〜約7に調整することができる。
ここに記載される組成物中にはフッ化物が存在する。フッ素含有化合物としては、一般式R1234NF(ここで、R1、R2、R3及びR4は独立して、水素、アルコール基、アルコキシ基、アルキル基又はそれらの混合物である)のものが挙げられる。そのような化合物の例としては、フッ化アンモニウム、フッ化テトラメチルアンモニウム、フッ化テトラエチルアンモニウム、フッ化テトラブチルアンモニウム、フッ化コリン、及びそれらの混合物が挙げられる。フッ化物のなお更なる例としては、フルオロホウ酸、フッ化水素酸、及びフッ化コリンが挙げられる。フッ化物は好ましくは、0.001〜10重量%又は0.1〜5重量%の量で存在する。一部の態様においては、フッ化物はフッ化物塩、例えばフッ化アンモニウムなどの形態で組成物に添加される。この態様では、フッ化アンモニウムは40%水性溶液として商業的に入手することができる。
前述のように、ここに開示される組成物には水も存在する。それは、他の構成成分、例えばフッ化アンモニウム水溶液又は水性緩衝溶液の成分として付随的に存在することができ、あるいはそれを別個に添加することができる。水のいくつかの限定されない例としては、脱イオン水、超純水、蒸留水、二度蒸留水、又は低金属含量の脱イオン水が挙げられる。好ましくは、水は約80重量%以上、又は約85重量%以上、又は約90重量%以上の量で存在する。
ここに開示の組成物はまた、約15重量%まで、又は約0.2〜約10重量%の腐食防止剤を任意的に含むこともできる。同様の用途のために当該技術分野で知られた任意の腐食防止剤、例えば米国特許第5417877号明細書(これは参照によりここに組み入れられる)に開示されたものなど、を使用することができる。腐食防止剤は、例えば有機酸、有機酸塩、フェノール、トリアゾール、ヒドロキシルアミン又はその酸塩であることができる。特定の腐食防止剤の例としては、クエン酸、アントラニル酸、没食子酸、安息香酸、イソフタル酸、マレイン酸、フマル酸、D,L−リンゴ酸、マロン酸、フタル酸、無水マレイン酸、無水フタル酸、ベンゾトリアゾール(BZT)、レソルシノール、カルボキシベンゾトリアゾール、ジエチルヒドロキシルアミン並びにその乳酸塩及びクエン酸塩、等が挙げられる。使用することができる腐食防止剤の更なる例としては、カテコール、ピロガロール、及び没食子酸のエステルが挙げられる。使用することができる特定のヒドロキシルアミンとしては、ジエチルヒドロキシルアミン並びにその乳酸塩及びクエン酸塩が挙げられる。好適な腐食防止剤のなお他の例としては、フルクトース、チオ硫酸アンモニウム、グリシン、乳酸、テトラメチルグアニジン、イミノ二酢酸、及びジメチルアセトアセトアミドが挙げられる。一部の態様においては、腐食防止剤は、約4〜約7の範囲のpHを有する弱酸を含むことができる。弱酸の例としては、トリヒドロキシベンゼン、ジヒドロキシベンゼン、及び/又はサリチルヒドロキサム酸が挙げられる。腐食防止剤が有機酸である態様においては、有機酸は緩衝溶液において使用されるものと同じでもよい。
組成物はまた、1種以上の次の添加剤、すなわち、界面活性剤、キレート化剤、化学変性剤、染料、殺生物剤及び他の添加剤を含むこともできる。添加剤は、組成物のpH範囲に悪影響を与えない程度まで添加することができる。代表的な添加剤の一部の例としては、アセチレン列アルコールとそれらの誘導体、アセチレン列ジオール(非イオン性アルコキシル化及び/又は自己乳化性のアセチレン列ジオール界面活性剤)とそれらの誘導体、アルコール、4級アミン及びジアミン、アミド(ジメチルホルムアミド及びジメチルアセトアミドなどのような非プロトン性溶媒を含む)、アルキルアルカノールアミン(例えばジエタノールエチルアミンなど)、及びキレート化剤、例えばβ−ジケトン、β−ケトイミン、カルボン酸、リンゴ酸及び酒石酸に基づくエステル及びジエステル及びそれらの誘導体、そして3級アミン、ジアミン及びトリアミンが挙げられる。一部の態様においては、緩衝溶液でもって組成物に添加することができるカルボン酸は、組成物中でキレート化剤として働くこともできる。具体的なアセチレン列ジオールとしては、米国ペンシルバニア州Allentownのエア・プロダクツ・アンド・ケミカルズ・インコーポレイテッドから入手可能なSurfynol 465界面活性剤が挙げられる。Surfynol 465は、10個のエチレンオキシド単位でエトキシル化された2,4,7,9−テトラメチル−5−デシン−4,7−ジオールである。米国特許第6717019号明細書の9欄46行を参照されたい。
ここに記載される組成物を用いて除去される物質としては、灰化されたフォトレジストと、側壁ポリマー、ベール、フェンスエッチ残留物、アッシュ残留物等のような名称によって当該技術分野で知られる加工処理残留物が挙げられる。一部の好ましい態様においては、フォトレジストは、露光、現像、エッチング、及び灰化されてから、ここに記載される組成物と接触する。ここに開示される組成物は、例えばHSQ(FOx)、MSQ、SiLK等の低−k膜との相性がよい。この配合物はまた、ポジ型及びネガ型フォトレジストを含めて灰化されたフォトレジスト、及びプラズマエッチ残留物、例えば有機残留物、有機金属残留物、無機残留物、金属酸化物、又はフォトレジスト複合体などを、タングステン、銅、チタン含有物質の腐食を非常に少なくして低温で取り除くのにも有効である。更に、組成物は種々の高誘電率物質とも相性がよい。
フォトレジスト層は、製造プロセス中に基材上にコーティングされる。フォトリソグラフィー法を使用して、フォトレジスト層にパターンが画定される。パターン化したフォトレジスト層はこうしてプラズマエッチに供され、それによってパターンが基材に転写される。このエッチ工程においてエッチ残留物が生じる。パターン化した基材は次に灰化されて、残留物を生じさせる。基材が灰化される場合、取り除くべき主な残留物はエッチング剤残留物である。
ここに記載される方法は、膜又は残留物として存在する、有機もしくは金属−有機ポリマー、無機塩、酸化物、水酸化物又はそれらの複合物もしくは組合せを有する基材を、ここに記載された組成物と接触させることによって実施することができる。実際の条件、例えば温度、時間等は、除去しようとする物質の性質及び厚みに依存する。一般には、基材は、20〜80℃、又は20〜60℃、又は20〜40℃の範囲の温度で、組成物と接触させるか又はそれを入れた容器に浸漬される。基材を組成物にさらす一般的な時間は、例えば0.1〜60分、又は1〜30分、又は1〜15分の範囲でよい。組成物と接触後、基材をすすいでから乾燥させることができる。乾燥は一般に、不活性雰囲気下で行なわれる。一部の態様においては、脱イオン水のリンス液又は他の添加剤を含む脱イオン水含有のリンス液を、基材をここに記載される組成物と接触させる前、接触中及び/又は接触後に使用することができる。
ここに開示される組成物及び方法を更に説明するために、以下の例を提供する。種々の典型的な組成物及び比較の組成物の例と、各組成物のpHレベルを、表Iに示す。表Iにおいて、全ての量は重量%で示され、合計すると100重量%になる。ここに開示される組成物を、全ての固形物が溶けるまで成分を室温の容器中で一緒に混合して調製した。以下の例においては、pHの測定を5%水溶液を用いて周囲温度で行なった。基材にポジ型レジストを塗布し、これを現像し、エッチングし、灰化してから組成物に暴露した。他に記載がなければ、ウエハはパターン化した銅層を有していた。以下の表において、「N.T.」は試験しなかったことを示す。
クリーニングデータの要約を、暴露温度及び時間と一緒に表IIに提示する。この処理においては、1個以上の試験ウエハを、400mlの各典型的組成物が入った600ミリリットル(ml)のビーカーに入れた。この600mlビーカーの中には更に、400rpmで回転する1”撹拌棒が含まれていた。次に、ウエハが入った典型的組成物を、表IIに提示した時間及び温度で加熱した。典型的組成物への暴露後、ウエハを脱イオン水ですすぎ、窒素ガスで乾燥させた。ウエハを割って端面を出し、次いでウエハの種々の所定の位置で走査電子顕微鏡(SEM)を用いて調査を行い、結果を視覚により判断して、以下のやり方でコード化した。すなわち、「+++」は優れていることを示し、「++」は良好であることを示し、「+」はかなり良好であることを示し、「−」は不良であることを示す。表IIに提示された結果のうちの一部は、パターン化した銅層を示す事前の割る作業を行うのが困難なため得られなかった(N/A)。
エッチング速度(ER)の要約を表IIIに提示する。下記のエッチング速度の全てにおいては、測定は、5分、10分、20分、40分及び60分の暴露で行なった。厚みの測定値を各時間間隔で測定し、各典型的組成物の結果について「最小二乗法」モデルを用いてグラフにした。各組成物の「最小二乗法」モデルの計算された勾配が、オングストローム/分(Å/分)で提示される結果のエッチング速度である。金属のエッチング速度を測定する際には、ウエハはその上に被着した既知の厚みのブランカー層を有していた。ウエハの最初の厚みは、CDE ResMap 273の4点プローブを用いて測定した。最初の厚みを測定後、試験ウエハを典型的組成物中に浸漬した。5分後、試験ウエハを試験溶液から取り出し、脱イオン水で3分間すすぎ、そして窒素下で完全に乾燥させた。各ウエハの厚みを測定し、必要なら試験ウエハについてこの手順を繰り返した。
酸化物のエッチング速度は、二酸化シリコンの層を有する基材から得た。Nanospec AFT 181を用いて酸化物のエッチング速度を測定した。200mlの所定量の試験溶液を撹拌しながら250mlのビーカーに入れ、必要な場合規定の温度に加熱した。試験しようとするウエハのそれぞれに3個の円を刻みつけた。各ウエハのこの印を付けた領域が、測定を行なう領域であった。各ウエハの最初の測定を行なった。最初の測定後、ウエハを試験溶液中に5分間浸漬した。溶液の入ったビーカーにウエハを1枚だけ入れる場合には、ダミーウエハをビーカーに入れた。5分後、試験ウエハを脱イオン水で3分間洗浄し、窒素下で乾燥させた。各ウエハの円を刻みつけた領域の測定を行い、必要ならこの手順を繰り返した。
CORAL(商標)の有機シリケート膜を被着したシリコンウエハを用いて、CORAL(商標)のエッチング速度試験を行った。CORAL(商標)のエッチング速度は、酸化物のエッチング速度を得るための上述のNanospec AFTと同様のやり方で操作される偏光解析器により得られた。
Figure 2006307158
Figure 2006307158
Figure 2006307158
例1の配合物を、腐食防止剤としてクエン酸及び非イオン性アセチレン列ジオール界面活性剤としてSurfynol 465を含むよう変更した。この配合物を、例13として下記の表IVで報告する。例13のエッチング速度を、表Vで報告されるように、種々の金属及び誘電体物質について例1と比較した。例13は、試験したほとんどの基材について同等のエッチング速度を示し、チタンについてエッチング回避の改善を示した。表Vのデータを得るための手法は、上記の表IIIのデータについて説明したものと同様であった。
Figure 2006307158
Figure 2006307158

Claims (15)

  1. 残留物を除去するための、約2〜約9の範囲にわたるpHを有する組成物であって、
    有機酸及び当該有機酸の共役塩基を、10:1から1:10までの範囲にわたる酸対塩基のモル比で含む緩衝溶液、
    フッ化物、及び
    水、
    を含み、但し、添加された有機溶媒は実質的に含まない、残留物除去組成物。
  2. 腐食防止剤を更に含む、請求項1記載の組成物。
  3. 腐食防止剤が、クエン酸、アントラニル酸、没食子酸、安息香酸、マロン酸、マレイン酸、フマル酸、D,L−リンゴ酸、イソフタル酸、フタル酸、乳酸、無水マレイン酸、無水フタル酸、カテコール、ピロガロール、没食子酸のエステル、ベンゾトリアゾール、カルボキシベンゾトリアゾール、フルクトース、チオ硫酸アンモニウム、グリシン、テトラメチルグアニジン、イミノ二酢酸、ジメチルアセトアセトアミド、チオグリセロール、トリヒドロキシベンゼン、ジヒドロキシベンゼン、サリチルヒドロキサム酸、及びそれらの混合物から選択される少なくとも1種である、請求項2記載の組成物。
  4. フッ化物が、一般式R1234NF(ここで、R1、R2、R3及びR4は独立して、水素、アルコール基、アルコキシ基、アルキル基、及びそれらの混合物である)の組成を有する、請求項1記載の組成物。
  5. フッ化物が、フッ化アンモニウム、フッ化テトラメチルアンモニウム、フッ化テトラエチルアンモニウム、フッ化テトラブチルアンモニウム、フッ化コリン、及びそれらの混合物から選択される、請求項4記載の組成物。
  6. フッ化物がフルオロホウ酸である、請求項1記載の組成物。
  7. 緩衝溶液中の有機酸が酢酸を含み、且つ緩衝溶液中の共役塩基が酢酸アンモニウムを含む、請求項1記載の組成物。
  8. 緩衝溶液中の有機酸がリン酸を含み、且つ緩衝溶液中の共役塩基がリン酸のアンモニウム塩を含む、請求項1記載の組成物。
  9. 前記モル比が実質的に1:1である、請求項1記載の組成物。
  10. 基材から残留物を除去する方法であって、請求項1記載の組成物を、20〜80℃の温度で基材から残留物を除去するのに十分な時間、基材に対して用いることを含む、残留物除去方法。
  11. 温度が20〜60℃である、請求項10記載の方法。
  12. パターンを画定するための方法であって、
    基材の少なくとも一部にフォトレジストを塗布すること、
    フォトレジストにリソグラフィーでパターンを画定すること、
    このパターンを基材の少なくとも一部へ転写すること、
    パターンを基材中にエッチングしてパターン化した基材を形成すること、
    このパターン化した基材を、フォトレジストを灰化して残留物を生じさせるのに十分な温度に加熱すること、及び、
    パターン化した基材を、有機酸及び当該有機酸の共役塩基を10:1から1:10までの範囲にわたる酸対共役塩基のモル比で含む緩衝溶液、フッ化物、及び水を含む組成物であって、約2〜約9の範囲にわたるpHを有し、添加された有機溶媒を実質的に含まない組成物と接触させることによって、当該残留物を除去すること、
    を含むパターン画定方法。
  13. 残留物を除去するための、約2〜約9の範囲にわたるpHを有する組成物であって、
    有機酸及び当該有機酸の共役塩基を10:1から1:10までの範囲にわたる酸対塩基のモル比で含む緩衝溶液、
    フッ化物、
    水、及び
    腐食防止剤、
    を含み、但し添加された有機溶媒は実質的に含まない、残留物除去組成物。
  14. 残留物を除去するための、約2〜約9の範囲にわたるpHを有する組成物であって、
    有機酸及び当該有機酸の共役塩基を10:1〜1:10の範囲にわたる酸対塩基のモル比で含む緩衝溶液、
    フッ化物、
    水、
    腐食防止剤、及び
    界面活性剤
    を含み、但し添加された有機溶媒は実質的に含まない、残留物除去組成物。
  15. 残留物を除去するための、約2〜約9の範囲にわたるpHを有する組成物であって、
    酢酸及び酢酸アンモニウムを10:1から1:10までの範囲にわたる酸対塩基のモル比で含む緩衝溶液、
    フッ化アンモニウム、
    水、
    クエン酸を含む腐食防止剤、及び
    エトキシル化アセチレン列ジオール界面活性剤、
    を含み、但し添加された有機溶媒は実質的に含まない、残留物除去組成物。
JP2006027278A 2005-02-03 2006-02-03 残留物除去のための組成物と方法及びパターン画定方法 Pending JP2006307158A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/050,562 US7682458B2 (en) 2005-02-03 2005-02-03 Aqueous based residue removers comprising fluoride
US11/313,495 US7888302B2 (en) 2005-02-03 2005-12-19 Aqueous based residue removers comprising fluoride

Publications (1)

Publication Number Publication Date
JP2006307158A true JP2006307158A (ja) 2006-11-09

Family

ID=36498780

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006027278A Pending JP2006307158A (ja) 2005-02-03 2006-02-03 残留物除去のための組成物と方法及びパターン画定方法

Country Status (5)

Country Link
US (1) US7888302B2 (ja)
EP (1) EP1688798B1 (ja)
JP (1) JP2006307158A (ja)
KR (1) KR100786610B1 (ja)
TW (1) TWI377246B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010050377A (ja) * 2008-08-25 2010-03-04 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
JP2013500601A (ja) * 2009-07-29 2013-01-07 ドンウ ファイン−ケム カンパニー.,リミティド. 洗浄液組成物及びこれを用いたパネルの洗浄方法
JP2021506131A (ja) * 2017-12-08 2021-02-18 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100655647B1 (ko) * 2005-07-04 2006-12-08 삼성전자주식회사 반도체 기판용 세정액 조성물, 이의 제조 방법, 이를이용한 반도체 기판의 세정 방법 및 반도체 장치의 제조방법
EP1949422A1 (en) * 2005-10-21 2008-07-30 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US7534753B2 (en) 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
US20070191243A1 (en) * 2006-02-13 2007-08-16 General Chemical Performance Products, Llc Removal of silica based etch residue using aqueous chemistry
US7943562B2 (en) * 2006-06-19 2011-05-17 Samsung Electronics Co., Ltd. Semiconductor substrate cleaning methods, and methods of manufacture using same
US20100119562A1 (en) 2007-03-21 2010-05-13 Colgate Palmolive Company Structured Personal Care Compositions Comprising A Clay
US20080234162A1 (en) 2007-03-21 2008-09-25 General Chemical Performance Products Llc Semiconductor etch residue remover and cleansing compositions
KR100927448B1 (ko) * 2007-10-16 2009-11-19 금호석유화학 주식회사 포토레지스트 현상액
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
EP3170101B1 (en) 2014-07-15 2020-10-07 Microsoft Technology Licensing, LLC Data model indexing for model queries
WO2016008088A1 (en) 2014-07-15 2016-01-21 Microsoft Technology Licensing, Llc Data retrieval across multiple models
WO2016008085A1 (en) 2014-07-15 2016-01-21 Microsoft Technology Licensing, Llc Data model change management
WO2016008087A1 (en) 2014-07-15 2016-01-21 Microsoft Technology Licensing, Llc Managing multiple data models over data storage system
EP4391083A2 (en) * 2015-09-15 2024-06-26 Ricoh Company, Ltd. Coating liquid for forming n-type oxide semiconductor film, method for producing n-type oxide semiconductor film, and method for producing field-effect transistor
JP7042921B2 (ja) * 2017-12-01 2022-03-28 ホートン・テクニカル・コーポレーション アルミニウム缶を洗浄するための方法及び組成物
JP7383614B2 (ja) * 2017-12-08 2023-11-20 ビーエーエスエフ ソシエタス・ヨーロピア 低k値の材料、銅、および/またはコバルトの層の存在下で、アルミニウム化合物を含む層を選択的にエッチングするための組成物および方法
WO2020071372A1 (ja) * 2018-10-02 2020-04-09 日本ペイント・サーフケミカルズ株式会社 表面処理剤
CN112698554A (zh) * 2021-02-01 2021-04-23 福建省佑达环保材料有限公司 一种半导体制程用光阻清洗剂
TWI812342B (zh) * 2021-11-22 2023-08-11 南韓商Lg化學股份有限公司 移除光阻之剝離劑組成物以及使用其之剝離光阻方法
EP4257659A3 (en) * 2022-04-04 2024-02-28 Samsung Electronics Co., Ltd. Etching composition, method of etching metal-containing film by using the same, and method of manufacturing semiconductor device by using the same

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US680078A (en) * 1901-04-09 1901-08-06 Defiance Machine Works Sawing-machine.
US4171242A (en) 1976-12-17 1979-10-16 International Business Machines Corporation Neutral pH silicon etchant for etching silicon in the presence of phosphosilicate glass
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
JP2806171B2 (ja) 1992-08-31 1998-09-30 日本電気株式会社 データ演算装置
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
WO1995016006A1 (en) 1993-12-10 1995-06-15 Armor All Products Corporation Wheel cleaning composition containing acid fluoride salts
JP2743823B2 (ja) 1994-03-25 1998-04-22 日本電気株式会社 半導体基板のウエット処理方法
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
DE69734868T2 (de) 1996-07-25 2006-08-03 Dupont Air Products Nanomaterials L.L.C., Tempe Zusammensetzung und verfahren zum chemisch-mechanischen polieren
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5968848A (en) 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
JP2001508239A (ja) 1997-01-09 2001-06-19 アドバンスド ケミカル システムズ インターナショナル,インコーポレイテッド 水性フッ化アンモニウムおよびアミンを用いた、半導体ウエハ洗浄組成物および方法
EP0872870A3 (en) * 1997-04-14 1999-05-06 Hitachi Chemical Co., Ltd. Fluorescent pattern, process for preparing the same, organic alkali developing solution for forming the same, emulsion developing solution for forming the same and back plate for plasma display using the same
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6165956A (en) 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
WO2001014510A1 (en) 1999-08-19 2001-03-01 Ashland Inc. Stripping and cleaning compositions
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
KR100363092B1 (ko) * 2000-06-27 2002-12-05 삼성전자 주식회사 강유전체막의 손상층을 제거하기 위한 세정액 및 이를이용한 세정방법
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
US6627546B2 (en) 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
JP3403187B2 (ja) 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
US6717019B2 (en) 2002-01-30 2004-04-06 Air Products And Chemicals, Inc. Glycidyl ether-capped acetylenic diol ethoxylate surfactants
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US6641986B1 (en) 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
ES2310677T3 (es) 2002-10-22 2009-01-16 Ekc Technology, Inc. Composiciones acuosas a base de acido fosforico para la limpieza de dispositivos semiconductores.
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010050377A (ja) * 2008-08-25 2010-03-04 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
JP2013500601A (ja) * 2009-07-29 2013-01-07 ドンウ ファイン−ケム カンパニー.,リミティド. 洗浄液組成物及びこれを用いたパネルの洗浄方法
JP2021506131A (ja) * 2017-12-08 2021-02-18 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
JP7330972B2 (ja) 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法

Also Published As

Publication number Publication date
EP1688798A2 (en) 2006-08-09
EP1688798A3 (en) 2009-09-02
US20060172906A1 (en) 2006-08-03
US7888302B2 (en) 2011-02-15
EP1688798B1 (en) 2017-02-22
TWI377246B (en) 2012-11-21
KR100786610B1 (ko) 2007-12-21
KR20060089142A (ko) 2006-08-08
TW200630482A (en) 2006-09-01

Similar Documents

Publication Publication Date Title
US7888302B2 (en) Aqueous based residue removers comprising fluoride
JP4473277B2 (ja) フォトレジスト残渣を除去するためのpHバッファー水性クリーニング組成物およびその方法
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4814356B2 (ja) はく離及び洗浄用の組成物並びにそれらの使用
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
TWI338199B (en) Composition for removal of residue comprising cationic salts and methods using same
JP4819429B2 (ja) 残留物を除去するための組成物及び方法
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
EP1944355B1 (en) Cleaning composition for semiconductor substrates
US7682458B2 (en) Aqueous based residue removers comprising fluoride
JP2007107001A (ja) 水系洗浄組成物及びその使用方法
JP2003114540A (ja) 剥離剤組成物
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091027