JP2007107001A - 水系洗浄組成物及びその使用方法 - Google Patents

水系洗浄組成物及びその使用方法 Download PDF

Info

Publication number
JP2007107001A
JP2007107001A JP2006277877A JP2006277877A JP2007107001A JP 2007107001 A JP2007107001 A JP 2007107001A JP 2006277877 A JP2006277877 A JP 2006277877A JP 2006277877 A JP2006277877 A JP 2006277877A JP 2007107001 A JP2007107001 A JP 2007107001A
Authority
JP
Japan
Prior art keywords
composition
hydroxylamine
substrate
hydroxylammonium
water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006277877A
Other languages
English (en)
Other versions
JP4880416B2 (ja
Inventor
Aiping Wu
ウー アイピン
Roberto J Rovito
ジョン ロビト ロベルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2007107001A publication Critical patent/JP2007107001A/ja
Application granted granted Critical
Publication of JP4880416B2 publication Critical patent/JP4880416B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/40Monoamines or polyamines; Salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】ポストアッシュ及び/又はポストエッチフォトレジストに限定されないが、これらのような残渣を基材から除去するための水系組成物、及びそれを含む方法を提供すること。
【解決手段】ある態様における、残渣を除去するための組成物は、(a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤を含み、添加された有機溶剤を実質的に含まない。
【選択図】なし

Description

本発明は洗浄組成物及びその使用方法に関する。より詳細には、プロセス残渣を基材から選択的に除去する水系洗浄組成物及びその使用方法に関する。
マイクロエレクトロニクス構造の作製には非常に多くの工程が含まれている。集積回路を作製する製造スキームでは、半導体の異種表面の選択的エッチングが必要とされることが時々ある。これまでに、材料を選択的に除去する目的で、数多くの非常に多様な種類のエッチングプロセスが様々な程度でうまく利用されてきている。その上、マイクロエレクトロニクス構造内部の異なる層を選択的にエッチングすることは、集積回路作製プロセスにおいて重要かつ決定的な工程であると考えられている。
反応性イオンエッチング(RIE)は、ビア(via)、金属配線及びトレンチの形成中にパターンを転写するためのプロセスとして、選択されることが増えている。例えば、高性能DRAM及びマイクロプロセッサのような複合半導体デバイスは、多層の相互配線化を必要としており、ビア、金属配線及びトレンチ構造を作るためにRIEを利用する。ビアは、層間誘電体を通って、シリコン、シリサイド又は金属配線の1層と次の配線層の間に電気的接続をもたらすために使用される。金属配線はデバイスの相互配線として使用される導電構造体である。トレンチ構造は、金属配線構造を形成する際に使用される。ビア、金属配線及びトレンチ構造では、Al、Al/Cu、Cu、Ti、TiN、Ta、TaN、W、TiW、シリコン、又はタングステン、チタンもしくはコバルトのシリサイドなどのシリサイドのような、金属又は合金が通常はむき出しになっている。RIEプロセスでは、通常は(複雑な混合物の)残渣が残り、その残渣には、再スパッタした酸化物材料が含まれうることに加え、場合によっては、ビア、金属配線及び/又はトレンチ構造をリソグラフィによって画定するために使用したフォトレジスト及び反射防止被覆材料に由来する有機材料が含まれることもある。
ポストRIE又は他のエッチング処理の、プラズマフォトレジスト残渣のクリーニングは、反応剤を含むプラズマを用いてパターン化したフォトレジストをアッシングすることによって通常行われ、その反応剤は典型的には活性化された反応性気体であり、酸化的プロセスについては酸素含有気体、また還元的プロセスについては水素含有気体のようなものであるが、これらに限定されない。RIEプロセスのように、プラズマエッチ又はプラズマアッシングクリーニングでは、プラズマエッチの化学反応及び取り扱う基材に応じて、有機材料(例えばフォトレジスト残渣、反射防止材料など)、及びチタン、銅又は関係した金属の酸化物又はハロゲン化物のような、プラズマエッチに関連した副生成物を含む残渣が組み合わさって残る。
米国特許第5334332号明細書 米国特許第5381807号明細書 米国特許第5419779号明細書 米国特許第5709756号明細書 米国特許第5795702号明細書 米国特許第5817610号明細書 米国特許第6020297号明細書 米国特許第6033993号明細書 米国特許第6121217号明細書 米国特許第6291142号明細書 米国特許第6361712号明細書 米国特許第6413923号明細書 米国特許第6417112号明細書 米国特許第6432209号明細書
そのため、例えば、プラズマ及び/又はRIEの、酸化的アッシング又は還元的アッシングプロセスを使用する選択的エッチングから生じる残渣のような、残渣の除去を可能とする選択的洗浄組成物及び方法を提供することが望ましいと思われる。その上、その洗浄組成物に同時にさらされるであろう、金属、高誘電率(「high−k」)材料(例えば誘電率が4.1より大きい材料)、シリコン、シリサイド、及び/又は堆積酸化物のような低誘電率(「low−k」)材料(例えば誘電率が4.0未満もしくは3.5未満、又は3.0未満の材料)を含む層間誘電体材料と比較して、エッチ及びアッシュ残渣のような残渣に対する高選択性を示してこれら残渣を除去可能にする、選択的洗浄組成物及び方法を提供することが望ましいと思われる。また、水素化シルセスキオキサン(HSQ)、メチルシルセスキオキサン(MSQ)、FOx、Applied Materials,Inc.の製造するBLACK DIAMOND(商品名)フィルム、及びTEOS(テトラエチルオルトシリケート)のような、しかしこれらに限定されない、傷つきやすいlow−k又は多孔性low−kフィルムに適合しかつ使用可能な組成物を提供することが望ましいと思われる。上記に加え、これらの廃棄物が環境を害さないために、水系の組成物が望まれていると思われる。
ここに開示する水系組成物は、ポストプラズマエッチ、ポストアッシュ又は他の残渣のような、しかしこれらに限定されない残渣を、その組成物にさらされる可能性のある金属、low−k、多孔性low−k及び/又はhigh−k誘電体材料を望ましくない程度にまで攻撃することなく、基材から選択的に除去することを可能にする。加えて、ここに開示する組成物によれば、酸化シリコンのようなある種の誘電体材料、又は銅を含む金属配線もしくは中間層のエッチレートを最小とすることが可能である。ここに記載する洗浄組成物は、添加された有機溶剤を実質的に含まないため、半導体製造における有機廃棄物及びその所有経費の削減を可能にする。1つの態様においては、(a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤を含む、基材から残渣を除去するための組成物が提供され、ここではその組成物は添加された有機溶剤を実質的に含まない。
また、基材をここに開示する洗浄組成物と接触させることを含む、エッチ及び/又はアッシュ残渣を含む残渣をその基材から除去するための方法をここに開示する。ここに記載する1つの態様においては、(i)基材の少なくとも一部の上をフォトレジストで被覆し、(ii)リソグラフィによってフォトレジスト上にパターンを画定し、(iii)基材の少なくとも一部にパターンを転写し、(iv)パターンを基材内部までエッチングしてパターン化した基材を形成し、(v)パターン化した基材を活性化した反応性気体にさらして、フォトレジストの少なくとも一部を除去しかつ残渣を生成し、及び(vi)パターン化した基材を組成物と接触させることにより残渣を除去することを含む、パターンを画定するための方法が提供され、その組成物には、(a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤が含まれ、ここではその組成物は添加された有機溶剤を実質的に含まない。
他の態様においては、基材を組成物と接触させることを含む、残渣をその基材から除去するための方法が提供され、その組成物には、(a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤が含まれ、ここではその組成物は添加された有機溶剤を実質的に含まない。
本発明は、反応性イオンエッチング、プラズマエッチング及びプラズマアッシングのような、しかしこれらに限定されないエッチングによって生成した残渣などの、例えばプロセス残渣のような残渣を選択的に除去するための水系組成物及びそれを含む方法に関する。開示する洗浄組成物は、水系であって添加した有機溶剤を実質的に含まない、すなわち添加した有機溶剤を1%以下もしくは0.5%以下、又は0.1%以下有している。洗浄組成物は、下にある金属、誘電体又は両方の層を腐食せずに、フォトレジスト、エッチング、アッシング又は他のプロセス残渣を基板から除去するのに有用であり、同時に有機溶剤を添加する必要をなくすことで所有コストを削減する。
洗浄組成物は、水、ヒドロキシルアミン又はヒドロキシルアミン塩化合物、及び水溶性有機酸を含まないという条件付の腐食防止剤を含む。ある実施態様においては、洗浄組成物は、水、ヒドロキシルアミン又はヒドロキシルアミン塩化合物、水溶性有機酸を含まないという条件付の腐食防止剤、並びに組成物の除去及び洗浄性能に悪影響を及ぼさず、かつ下にある基材表面にダメージを与えないという条件付の他の成分から本質的になる。さらに他の実施態様においては、洗浄組成物は、水、ヒドロキシルアミン又はヒドロキシルアミン塩化合物、及び水溶性有機酸を含まないという条件付の腐食防止剤からなる。
一般的に、ヒドロキシルアミン、ヒドロキシルアミン塩化合物または両方を含む水溶液は、集積回路の製造に普通使用される銅のような相互配線金属とは適合しないと考えられている。驚くべきことであってかつ予期できなかったことであるが、ここに開示する洗浄組成物によれば、Cu及びlow−k誘電体を含むがこれらに限定されないものを含む基材から、ポストプラズマエッチ残渣及びポストプラズマアッシュ残渣を除去することが可能である。さらに、基材に銅が含まれる実施態様の場合、腐食防止剤の添加によりCu腐食を減少させることについて、この洗浄組成物は予想外の結果をもたらす。
マイクロエレクトロニクスデバイスに有用な基材を含む洗浄方法において、除去される典型的な残渣には、例えば(i)エッチング及び/又はアッシングされたフォトレジスト材料、アッシングされたフォトレジスト残渣、UV又はX線で硬化したフォトレジスト、C−F含有ポリマー、低及び高分子量ポリマー、並びに他の有機エッチ残渣のような有機化合物、(ii)金属酸化物、化学機械平坦化(CMP)スラリーからのセラミック粒子、及び他の無機エッチ残渣のような無機化合物、(iii)有機金属残渣及び金属有機化合物のような金属含有化合物、(iv)イオン性及び中性の、軽い無機(金属)種及び重い無機(金属)種、(v)水分、並びに(vi)平坦化及びエッチングプロセスのようなプロセスによって生成した粒子を含む不溶性材料が含まれることがある。一部の実施態様においては、除去される残渣は、反応性イオンエッチング、プラズマエッチング及び/又はプラズマアッシングによって生成したもののようなプロセス残渣である。
一般的に残渣は基材の中に存在し、その基材もまた、金属、シリコン、シリケート及び/又は層間誘電体材料を含んでいる。層間誘電体材料は、例えば、堆積した酸化シリコンや、HSQ、MSQ、FOx、TEOS及びスピンオンガラスのような酸化シリコンの誘導体、化学気相堆積された誘電体材料、及び/又はハフニウムシリケート、酸化ハフニウム、バリウムストロンチウムチタネート(BST)、TiO2、Ta25のようなhigh−k材料などである。ここでは、残渣と、金属、シリコン、シリサイド、層間誘電体材料、low−k及び/又はhigh−k材料の両方が洗浄組成物と接触することになる。ここに開示する組成物及び方法は、金属、シリコン、二酸化シリコン、層間誘電体材料、low−k及び/又はhigh−k材料を著しく攻撃せずに、フォトレジスト、BARC、ギャップフィル、及び/又はプロセス残渣のような、ポストエッチ残渣及び/又はポストアッシュ残渣を選択的に除去することを提供する。一部の実施態様における基材には、銅、銅合金、チタン、窒化チタン、タンタル、窒化タンタル、タングステン、及び/又はチタン/タングステン合金のような、しかしこれらに限定されない金属が含まれてもよい。ある実施態様においては、ここに開示する組成物は傷つきやすいlow−kフィルムを含む基材に適当な場合がある。
ここに開示する組成物には、約40〜約99質量%もしくは約75〜約95質量%、又は約90〜約95質量%の水が含まれてもよい。水は、例えばヒドロキシルアミン含有水溶液のような他の要素の成分として付随的に存在してもよく、又は別途添加することもできる。水のいくつかの例として、脱イオン水、超純水、蒸留水、2回蒸留水、又は低金属含量の脱イオン水が含まれるが、これらに限定されない。
ここに開示する組成物には、約1〜約30質量%もしくは約5〜約20質量%、又は約5〜約15質量%の、ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のものが含まれてもよい。ここに記載する洗浄組成物に使用できるヒドロキシルアミンの例には、ヒドロキシルアミン、又はこれに限定されないがジエチルヒドロキシルアミンのような、アルキル置換されたヒドロキシルアミン誘導体が含まれる。ヒドロキシルアミン塩化合物は、ヒドロキシルアミンを、以下に限定されないが、硝酸、硫酸、塩酸、リン酸又は他の酸のような酸と反応させることにより通常生成する。ヒドロキシルアミン塩化合物の例には、硫酸ヒドロキシルアンモニウム、硝酸ヒドロキシルアンモニウム、リン酸ヒドロキシルアンモニウム、塩酸ヒドロキシルアンモニウム、シュウ酸ヒドロキシルアンモニウム、クエン酸ヒドロキシルアンモニウムなどが含まれる。ヒドロキシルアミン塩化合物の別の例には、以下に限定されないが、ジエチルヒドロキシルアンモニウム塩などのようなアルキル置換された誘導体が含まれる。
ここに開示する組成物はまた、ここで使用される腐食防止剤が水溶性有機酸を含まないという条件付で、その腐食防止剤を約0.1〜約15質量%もしくは約0.2〜約10質量%、又は約0.5〜約5質量%含む。「水溶性有機酸」とは、「COOH」、すなわちカルボキシル基を含む化合物のことである。同様の用途向けに本技術分野で公知である、任意の腐食防止剤を使用することができる。その腐食防止剤は米国特許第5417877号に開示されているようなものであって、参照することによりその内容を本明細書の一部とする。腐食防止剤は例えばフェノール又はトリアゾールであってよい。腐食防止剤の詳細な例として、無水マレイン酸、無水フタル酸、ベンゾトリアゾール(BZT)、レゾルシノール、カルボキシベンゾトリアゾール、ジエチルヒドロキシルアミン及びこれらの乳酸塩やクエン酸塩、並びに同類のものが含まれる。使用できる腐食防止剤の例にはさらに、カテコール、ピロガロール及び没食子酸エステルが含まれる。適当な腐食防止剤のさらに別の例としては、フルクトース、チオ硫酸アンモニウム及びテトラメチルグアニジンが含まれる。一部の実施態様においては、腐食防止剤は、2−メルカプト−5−メチルベンズイミダゾール及び2−メルカプトチアゾリンのような、しかしこれらに限定されないメルカプト基含有化合物である。腐食防止剤のさらに別の例には、化合物のα又はβ位のどちらかの一端にヒドロキシル基を有するメルカプト基含有化合物が含まれる。これら化合物の詳細な例には、3−メルカプト−1,2−プロパンジオール(チオグリセロールともいう)、3−(2−アミノフェニルチオ)−2−ヒドロキシプロピルメルカプタン、3−(2−ヒドロキシエチルチオ)−2−ヒドロキシプロピルメルカプタン、及びこれらの混合物が含まれる。
一部の実施態様においては、それら添加剤が組成物の除去及び洗浄性能に悪影響を及ぼさず、かつ下にある基材表面にダメージを与えないという条件付で、1種以上の追加の成分又は添加剤がここに開示する組成物にさらに含まれてもよい。これら添加剤の例には、組成物の総質量に対して合計で最大約5質量%の量の、界面活性剤、キレート剤、化学改質剤、色素、殺生剤及び/又は他の添加剤が含まれるが、これらに限定されない。
組成物がヒドロキシルアミンのみを含む(すなわちヒドロキシルアミン塩化合物を含まない)実施態様においては、ここに開示する組成物のpHは、約8〜約12、又は約8〜約10の範囲であってよい。組成物がヒドロキシルアミン塩化合物のみを含む(すなわちヒドロキシルアミンを含まない)実施態様においては、ここに開示する組成物のpHは、約3〜約7、又は約3〜約5の範囲であってよい。
ここに開示する組成物は、HSQ、FOx、MSQ及びSiLK(商品名)(Dow Chemical,Inc.が製造)、並びに他のフィルムのような、しかしこれらに限定されないlow−kフィルムに適合している。これら組成物はまた、例えば銅、チタン又は両方を含有する基材のような下にある基材の腐食を比較的少なくしつつ、有機残渣、有機金属残渣、無機残渣、金属酸化物又はフォトレジスト複合物のようなポストエッチフォトレジスト及び/又はポストアッシュフォトレジスト、並びにプラズマエッチ残渣を、低温で除去するのにも有効である。その上、これら組成物は、様々なlow−k、多孔性low−k及びhigh−k材料とも適合している。
製造プロセスの間、フォトレジスト層によって基材を被覆し、フォトリソグラフィプロセスを用いて、パターンをフォトレジスト層上に画定する。一部の実施態様においては、パターン化されたフォトレジスト層は、RIEのようなプラズマエッチに曝され、そのことによってパターンが基材に転写される。その後、パターン化されたフォトレジスト層はウェットケミカル的手法及び/又はドライ除去プロセス(例えばプラズマエッチング、プラズマアッシング又は両方)によって除去される。パターンを基材上に転写するのにRIEを使用する実施態様においては、ウェットケミカル及び/又はドライ除去プロセスに先立ってエッチ残渣が生成する。基材がアッシングされていない場合、洗浄される主な残渣はエッチ残渣とフォトレジスト残渣の両方である。基材がアッシングされている実施態様においては、洗浄される主な残渣は、アッシングされたフォトレジストのようなアッシュ残渣、及びエッチング工程が行われている場合はエッチ残渣である。
ここに記載する方法は、フィルム又は残渣として存在する、金属、有機もしくは金属−有機ポリマー、無機塩、酸化物、水酸化物又は複合物、あるいはこれらの組み合わせを有する基材を、記載した組成物と接触させることによって行うことができる。実際の条件、例えば温度、時間などは除去する残渣の性質及び厚さに左右される。一般的には、20℃〜85℃もしくは20℃〜60℃、又は30℃〜50℃の範囲の温度にて、組成物を含む容器に基材を接触させるか浸漬する。基材を組成物に曝す通常の時間は、例えば0.1〜60分もしくは1〜30分、又は1〜15分の範囲であってよい。組成物と接触させた後、基材をリンス及びその後乾燥してもよい。乾燥は通常不活性雰囲気下で行われる。一部の実施態様においては、脱イオン水のリンス液、又は他の添加剤と一緒に脱イオン水を含むリンス液を、基材をここに記載の組成物と接触させる前、接触させている最中及び/又は接触させた後に、使用することができる。しかしながら、ポストエッチ及び/もしくはポストアッシュフォトレジスト、アッシュもしくはエッチ残渣、及び/又は他のプロセス残渣を除去するための洗浄液を利用する本技術分野で既知の方法において、この組成物を使用することもできる。
以下の実施例は、ここに記載する組成物及び方法をさらに詳述するために提供される。比較例と併せて様々な典型的組成物の例、及びそれぞれの組成物のpHで記載できるものを表1に示す。表1における全ての量は、質量%であって合計すると100質量%となる。ここに開示した組成物は、全ての固形物が溶解するまで、室温にて容器内で成分を一緒に混合することによって調製した。以下の例において、pHは周囲温度にて5%の水溶液を用いて決定した。組成物に曝す前に、現像、エッチング及びアッシングしたポジ型レジストによって基材は被覆されていた。以下の表で、「N.T.」とは試験していないことを示す。
表2は、シリコンウェーハ試験基材から残渣を除去するための、様々な典型的組成物の効果を説明するものである。ウェーハは、有機シリケートガラス(OSG)フィルムもしくはJSR LKD−5109(商品名)多孔性MSQフィルム(JSR,Inc.より販売)のようなlow−kの酸化シリコン含有フィルム、窒化チタンバリア層、銅金属化層、BARC層、並びにプラズマエッチング及びアッシングプロセスを用いてエッチング及びアッシングされたフォトレジストパターンを有していた。その後、基材を様々な典型的組成物に浸漬することによって、その基材を処理した。この手順においては、1つ以上の試験ウェーハを、それぞれの典型的組成物400mLを含む600mLビーカーの中に置いた。600mLビーカーには、400回転/分で回転する2.54cm(1インチ)の撹拌棒がさらに含まれていた。その後、その中にウェーハを含んだ典型的組成物を表2に示した時間及び温度で加熱した。典型的組成物に曝した後、ウェーハを脱イオン水でリンスし、窒素ガスで乾燥した。端面を出すためにウェーハを劈開し、次いで走査型電子顕微鏡(SEM)を用い、ウェーハ上のあらかじめ決定していた様々な場所について調べた。洗浄性能及び下にある層間誘電体(ILD)へのダメージについて、結果を目視で読み取って、以下のように表2中に示す記号で表した。洗浄については、「+++」は優、「++」は良、「+」は可、「−」は不可を表し、ILDダメージについては、「++」はダメージなし、「+」はダメージ僅かにあり、「−」は重大なダメージを表す。
銅又は高密度のドープされたテトラエチルオルトシリケート(TEOS)を含むブランケットシリコンウェーハにおけるエッチレートを、表3にまとめて示す。以下の全てのエッチレートについては、25℃、50℃及び65℃の温度間隔にて、5、10、20、40及び60分間曝して測定を行った。それぞれの典型的組成物について、時間間隔毎に厚さ測定を行い、その結果に対して「最小二乗法フィッティング」モデルを用いてグラフにした。それぞれの組成物の「最小二乗法フィッティング」モデルについて計算した傾きが、オングストローム/分(Å/分)で与えられる、得られたエッチレートである。銅のエッチレート又はTEOSのエッチレートのいずれかを決定するにあたり、ウェーハはその上に既知の厚さに堆積されたブランク層を有していた。Cuのエッチレートについては、ウェーハの初期厚さをCDE ResMap273 4端子プローブを用いて決定した。初期厚さを決定した後、試験ウェーハを典型的組成物中に浸漬した。5分後、試験ウェーハを試験溶液から取り除き、3分間脱イオン水でリンスし、窒素下で完全に乾燥した。それぞれのウェーハの厚さを測定し、必要であればこの手順を試験ウェーハに対して繰り返した。TEOSエッチレートについては、初期厚さをFilm Tek 2000SE 分光エリプソメーター/屈折計を用いて決定した。試験溶液およそ200mLを、攪拌している250mLのビーカーに入れ、必要に応じて所定の温度まで加熱した。溶液を含むビーカー中にウェーハを1枚だけ入れる場合はダミーウェーハをビーカー中に入れた。5分後、それぞれの試験ウェーハを脱イオン水で3分間洗浄し、窒素下で乾燥した。その後、基材を110℃の温度にておよそ10分間ベークした。それぞれのウェーハを測定し、必要であればこの手順を繰り返した。
表4に示す酸化物及びlow−k誘電体のエッチレートを、既知の厚さの、非ドープかつ高密度のテトラエトキシシリケート(TEOS)、フルオロシリケートガラス(FSG)、CORAL(商品名)フィルム(Novellus,Inc.が製造)、熱酸化物(Tox)(WaferNet,Inc.より購入した一般の酸化物フィルム)、BLACK DIAMOND(商品名)フィルム(Applied Materialが製造)及びJSR LEB−043(商品名)(JSRの製造する多孔性メチルシルセスキオキサン(MSQ)フィルム)の層を有する、様々なシリコンウェーハ基材から得た。全てのエッチレートは、Film Tek 2000SE 分光エリプソメーター/屈折計を用いて決定し、前述の非ドープかつ高密度のテトラエチルオルトシリケート(TEOS)に関しても同じ手順を用いた。Toxフィルムを有する基材を除いて、基材は110℃の温度にておよそ10分間ベークした。それぞれのウェーハを測定し、必要であればこの手順を繰り返した。
Figure 2007107001
Figure 2007107001
Figure 2007107001
Figure 2007107001

Claims (14)

  1. (a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤を含み、添加された有機溶剤を実質的に含まない、基材から残渣を除去するための組成物。
  2. 前記ヒドロキシルアミンを含む請求項1に記載の組成物であって、前記ヒドロキシルアミンが、ヒドロキシルアミン、ヒドロキシルアミンのアルキル置換誘導体及びこれらの混合物から選択される少なくとも1種のものを含む、請求項1に記載の組成物。
  3. 前記組成物のpHが約8〜約12の範囲である、請求項2に記載の組成物。
  4. 前記ヒドロキシルアミン塩化合物を含む、請求項1に記載の組成物。
  5. 前記ヒドロキシルアミン塩化合物が、硫酸ヒドロキシルアンモニウム、硝酸ヒドロキシルアンモニウム、リン酸ヒドロキシルアンモニウム、塩酸ヒドロキシルアンモニウム、シュウ酸ヒドロキシルアンモニウム、クエン酸ヒドロキシルアンモニウム、該ヒドロキシルアミン塩化合物のアルキル置換誘導体、及びこれらの混合物からなる群から選択されるものである、請求項1に記載の組成物。
  6. 前記組成物のpHが約3〜約7の範囲である、請求項4に記載の組成物。
  7. 基材を組成物と接触させることを含む、該基材から残渣を除去するための方法であって、該組成物が、
    (a)水40〜99質量%、
    (b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの1〜30質量%、及び
    (c)水溶性有機酸を含まないという条件付の腐食防止剤0.1〜15質量%
    を含み、該組成物が添加された有機溶剤を実質的に含まない方法。
  8. 前記腐食防止剤が、カテコール、レゾルシノール、フェノール、無水マレイン酸、無水フタル酸、ピロガロール、ベンゾトリアゾール、カルボキシベンゾトリアゾール、ジエチルヒドロキシルアミン、トリアゾール、フルクトース、チオ硫酸アンモニウム、テトラメチルグアニジン、没食子酸エステル、2−メルカプト−5−メチルベンズイミダゾール、3−メルカプト−1,2−プロパンジオール、2−メルカプトチアゾリン、3−(2−アミノフェニルチオ)−2−ヒドロキシプロピルメルカプタン、3−(2−ヒドロキシエチルチオ)−2−ヒドロキシプロピルメルカプタン、及びこれらの混合物から選択される少なくとも1種のものを含む、請求項7に記載の方法。
  9. 前記腐食防止剤が、2−メルカプト−5−メチルベンズイミダゾール、3−メルカプト−1,2−プロパンジオール、2−メルカプトチアゾリン、3−(2−アミノフェニルチオ)−2−ヒドロキシプロピルメルカプタン、3−(2−ヒドロキシエチルチオ)−2−ヒドロキシプロピルメルカプタン、及びこれらの混合物から選択される少なくとも1種のものを含む、請求項8に記載の方法。
  10. 前記組成物が、ヒドロキシルアミン、ヒドロキシルアミンのアルキル置換誘導体、及びこれらの混合物から選択される少なくとも1種の前記ヒドロキシルアミンを含む、請求項7に記載の方法。
  11. 前記組成物が前記ヒドロキシルアミン塩化合物を含む、請求項7に記載の方法。
  12. 前記ヒドロキシルアミン塩化合物が、硫酸ヒドロキシルアンモニウム、硝酸ヒドロキシルアンモニウム、リン酸ヒドロキシルアンモニウム、塩酸ヒドロキシルアンモニウム、シュウ酸ヒドロキシルアンモニウム、クエン酸ヒドロキシルアンモニウム、該ヒドロキシルアミン塩化合物のアルキル置換誘導体、及びこれらの混合物からなる群から選択されるものである、請求項11に記載の方法。
  13. 基材を組成物と接触させることを含む、該基材から残渣を除去するための方法であって、該組成物が、(a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤を含み、該組成物が添加された有機溶剤を実質的に含まない方法。
  14. パターンを画定するための方法であって、
    (i)基材上をフォトレジストで被覆し、
    (ii)リソグラフィによって該フォトレジスト上にパターンを画定し、
    (iii)該基材の少なくとも一部に該パターンを転写し、
    (iv)該パターンを該基材内部までエッチングしてパターン化した基材を形成し、
    (v)該パターン化した基材を活性化した反応性気体に曝して、該フォトレジストの少なくとも一部を除去しかつ残渣を生成し、
    (vi)該基材を組成物と接触させることにより該基材から該残渣を除去することを含み、該組成物が、(a)水、(b)ヒドロキシルアミン、ヒドロキシルアミン塩化合物及びこれらの混合物から選択される少なくとも1種のもの、並びに(c)水溶性有機酸を含まないという条件付の腐食防止剤を含み、該組成物が添加された有機溶剤を実質的に含まない方法。
JP2006277877A 2005-10-13 2006-10-11 水系洗浄組成物及びその使用方法 Expired - Fee Related JP4880416B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/249,207 US7879782B2 (en) 2005-10-13 2005-10-13 Aqueous cleaning composition and method for using same
US11/249,207 2005-10-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011117262A Division JP5449256B2 (ja) 2005-10-13 2011-05-25 水系洗浄組成物及びその使用方法

Publications (2)

Publication Number Publication Date
JP2007107001A true JP2007107001A (ja) 2007-04-26
JP4880416B2 JP4880416B2 (ja) 2012-02-22

Family

ID=37726650

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006277877A Expired - Fee Related JP4880416B2 (ja) 2005-10-13 2006-10-11 水系洗浄組成物及びその使用方法
JP2011117262A Expired - Fee Related JP5449256B2 (ja) 2005-10-13 2011-05-25 水系洗浄組成物及びその使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011117262A Expired - Fee Related JP5449256B2 (ja) 2005-10-13 2011-05-25 水系洗浄組成物及びその使用方法

Country Status (8)

Country Link
US (1) US7879782B2 (ja)
EP (1) EP1775339B1 (ja)
JP (2) JP4880416B2 (ja)
KR (1) KR100849913B1 (ja)
CN (1) CN1949084A (ja)
AT (1) ATE533833T1 (ja)
SG (2) SG131867A1 (ja)
TW (1) TWI297106B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147476A (ja) * 2008-12-17 2010-07-01 Air Products & Chemicals Inc CoWPおよび多孔質誘電体用湿式洗浄組成物
JP2011094100A (ja) * 2009-09-30 2011-05-12 Fujifilm Corp 洗浄組成物、洗浄方法、及び半導体装置の製造方法
WO2017119334A1 (ja) * 2016-01-05 2017-07-13 富士フイルム株式会社 処理液、基板の洗浄方法および半導体デバイスの製造方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8551682B2 (en) 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
TWI446400B (zh) * 2007-10-05 2014-07-21 Schott Ag Fluorescent lamp with lamp cleaning method
WO2010150134A2 (en) * 2009-06-25 2010-12-29 Lam Research Ag Method for treating a semiconductor wafer
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
US8530356B2 (en) 2011-10-07 2013-09-10 Applied Materials, Inc. Method of BARC removal in semiconductor device manufacturing
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
CN109545667A (zh) * 2018-11-21 2019-03-29 德淮半导体有限公司 半导体结构及其形成方法
WO2024115457A1 (en) 2022-11-29 2024-06-06 Arteco N.v. Heat-transfer fluids with low electrical conductivity comprising hydroxylamine or oxime functionality, methods for their preparation and uses thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07325404A (ja) * 1993-12-02 1995-12-12 Act Inc ヒドロキシルアミンとアルカノールアミンとを含む 水性の剥離用組成物及びその使用方法
JPH09296200A (ja) * 1996-04-17 1997-11-18 Ekc Technol Inc ヒドロキシルアミン−没食子化合物の組成物及びその使用方法
JP2001501649A (ja) * 1996-09-06 2001-02-06 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド プラズマエッチング残留物を除去するための非腐食性洗浄組成物
JP2001517863A (ja) * 1997-09-23 2001-10-09 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 半導体基板から残留物を除去する方法
JP2003107754A (ja) * 2001-09-28 2003-04-09 Mitsubishi Gas Chem Co Inc フォトレジスト剥離液組成物
JP2003155586A (ja) * 2001-11-16 2003-05-30 Sumitomo Chem Co Ltd 電子部品用洗浄液
US20040234904A1 (en) * 2003-05-23 2004-11-25 Rieker Jennifer M. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
WO2005085408A1 (en) * 2004-02-12 2005-09-15 L'air Liquide-Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Improved alkaline chemistry for post-cmp cleaning

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US6187730B1 (en) 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US7144848B2 (en) 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
JP2911792B2 (ja) 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US20040134873A1 (en) 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
DE69734868T2 (de) 1996-07-25 2006-08-03 Dupont Air Products Nanomaterials L.L.C., Tempe Zusammensetzung und verfahren zum chemisch-mechanischen polieren
US5817610A (en) 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6245155B1 (en) * 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
JP3773227B2 (ja) 1997-10-16 2006-05-10 東京応化工業株式会社 レジスト用剥離液組成物およびこれを用いたレジスト剥離方法
US6432209B2 (en) 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US6417112B1 (en) 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US6020297A (en) 1999-04-06 2000-02-01 National Starch And Chemical Investment Holding Corporation Colorless polymaleates and uses thereof in cleaning compositions
JP3410403B2 (ja) 1999-09-10 2003-05-26 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
US6361712B1 (en) 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US6413923B2 (en) 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP2003129089A (ja) 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
US6866792B2 (en) 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US7384900B2 (en) 2003-08-27 2008-06-10 Lg Display Co., Ltd. Composition and method for removing copper-compatible resist
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07325404A (ja) * 1993-12-02 1995-12-12 Act Inc ヒドロキシルアミンとアルカノールアミンとを含む 水性の剥離用組成物及びその使用方法
JPH09296200A (ja) * 1996-04-17 1997-11-18 Ekc Technol Inc ヒドロキシルアミン−没食子化合物の組成物及びその使用方法
JP2001501649A (ja) * 1996-09-06 2001-02-06 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド プラズマエッチング残留物を除去するための非腐食性洗浄組成物
JP2001517863A (ja) * 1997-09-23 2001-10-09 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 半導体基板から残留物を除去する方法
JP2003107754A (ja) * 2001-09-28 2003-04-09 Mitsubishi Gas Chem Co Inc フォトレジスト剥離液組成物
JP2003155586A (ja) * 2001-11-16 2003-05-30 Sumitomo Chem Co Ltd 電子部品用洗浄液
US20040234904A1 (en) * 2003-05-23 2004-11-25 Rieker Jennifer M. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
WO2004107056A1 (en) * 2003-05-23 2004-12-09 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
WO2005085408A1 (en) * 2004-02-12 2005-09-15 L'air Liquide-Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Improved alkaline chemistry for post-cmp cleaning

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147476A (ja) * 2008-12-17 2010-07-01 Air Products & Chemicals Inc CoWPおよび多孔質誘電体用湿式洗浄組成物
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
JP2011094100A (ja) * 2009-09-30 2011-05-12 Fujifilm Corp 洗浄組成物、洗浄方法、及び半導体装置の製造方法
WO2017119334A1 (ja) * 2016-01-05 2017-07-13 富士フイルム株式会社 処理液、基板の洗浄方法および半導体デバイスの製造方法
JPWO2017119334A1 (ja) * 2016-01-05 2018-10-25 富士フイルム株式会社 処理液、基板の洗浄方法および半導体デバイスの製造方法

Also Published As

Publication number Publication date
SG131867A1 (en) 2007-05-28
CN1949084A (zh) 2007-04-18
KR100849913B1 (ko) 2008-08-04
SG136953A1 (en) 2007-11-29
EP1775339A1 (en) 2007-04-18
ATE533833T1 (de) 2011-12-15
US20070087948A1 (en) 2007-04-19
JP4880416B2 (ja) 2012-02-22
JP5449256B2 (ja) 2014-03-19
KR20070041371A (ko) 2007-04-18
JP2011168795A (ja) 2011-09-01
EP1775339B1 (en) 2011-11-16
TWI297106B (en) 2008-05-21
US7879782B2 (en) 2011-02-01
TW200715074A (en) 2007-04-16

Similar Documents

Publication Publication Date Title
JP5449256B2 (ja) 水系洗浄組成物及びその使用方法
JP4755060B2 (ja) 残留物を除去するための水性洗浄組成物及びそれを使用する方法
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
TWI355569B (en) Formulation for removal of photoresist, etch resid
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
TWI274968B (en) Composition for stripping and cleaning and use thereof
KR100595024B1 (ko) 박리제 조성물
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US7682458B2 (en) Aqueous based residue removers comprising fluoride
JP2003114540A (ja) 剥離剤組成物
JP2021506131A (ja) 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100312

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100615

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110525

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111014

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111101

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111201

R150 Certificate of patent or registration of utility model

Ref document number: 4880416

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees