JP2006229085A - プラズマ処理装置、熱処理装置、処理システム、前処理装置及び記憶媒体 - Google Patents

プラズマ処理装置、熱処理装置、処理システム、前処理装置及び記憶媒体 Download PDF

Info

Publication number
JP2006229085A
JP2006229085A JP2005043245A JP2005043245A JP2006229085A JP 2006229085 A JP2006229085 A JP 2006229085A JP 2005043245 A JP2005043245 A JP 2005043245A JP 2005043245 A JP2005043245 A JP 2005043245A JP 2006229085 A JP2006229085 A JP 2006229085A
Authority
JP
Japan
Prior art keywords
active species
processing
gas
plasma
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005043245A
Other languages
English (en)
Other versions
JP4475136B2 (ja
Inventor
Hiroyuki Matsuura
廣行 松浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005043245A priority Critical patent/JP4475136B2/ja
Priority to US11/354,966 priority patent/US7815739B2/en
Priority to KR1020060015506A priority patent/KR100908777B1/ko
Priority to TW095105523A priority patent/TWI353020B/zh
Priority to CNB2006100077526A priority patent/CN100550319C/zh
Publication of JP2006229085A publication Critical patent/JP2006229085A/ja
Application granted granted Critical
Publication of JP4475136B2 publication Critical patent/JP4475136B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 加熱により分解、昇華するケイフッ化アンモニウム等の中間体膜を効率的に形成するプラズマ処理装置を提供する。
【解決手段】 被処理体の酸化膜に対して活性種とエッチングガスを晒して酸化膜と反応させることにより中間体膜を形成するプラズマ処理装置において、耐腐食性膜により覆われた金属材料よりなる縦長の処理容器18と、処理容器の一側壁に凸状に突出させて形成された活性種用領域106と、活性種用ガス導入手段122と、活性種用配管の途中で流れる活性種用ガスをプラズマにより活性化することにより活性種を形成して供給する活性種供給手段112と、処理容器内へエッチングガスを導入するエッチングガス導入手段108と、活性種用領域に対向するように設けた排気口128と、排気口に接続される真空排気系130と、被処理体を複数枚多段に保持する被処理体保持手段90と、装置全体の動作を制御する制御手段144とを備える。
【選択図】 図5

Description

本発明は、半導体ウエハ等の被処理体に対して例えば自然酸化膜の除去処理やその後の成膜処理等を行うことができるプラズマ処理装置、熱処理装置、処理システム、前処理装置及びこれらの装置の動作をコンピュータ制御するプログラムを記憶する記憶媒体に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理等の各種の処理が行なわれる。これらの処理を縦型の、いわゆるバッチ式の処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ところで、最近にあっては半導体集積回路の更なる動作速度の高速化、高集積化、高微細化及び薄膜化の要求が強くなされている。例えばゲート絶縁膜等の各種の薄膜を例にとれば、上記した要求を満たすためには、ウエハ表面に各種の薄膜を形成するときには、その直前にウエハ表面上に形成されている特性劣化の原因となる自然酸化膜(SiO 膜)をより確実に除去し、ウエハ表面の活性状態を維持したまま、この表面に必要とする膜種を堆積させることが望まれている。
上記したような自然酸化膜を除去する方法として、HFベーパや希釈HF液を用いた湿式法により自然酸化膜を直接的に除去する方法が知られているが、この場合にはウエハ表面にフッ素が残留するので好ましくない。そこで、自然酸化膜の他の除去方法として、プラズマにより活性化された活性種(ラジカル)とフッ素系のエッチングガス、例えばNF とを反応させて中間物質(NHxFy:x,yは正数)を形成し、更にこの中間物質と自然酸化膜とを反応させてケイフッ化アンモニウム[(NH SiF ]よりなる中間体膜を形成し、このケイフッ化アンモニウムを加熱することによってこれを分解或いは昇華させてガスとして除去するようにした乾式法による除去方法及び装置が開示されている(例えば特許文献1)。
特開2003−133284号公報 特開2001−284307号公報 特開2002−100574号公報
ところで、上記した特許文献1に開示された従来方法、或いは従来装置にあっては、ケイフッ化アンモニウムを形成する加熱チャンバの構成がそれ程明確ではなくて、抽象的で具体性に欠け、例えばチャンバ容器の材質等については不明である。また、プロセスチャンバと加熱チャンバとを上下に連通可能に配置しているが、処理対象となるウエハの搬入から、このウエハに対して所定の処理を行った後の搬出までの経路、或いは搬送ルートはシステム的に何ら示されていない。 また特許文献2に開示されている従来装置にあっては、縦型の反応室の一側に反応室と連通した縦型のチャンバを設け、これにH ガスやN ガスを導入しつつその上端部よりマイクロ波を照射して上記ガスをプラズマ化するようにしているが、この場合には、上記ガスを十分に活性化することができない、という問題がある。
また特許文献3に開示されている従来装置にあっては、縦型の処理室内へその側面側より活性種とエッチングガスを導入するようにしているが、この処理室内雰囲気の排気を処理室の下方向から行なうようにしているので、処理室内の雰囲気の流れに偏りが生じてしまう、という問題がある。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。
本発明の第1の目的は、被処理体の表面に形成されている酸化膜に活性種と共にエッチングガスを晒して反応させることにより、加熱でもって分解、或いは昇華する中間体膜を効率的に形成することができるプラズマ処理装置を提供することにある。
本発明の第2の目的は、被処理体の表面の上記中間体膜を加熱してこれを効率的に分解、或いは昇華させて除去することができる熱処理装置を提供することにある。
本発明の第3の目的は、被処理体の表面における中間体膜の形成処理と、中間体膜の除去処理とを同一の処理容器内で連続して行うことができる前処理装置を提供することにある。
本発明の第4の目的は、被処理体の表面の酸化膜の除去処理(前処理)と、成膜等の主処理とを連続的に効率的に行うことが可能な処理システム及びこれをコンピュータ制御するプログラムを記憶する記憶媒体を提供することにある。
請求項1に係る発明は、表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成するプラズマ処理装置において、内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、活性種用ガスを導入する活性種用ガス導入手段と、前記活性種用領域に連結されてその内部に前記活性種用ガス導入手段から供給された活性種用ガスを流すための活性種用配管を有し、前記活性種用ガスをその流れの途中でプラズマにより活性化することにより活性種を形成し、該活性種を前記活性種用領域へ供給する活性種供給手段と、前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、前記排気口に接続される真空排気系と、前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、装置全体の動作を制御する制御手段と、を備えたことを特徴とするプラズマ処理装置である。
上記発明により、被処理体の表面に形成されている酸化膜に活性種と共にエッチングガスを晒して反応させることにより、加熱でもって分解、或いは昇華する中間体膜を効率的に形成することができる。
この場合、例えば請求項2に規定するように、前記活性種供給手段は、前記活性種用ガスをマイクロ波により活性化するマイクロ波発生源を有する。
また例えば請求項3に規定するように、前記マイクロ波は2.45GHzまたは400MHzである。
また例えば請求項4に規定するように、前記活性種用領域に前記活性種の流れを層流状に整流する整流板が設けられる。
請求項5に係る発明は、表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成するプラズマ処理装置において、内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、前記活性種用領域へ活性種用ガスを導入する活性種用ガス導入手段と、前記活性種用領域へ導入された活性種用ガスに対してプラズマを立てて活性種を形成するプラズマ形成手段と、前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、前記排気口に接続される真空排気系と、前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、装置全体の動作を制御する制御手段と、を備えたことを特徴とするプラズマ処理装置である。
この場合、例えば請求項6に規定するように、前記プラズマ形成手段は、前記活性種用領域に沿って設けられた電極と、該電極に接続された高周波電源とよりなる。
また例えば請求項7に規定するように、前記高周波電源で発生する高周波の周波数は13.56MHzである。
また例えば請求項8に規定するように、前記活性種用領域には、該活性種用領域内で発生したプラズマが前記処理容器の内側へ洩れることを防止するためのイオンシールドプレートが設けられる。
また例えば請求項9に規定するように、前記イオンシールドプレートは、前記活性種の流れを層流状に整流する整流機能を有している。
また例えば請求項10に規定するように、前記処理容器には、該処理容器を冷却するための冷却手段が設けられている。
また例えば請求項11に規定するように、前記被処理体保持手段は、前記エッチングガスに対して耐腐食性になされている。
また例えば請求項12に規定するように、前記エッチングガス導入手段は、複数のガス孔が所定の間隔を隔てて形成されると共に、前記処理容器の長さ方向に沿って設けられた分散ノズルを有する。
また例えば請求項13に規定するように、前記処理容器の下端には、該処理容器の下端の開口部を必要に応じて開閉するシャッタ部材が設けられる。
請求項14に係る発明は、被処理体の表面に形成されてい中間体膜を加熱することによって除去する熱処理装置において、下端に密閉状態に開閉可能になされた蓋部を有する縦長の処理容器と、前記処理容器内を真空引きする真空排気系と、前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、前記処理容器内に設けられて前記被処理体を加熱する内側加熱手段と、前記処理容器の外側に設けられて前記処理容器を加熱する外側加熱手段と、装置全体の動作を制御する制御手段と、を備えたことを特徴とする熱処理装置である。
上記発明により、被処理体の表面の上記中間体膜を加熱してこれを効率的に分解、或いは昇華させて除去することができる。
この場合、例えば請求項15に規定するように、前記処理容器内へ不活性ガスを導入する不活性ガス導入手段が設けられる。
また例えば請求項16に規定するように、前記内側加熱手段は、前記処理容器の長さ方向に沿って挿入された複数のU字状のカーボンワイヤヒータよりなる。
また例えば請求項17に規定するように、前記処理容器の下端には、該処理容器の下端の開口部を必要に応じて開閉するシャッタ部材が設けられる。
請求項18に係る発明は、内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、前記前処理エリアを区画する天井部に設けられた請求項1乃至13のいずれかに記載のプラズマ処理装置と、前記前処理エリアを区画する天井部に前記プラズマ処理装置と並んで設けられた請求項14乃至17のいずれかに記載の熱処理装置と、前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、前記前処理エリアに設けられて複数枚の被処理体を多段に保持する被処理体保持手段を前記プラズマ処理装置と前記処理装置との間で移動させる保持手段搬送機構と、システム全体の動作を制御するシステム制御手段と、を備えたことを特徴とする処理システムである。
この発明によれば、被処理体の表面の酸化膜の除去処理(前処理)と、成膜等の主処理とを連続的に効率的に行うことができる。
この場合、例えば請求項19に規定するように、前記前処理エリアと前記主処理エリアとは分離区画壁により区画されると共に、前記分離区画壁には、前記前処理エリアと前記主処理エリアとの間で前記移載アーム手段により前記被処理体の移載を行う時に開閉される開閉ドアが設けられている。
また例えば請求項20に規定するように、前記被処理体導入手段は、両側に気密に開閉可能になされたゲートバルブを有して前記筐体を区画する区画壁に設けられた密閉ボックスよりなる。
また例えば請求項21に規定するように、前記筐体内の全体は、真空雰囲気または不活性ガス雰囲気になされている。
請求項22に係る発明は、表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、活性種用ガスを導入する活性種用ガス導入手段と、前記活性種用ガス導入手段より導入された活性種用ガスをプラズマにより活性化することにより活性種を形成し、該活性種を前記活性種用領域へ供給する活性種供給手段と、前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、前記排気口に接続される真空排気系と、前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、を備えたことを特徴とする前処理装置である。
この発明によれば、被処理体の表面における中間体膜の形成処理と、中間体膜の除去処理とを同一の処理容器内で連続して行うことができる。
この場合、例えば請求項23に規定するように、前記活性種供給手段は、前記活性種用ガスをマイクロ波により活性化するマイクロ波発生源を有する。
また例えば請求項24に規定するように、前記マイクロ波は2.45GHzまたは400MHzである。
また例えば請求項25に規定するように、前記活性種用領域に前記活性種の流れを層流状に整流する整流板が設けられる。
請求項26に係る発明は、表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、前記活性種用領域へ活性種用ガスを導入する活性種用ガス導入手段と、前記活性種用領域へ導入された活性種用ガスに対してプラズマを立てて活性種を形成するプラズマ形成手段と、前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、前記排気口に接続される真空排気系と、前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、を備えたことを特徴とする前処理装置である。
この発明によれば、被処理体の表面における中間体膜の形成処理と、中間体膜の除去処理とを同一の処理容器内で連続して行うことができる。
この場合、例えば請求項27に規定するように、前記プラズマ形成手段は、前記活性種用領域に沿って設けられた電極と、該電極に接続された高周波電源とよりなる。
また例えば請求項28に規定するように、前記高周波電源で発生する高周波の周波数は13.56MHzである。
また例えば請求項29に規定するように、前記活性種用領域には、該活性種用領域内で発生したプラズマが前記処理容器の内側へ洩れることを防止するためのイオンシールドプレートが設けられる。
また例えば請求項30に規定するように、前記イオンシールドプレートは、前記活性種の流れを層流状に整流する整流機能を有している。
また例えば請求項31に規定するように、前記被処理体保持手段は、前記エッチングガスに対して耐腐食性になされている。
また例えば請求項32に規定するように、前記エッチングガス導入手段は、複数のガス孔が所定の間隔を隔てて形成されると共に、前記処理容器の長さ方向に沿って設けられた分散ノズルを有する。
また例えば請求項33に規定するように、前記処理容器の下端には、該処理容器の下端の開口部を必要に応じて開閉するシャッタ部材が設けられる。
また例えば請求項34に規定するように、前記処理容器には、該処理容器に設けられた熱媒体通路と、前記熱媒体通路に、前期中間体膜の形成時には冷却媒体を流し、前記中間体膜の除去時には加熱媒体を流すように制御する媒体制御部とよりなる温調手段が設けられる。
また例えば請求項35に規定するように、前記加熱不活性ガス導入手段は、複数のガス孔が所定の間隔を隔てて形成されると共に、前記処理容器の長さ方向に沿って設けられた分散ノズルを有する。
請求項36に係る発明は、内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、請求項22乃至35のいずれかに記載された前処理装置と、前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、前記前処理エリアに設けられて前記被処理体を多段に保持する被処理体保持手段を昇降移動させる昇降手段と、システム全体の動作を制御するシステム制御手段と、を備えたことを特徴とする処理システムである。
この発明によれば、被処理体の表面の酸化膜の除去処理(前処理)と、成膜等の主処理とを連続的に効率的に行うことができる。
この場合、例えば請求項37に規定するように、前記前処理エリアと前記主処理エリアとは分離区画壁により区画されると共に、前記分離区画壁には、前記前処理エリアと前記主処理エリアとの間で前記移載アーム手段により前記被処理体の移載を行う時に開閉される開閉ドアが設けられている。
また例えば請求項38に規定するように、前記被処理体導入手段は、両側に気密に開閉可能になされたゲートバルブを有して前記筐体を区画する区画壁に設けられた密閉ボックスよりなる。
また例えば請求項39に規定するように、前記筐体内の全体は、真空雰囲気または不活性ガス雰囲気になされている。
請求項40に係る発明は、表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、一側壁に縦長に搬出入口が形成されると共に、該搬出入口に気密に開閉可能になされた開閉ドアが設けられ、その内面が耐腐食性膜により覆われた金属材料よりなる縦長の処理容器と、前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、活性種用ガスを導入する活性種用ガス導入手段と、前記活性種用ガス導入手段より導入された活性種用ガスをプラズマにより活性化することにより活性種を形成し、該活性種を前記活性種用領域へ供給する活性種供給手段と、前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、前記排気口に接続される真空排気系と、前記処理容器の底部に回転可能に設けられる回転台と、前記回転台上に起立させて設けられると共に前記被処理体を複数枚多段に保持する被処理体保持手段と、前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、を備えたことを特徴とする前処理装置である。
この発明によれば、被処理体の表面における中間体膜の形成処理と、中間体膜の除去処理とを同一の処理容器内で連続して行うことができる。
この場合、例えば請求項41に規定するように、前記活性種供給手段は、前記活性種用ガスをマイクロ波により活性化するマイクロ波発生源を有する。
また例えば請求項42に規定するように、前記マイクロ波は2.45GHzまたは400MHzである。
また例えば請求項43に規定するように、前記活性種用領域に前記活性種の流れを層流状に整流する整流板が設けられる。
請求項44に係る発明は、表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、一側壁に縦長に搬出入口が形成されると共に、該搬出入口に気密に開閉可能になされた開閉ドアが設けられ、その内面が耐腐食性膜により覆われた金属材料よりなる縦長の処理容器と、前記処理容器の他の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、前記活性種用領域へ活性種用ガスを導入する活性種用ガス導入手段と、前記活性種用領域へ導入された活性種用ガスに対してプラズマを立てて活性種を形成するプラズマ形成手段と、前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、前記排気口に接続される真空排気系と、前記処理容器の底部に回転可能に設けられる回転台と、前記回転台上に起立させて設けられると共に前記被処理体を複数枚多段に保持する被処理体保持手段と、前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、を備えたことを特徴とする前処理装置である。
この発明によれば、被処理体の表面における中間体膜の形成処理と、中間体膜の除去処理とを同一の処理容器内で連続して行うことができる。
この場合、例えば請求項45に規定するように、前記プラズマ形成手段は、前記活性種用領域に沿って設けられた電極と、該電極に接続された高周波電源とよりなる。
また例えば請求項46に規定するように、前記高周波電源で発生する高周波の周波数は13.56MHzである。
また例えば請求項47に規定するように、前記活性種用領域には、該活性種用領域内で発生したプラズマが前記処理容器の内側へ洩れることを防止するためのイオンシールドプレートが設けられる。
また例えば請求項48に規定するように、前記イオンシールドプレートは、前記活性種の流れを層流状に整流する整流機能を有している。
請求項49に係る発明は、内部が主処理エリアになされた筐体と、前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、前記筐体の一側に連設された請求項40乃至48のいずれかに記載された前処理装置と、前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、システム全体の動作を制御するシステム制御手段と、を備えたことを特徴とする処理システムである。
この発明によれば、被処理体の表面の酸化膜の除去処理(前処理)と、成膜等の主処理とを連続的に効率的に行うことができる。
この場合、例えば請求項50に規定するように、前記被処理体導入手段は、両側に気密に開閉可能になされたゲートバルブを有して前記筐体を区画する区画壁に設けられた密閉ボックスよりなる。
また例えば請求項51に規定するように、前記筐体内の全体は、真空雰囲気または不活性ガス雰囲気になされている。
請求項52に係る発明は、内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、前記前処理エリアを区画する天井部に設けられた請求項1乃至13のいずれかに記載のプラズマ処理装置と、前記前処理エリアを区画する天井部に前記プラズマ処理装置と並んで設けられた請求項14乃至17のいずれかに記載の熱処理装置と、前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、前記前処理エリアに設けられて複数枚の被処理体を多段に保持する被処理体保持手段を前記プラズマ処理装置と前記処理装置との間で移動させる保持手段搬送機構と、システム全体の動作を制御するシステム制御手段と、を有する処理システムにより前記被処理体に対して所定の処理を施すに際して、前記被処理体の表面に形成されている酸化膜に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成する工程と、前記中間体膜を加熱することにより前記中間体膜を除去する工程と、前記中間体膜が除去された前記被処理体に前記主処理装置により所定の主処理を施す工程とを順次実行するように前記処理システムを制御するプログラムを記憶する記憶媒体である。
請求項53に係る発明は、内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、請求項22乃至35のいずれかに記載された前処理装置と、前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、前記前処理エリアに設けられて前記被処理体を多段に保持する被処理体保持手段を昇降移動させる昇降手段と、システム全体の動作を制御するシステム制御手段と、を有する処理システムにより前記被処理体に対して所定の処理を施すに際して、前記被処理体の表面に形成されている酸化膜に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成する工程と、前記中間体膜を加熱することにより前記中間体膜を除去する工程と、前記中間体膜が除去された前記被処理体に前記主処理装置により所定の主処理を施す工程とを順次実行するように前記処理システムを制御するプログラムを記憶する記憶媒体である。
請求項54に係る発明は、内部が主処理エリアになされた筐体と、前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、前記筐体の一側に連設された請求項40乃至48のいずれかに記載された前処理装置と、前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、システム全体の動作を制御するシステム制御手段と、を有する処理システムにより前記被処理体に対して所定の処理を施すに際して、前記被処理体の表面に形成されている酸化膜に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成する工程と、前記中間体膜を加熱することにより前記中間体膜を除去する工程と、前記中間体膜が除去された前記被処理体に前記主処理装置により所定の主処理を施す工程とを順次実行するように前記処理システムを制御するプログラムを記憶する記憶媒体である。
この場合、例えば請求項55に規定するように、前記活性種用ガスは、NH ガス、H ガスとN ガス、H ガスとN ガスとNH ガスの3つのグループより選択される1つのグループが用いられる。
本発明に係るプラズマ処理装置、熱処理装置、処理システム、前処理装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
請求項1〜13に係る発明によれば、被処理体の表面に形成されている酸化膜に活性種と共にエッチングガスを晒して反応させることにより、加熱でもって分解、或いは昇華する中間体膜を効率的に形成することができる。
請求項14〜17に係る発明によれば、被処理体の表面の上記中間体膜を加熱してこれを効率的に分解、或いは昇華させて除去することができる。
請求項18〜21、36〜39、49〜55に係る発明によれば、被処理体の表面の酸化膜の除去処理(前処理)と、成膜等の主処理とを連続的に効率的に行うことができる。
請求項22〜35、40〜48に係る発明によれば、被処理体の表面における中間体膜の形成処理と、中間体膜の除去処理とを同一の処理容器内で連続して行うことができる。
以下に、本発明に係るプラズマ処理装置、熱処理装置、処理システム、前処理装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
<処理システムの第1実施例>
図1は本発明に係る処理システムの第1実施例を示す概略斜視図、図2は図1に示す第1実施例の概略横断面図、図3は主処理装置を示す概略構成図、図4は図1に示す第1実施例のプラズマ処理装置と熱処理装置側を示す縦断面図、図5は本発明に係るプラズマ処理装置の第1実施例を示す断面図、図6は図5に示すプラズマ処理装置の横断面図、図7は図5に示すプラズマ処理装置の整流板を示す平面図、図8は本発明に係る熱処理装置を示す断面図、図9はシリコン酸化膜とシリコン膜に対するエッチング量の温度依存性を示すグラフ、図10はケイフッ化アンモニウム[(NH SiF ]の蒸気圧曲線を示すグラフである。
まず、図1及び図2に示すように、この処理システム2は、全体が立方体或いは直方体のような箱状に形成された気密性の筐体4を有している。この筐体4は、例えばアルミニウム等の金属材料により成形されており、その内面は例えばアルマイト処理がなされて耐腐食性の皮膜、例えばアルマイト皮膜(酸化アルミニウム)により覆われている。尚、この筐体4を、例えばステンレススチール等の他の金属で形成するようにしてもよい。
そして、この筐体4の内部は、図2にも示すようにその表面がアルマイト等の耐腐食性の皮膜により覆われた例えばアルミニウム製の分離区画壁6により主処理エリア8と前処理エリア10との2つの部屋に気密に区画分離されている。上記区画分離壁6の一側には、被処理体である半導体ウエハを挿通できる大きさの横幅を有する開口12が形成されており、この開口12には図示しない開閉機構に連結された開閉ドア14が開閉可能に取り付けられている。またこの開閉ドア14の周辺部には、Oリング等よりなるシール部材14Aが取り付けられており、上記開閉ドア14を閉じた時に、その気密性を高めるようになっている。
上記主処理エリア8を区画する筐体4の区画壁の外側、具体的にはフロント側には、棚状になされた搬出入ポート16が設けられており、この搬出入ポート16上に被処理体である半導体ウエハWを複数枚、例えば10枚〜25枚程度収容することができるカセット等の収納容器18を載置できるようになっている。図1及び図2においては搬出入ポート16上には2つの収納容器18が載置されている。
またこの搬出入ポート16と主処理エリア8の区画壁との境界部分には、この主処理エリア8内の密閉状態を破ることなく上記収納容器18内のウエハWを主処理エリア8内へ導入するための被処理体導入手段20が設けられている。具体的には、この被処理体導入手段20は、上記区画壁を貫通するようにして設けた密閉ボックス22を有しており、この密閉ボックス22の両側には気密に開閉可能になされたゲートバルブ24、26がそれぞれ設けられている。上記密閉ボックス22は、この内部に上記収納容器18を収容し得る大きさに設定されており、一方の大気側のゲートバルブ24を開状態にすることにより搬出入ポートと密閉ボックス22との間で収納容器18の搬出入を行い、他方の主処理エリア8側のゲートバルブ26を開状態にすることにより、密閉ボックス22内に設置された収納容器18内と主処理エリア8内との間でウエハWの搬出入を行うようになっている。
そして、この密閉ボックス22には、この内部へ不活性ガスとして例えばN ガスを必要に応じて供給できる不活性ガス導入路28と、この内部雰囲気を必要に応じて真空排気することができる真空排気路30とが接続されている。
また同様に、上記主処理エリア8及び前処理エリア10にも、それぞれの内部へ不活性ガスとして例えばN ガスを必要に応じて供給できる不活性ガス導入路32、34と、それぞれの内部雰囲気を必要に応じて真空排気することができる真空排気路36、38がそれぞれ接続されている。
そして、この主処理エリア8の奥側であって、この主処理エリア8を区画する天井部には、ウエハWに対して主たる所定の処理を施すための主処理装置40が設けられる。ここでは主たる所定の処理として例えばウエハWの表面に特定の膜種の成膜処理を施すものとする。
また上記前処理エリア10を区画する天井部には、本発明に係るプラズマ処理装置42と熱処理装置44とが並んで起立させて設けられている。図示例では、プラズマ処理装置42がフロント側に配置され、熱処理装置44がバック側に配置されている。ここで上記プラズマ処理装置42では、ウエハ表面に形成されている自然酸化膜(SiO )に対してプラズマにより発生した活性種とエッチングガスを晒して自然酸化膜と反応させることにより中間体膜を形成する中間体膜形成ステップを行い、上記熱処理装置44では、上記ウエハ表面に形成された中間体膜を加熱することによりこれを分解、或いは昇華させて除去する中間体膜除去ステップを行う。そして、これらのプラズマ処理装置42と熱処理装置44はそれぞれ種々の構成例が存在し、その詳細については後述する。尚、この中間体膜形成ステップと中間体膜除去ステップとで、いわゆる前処理工程を構成することになり、この前処理工程を行うことによりウエハ表面に形成されていた自然酸化膜がクリアに完全に除去されて、ウエハ表面が活性化された状態となる。そして、この表面が活性化状態となったウエハ上に上記主処理装置40により所定の膜種の薄膜、例えばゲート絶縁膜等が堆積されることになる。
そして、上記密閉ボックス22における収納容器18内のウエハWを主処理エリア8内に取り込むために、この主処理エリア8内には、上記密閉ボックス22に面して移載アーム手段46が設けられており、この移載アーム手段46は屈曲及び回転(旋回)可能になされている。また、この移載アーム手段46は、例えばボールネジ等よりなる昇降機構48に取り付けられ、上下移動可能になされている。この移載アーム手段46は、例えば屈曲可能になされた多関節アーム機構よりなり、その先端に設けたピック46AによりウエハWを保持し、後述する主処理装置40のウエハボートやプラズマ処理装置42のウエハボートに対してウエハWの移載を行い得るようになっている。
また、上記プラズマ処理装置42と熱処理装置44とでは、一度に複数枚のウエハに対して処理を施すために、複数枚、例えば20〜100枚程度のウエハを多段に保持する被処理体保持手段(後述する)としてのウエハボートを有するが、ここではプラズマ処理装置42と熱処理装置44とで共通に1つのウエハボートを用いる。そのため、上記前処理エリア10の底部には、上記ウエハボートを移動させるための保持手段搬送機構50(図2参照)が設けられている。具体的には、この保持手段搬送機構50は、上記ウエハボートを上下方向へ昇降させる例えば垂直ボールネジ等よりなる昇降機構50Aと、この昇降機構50Aの全体を水平方向へ移動させる例えば水平ボールネジ等よりなる水平移動機構50Bとよりなり、上記プラズマ処理装置42と熱処理装置44との間でウエハボートを移動させることができると共に、このウエハボートを上記各装置42、44内へそれぞれロード及びアンロードできるようになっている(図4参照)。
そして、この処理システム2の全体の動作は、コンピュータよりなるシステム制御手段52(図2参照)により制御され、また、このシステム制御手段52は、この処理システム2の動作全体を制御するためのプログラムを記憶する例えばフロッピディスクやフラッシュメモリ等の記憶媒体54を有している。
ここで図3を参照して、上記主処理装置40について簡単に説明する。この主処理装置40は、この全体が例えば主処理エリア8の天井板4A(図1参照)により支持されている。この主処理装置40は、例えば石英よりなる有天井の縦長円筒体状の処理容器60を有しており、この下端は開口されてこの開口に例えばステンレススチール製の円筒体状のマニホールド62が取り付けられている。そして、この処理容器60の外周には、ウエハWを加熱するための筒体状の加熱ヒータ64が設けられている。この処理容器60内には、複数枚のウエハWを所定の間隔を隔てて多段に支持できる例えば石英製のウエハボート66がその下方より挿脱可能に収容されている。具体的には、このウエハボート66は、回転台68上に石英製の保温筒70を介して載置されており、上記回転台68は、上記マニホールド62の下端開口部を気密に開閉する例えばステンレススチール製の蓋体72を貫通して設けられる回転軸74の上端に支持されている。そして、上記回転軸74の貫通部には、上記処理容器60内の気密性を保持しつつこの回転軸74の回転を許容する磁性流体シール76が設けられている。そして、この蓋体72は、昇降機構78のアーム78Aに取り付けられており、この蓋体72とウエハボート66等とを一体的に昇降させて処理容器60内にウエハボート66をロード及びアンロードできるようになっている。
また上記蓋体72の周辺部とマニホールド62の下端との接触部及びマニホールド62の上端と処理容器60の下端との接触部には、それぞれ気密性を保持するためのOリング等よりなるシール部材80、82がそれぞれ設けられている。
そして、上記マニホールド62には、成膜処理に必要とするガスを導入する成膜ガス導入手段84が設けられて流量制御可能に成膜ガスを導入できるようになっている。また、この処理容器60の上部には、この内部の雰囲気を真空排気するために図示しない真空ポンプや圧力調整弁が介設された真空排気路86が接続されている。尚、図示されないが、N ガス等の不活性ガスを導入するN ガス導入手段も実際には設けられる。
そして、この主処理装置40の全体の動作は、例えばマイクロコンピュータ等よりなる制御手段88により制御され、この制御手段88は、上記動作を制御するプログラムが記憶されたフロッピディスクやフラッシュメモリ等よりなる記憶媒体90を有している。尚、この制御手段88は、上記システム制御手段52の支配下で動作することになる。そして、このように構成された主処理装置40において、前述したように前処理工程によりウエハ表面の自然酸化膜が除去されたウエハ表面上に、主処理として所定の膜種の成膜処理が施されることになる。
次に、図4〜図8を参照して上記プラズマ処理装置42と熱処理装置44について説明する。
上記プラズマ処理装置42と熱処理装置44は、図1及び図4にも示すように、前処理エリア10を区画する天井板4Bに並設されており、ここではウエハWを保持する被処理体保持手段であるウエハボート90と処理容器の下端の開口部を閉じる蓋部92は、両処理装置42、44に対して同一のものが共通に用いられる。
[プラズマ処理装置の第1実施例]
まず、プラズマ処理装置の第1実施例について説明する。このプラズマ処理装置42は、有天井の縦長に形成された円筒体状の処理容器94を有している。この処理容器94の下端は開口されて開口部94A(図4参照)を有しており、この開口部94Aに上記蓋部92がOリング等のシール部材96を介して密閉状態に開閉可能に設けられる。上記処理容器94及び蓋部92はそれぞれ例えばアルミニウム等の金属材料よりなり、それぞれの内面は後述するエッチングガスに対して腐食性の大きな耐腐食性膜、例えばアルマイト皮膜(Al )により覆われている。尚、上記処理容器94は接地されている。
そして、上記蓋部92には、磁性流体シール98を介して貫通された回転軸100が回転可能に設けられている。この回転軸100の上端部には回転台102が取り付けられており、この回転台102上にウエハWを多段に保持する上記ウエハボート90が設置されている。このウエハボート90は例えばアルミニウム等の金属材料よりなり、その表面はエッチングガスに対して腐食性の大きな耐腐食性膜、例えばアルマイト皮膜により覆われて耐腐食性になされている。そして、上記回転軸100の下部は、前記保持手段搬送機構50の昇降機構50Aのアーム104に回転可能に支持されており(図4参照)、図示しない回転駆動源により回転可能になされている。従って、上記昇降機構50Aを駆動することにより、上記蓋部92とウエハボート90等を一体的に昇降させて、ウエハボート90を処理容器94内に対してロード・アンロードできるようになっている。
そして、この処理容器94の一側壁には、その外側へ凸状に突出させて形成された縦長の活性種用領域106が形成されている。この活性種用領域106の長さは、上記ウエハボート90の高さ方向の略全体の長さをカバーできる長さに設定されている。そして、この活性種用領域106には処理容器94内へエッチングガスとしてフッ化ガス、例えばNF を流量制御しつつ導入するためのエッチングガス導入手段108が設けられている。具体的には、このエッチングガス導入手段108は、上記活性種用領域106の長さ方向に沿って設けられた分散ノズル110を有しており、この分散ノズル110には複数のガス孔110Aが所定の間隔を隔てて多数形成されており、各ガス孔110Aより水平方向にエッチングガスを噴射して処理容器94の中心方向へ向けて供給できるようになっている。この分散ノズル110は上記エッチングガスに対して耐腐食性の大きな材料、例えば表面がアルマイト皮膜で覆われたアルミニウムにより構成されている。
また上記活性種用領域106には、この領域106に活性種を供給するための活性種供給手段112が設けられている。具体的には、上記活性種供給手段112は、上記活性種領域106の高さ方向の略中央部に形成したガス穴114に連結した活性種用配管116を有しており、この活性種用配管116の途中に導波管118を介してマイクロ波発生源120を接続している。そして、この活性種用配管114の端部に活性種用ガスを導入する活性種用ガス導入手段122を接続しており、活性種用ガスを流量制御しつつ導入できるようになっている。従って、上記導入された活性種用ガスは、上記マイクロ波発生源120からのマイクロ波によってプラズマ化されると共に活性化され、発生した活性種が上記ガス穴114より活性種用領域106内に供給されて全領域に向けて拡散させるようになっている。
ここで上記マイクロ波の周波数としては、例えば2.45GHzが用いられるが、これに限定されず、他の周波数、例えば400MHzを用いるようにしてもよい。また上記活性種用ガスとしては、例えばN ガスとH ガスとNH ガスとの組み合わせを用いることができるが、これに限定されず、N ガスとH ガスとの組み合わせ、或いはNH ガスのみを単独で用いることもできる。
上記のようにN 、H 、NH ガス等の活性種とNF ガスをウエハW上の自然酸化膜(SiO )と反応させることによって、例えばケイフッ化アンモニウムよりなる中間体膜を形成するようになっている。
また上記活性種用領域106の縦長の開口部分には、上記活性種及びエッチングガスの流れを層流状態に整流するための多数の整流板124が設けられている。具体的には、この整流板124は、図7にも示すように例えば厚さが10mm程度のアルミニウム板に所定の間隔で多数のガス流通孔126を穿設することにより形成されており、このガス流通孔126を有するアルミニウム板を上記活性種用領域106の縦長の開口部分に嵌め込むことにより取り付けられている。この整流板124の表面全体も例えばアルマイト皮膜により覆われており、耐腐食性を高めるようになっている。またこの整流板124の全体も接地されている。尚、上記分散ノズル110は、活性種用領域106内ではなく、上記整流板124の内側の処理容器94内に設けるようにしてもよい。
また上記活性種用領域106に対向する容器側壁には、この処理容器94内の雰囲気を排気するための排気口128が設けられている。この排気口128はウエハボート90の高さを十分にカバーできる長さを有しており、図6にも示すように断面凸部状に外側へ突出させた側壁により区画されている。そして、この排気口128を囲む側壁には、途中に圧力制御弁132や図示しない真空ポンプが介設された真空排気系130が接続されており、上記排気口128を介して処理容器94内の雰囲気を真空引きすると共に、容器内を所定の真空圧に維持できるようになっている。そして、処理容器94の天井部には、圧力計134が設けられており、この圧力計134の検出値に基づいて上記圧力制御弁132を制御するようになっている。
また上記処理容器94には、容器壁を冷却するための冷却手段136が設けられている。具体的には、この冷却手段136は、上記処理容器94の区画壁に設けた熱媒体通路138を有しており、この熱媒体通路138に冷却媒体源140より冷却媒体を流すことにより、処理容器94の温度を冷却して所定の温度に維持できるようになっている。そして、この処理容器94の下端の開口94Aには、上記ウエハボート90がアンロードされて下方へ降下された時に上記開口部94Aを閉じるシャッタ部材142(図4参照)が図示しないスライド機構によりスライド可能に設けられる。
そして、このように形成されたプラズマ処理装置42の装置全体の動作は、例えばマイクロコンピュータ等よりなる制御手段144により制御される。この制御手段144は、システム制御手段52(図2参照)の配下で動作すると共に、制御のためにプログラムを記憶するためのフロッピディスクやフラッシュメモリよりなる記憶媒体146を有している。
[熱処理装置]
次に図8を参照して熱処理装置について説明する。
図8に示すように、この熱処理装置44は、有天井の縦長に形成された円筒体状の処理容器150を有している。この処理容器150の下端は開口されて開口部150A(図4参照)を有しており、この開口部150Aに上記蓋部92がOリング等のシール部材96を介して密閉状態に開閉可能に設けられる。尚、この蓋部92及びこの蓋部92に取り付けた回転台102上に設置したウエハボート90等は、前述したようにプラズマ処理装置42と共用される。上記処理容器150は例えばアルミニウム等の金属材料よりなり、それぞれの内面は耐腐食性の大きな耐腐食性膜、例えばアルマイト皮膜(Al )により覆われている。尚、ここでは石英を削るエッチングガスを用いないので、処理容器150の構成材料として石英(SiO )や他の金属材料、例えばステンレススチール等を用いてもよい。そして、前述したように上記蓋部92の回転台102上に設置されたウエハボート90が処理容器150内へその下方の開口部150Aよりロード・アンロードされる。
そして、上記処理容器150の外側には、これを囲むようにして円筒体状の加熱ヒータよりなる外側加熱手段152が設けられており、主として処理容器150を直接的に加熱し得るようになっている。またこの処理容器150の内部には、この中にロードされているウエハボート90を囲むようにして内側加熱手段154が設けられる。この内側加熱手段154は、耐熱性があってウエハWに対する汚染の恐れが少ない例えばカーボンワイヤヒータ154Aよりなり、このカーボンワイヤヒータ154Aを処理容器150の高さ方向に延在するようにU字状に屈曲成形して天井部に支持させており、ウエハボート90の周囲に複数本、例えば4本均等に配置してウエハボート90に保持されているウエハWを直接的に加熱し得るようになっている。尚、図4においては、このカーボンワイヤヒータ154Aは2本のみ記す。このように、ウエハWを直接的に加熱することによって、これを急速に昇温してウエハ表面に形成されているケイフッ化アンモニウムよりなる中間体膜を分解、或いは昇華させて除去できるようになっている。
この処理容器150の天井部には排気口156が形成されており、この排気口156には、途中に圧力制御弁158や図示しない真空ポンプ等が介設された真空排気系160が接続されており、処理容器150内の雰囲気を真空引きしつつこの圧力を所定の真空圧に維持できるようになっている。またこの処理容器150の側壁には、この容器内の圧力を検出する圧力計162が設けられており、この検出値に基づいて上記圧力制御弁158を制御するようになっている。
またこの処理容器150には、この内部へ必要に応じて不活性ガスを導入するための不活性ガス導入手段164が設けられている。図示例ではこの不活性ガス導入手段164は、処理容器150の天井部から容器底部に向けて挿通された例えば表面がアルマイト処理されたアルミニウム製のガスノズル164Aを有しており、不活性ガスとして例えばN ガスを処理容器150内の底部側に必要に応じて流量制御しつつ供給できるようになっている。
また処理容器150の天井部からは、内部に所定間隔で複数の、例えば熱電対よりなる温度測定素子168が収容された例えば石英チューブ166が挿通させて支持されており、ウエハWの温度を高さ方向に区分されたゾーン毎に検出し、この検出値を例えばマイクロコンピュータ等よりなる制御手段170に入力してウエハ温度を制御できるようになっている。
そして、この処理容器150の下端の開口150Aには、上記ウエハボート90がアンロードされて下方へ降下された時に上記開口部150Aを閉じるシャッタ部材172(図4参照)が図示しないスライド機構によりスライド可能に設けられる。
そして、このように形成された熱処理装置44の装置全体の動作は、例えばマイクロコンピュータ等よりなる上記制御手段170により制御される。この制御手段170は、システム制御手段52(図2参照)の配下で動作すると共に、制御のためにプログラムを記憶するためのフロッピディスクやフラッシュメモリよりなる記憶媒体173を有している。
次に、以上のように構成された処理システム2の第1実施例の動作について説明する。
まず、半導体ウエハWの全体的な流れについて説明する。尚、ここでは筐体4内の全体が不活性ガスとして例えばN 雰囲気になされているものと仮定する。
図1及び図2に示すように、処理システム2の搬出入ポート16に、その内部にウエハWが収容された収納容器18が載置され、この収納容器18の内の1つが、開かれたゲートバルブ24を介して密閉ボックス22内へ収容される。この密閉ボックス22では上記ゲートバルブ24を閉じて内部雰囲気がN ガスに置換され、そして、内側のゲートバルブ26が開かれる。
次に、主処理エリア8と前処理エリア10とを区画する分離区画壁6に設けた開閉ドア14を開いた状態とし、主処理エリア8内に設けた移載アーム手段46を用いて、これを屈曲、旋回及び上下動させることによって上記収納容器18内のウエハWを、プラズマ処理装置42の下方に降下されているウエハボート90(図4も参照)へ移載する。上記移載操作は、ウエハボート90にウエハWが例えば満載状態になるまで行う。尚、このウエハWは例えばシリコン基板よりなり、その表面には待機中に清浄空気等に晒されていることから、除去すべき自然酸化膜(SiO )が形成されてしまっている。
上述のように、ウエハWの移載が完了したならば、上記開閉ドア14を閉じると共に、保持手段搬送機構50の昇降機構50A(図2参照)を駆動して、このウエハボート90をプラズマ処理装置42の処理容器94内へロードし(図5参照)、ここでマイクロ波により発生させたプラズマによって生成されたN 、H 、NH ガスの活性種とエッチングガスであるNF ガスの作用によってウエハ表面の自然酸化膜と反応させ、ケイフッ化アンモニウムよりなる中間体膜を形成する。尚、ここでの中間体膜形成の過程は後述する。
上述のようにして中間体膜形成ステップが完了したならば、保持手段搬送機構50の昇降機構50A及び水平移動機構50Bをそれぞれ駆動して、上記ウエハWの搭載されているウエハボート90を降下させてアンロードすると共に、このウエハボート90を横方向へ移動し、再度、ウエハボート90を上昇させてこれを熱処理装置44の処理容器150内へその下方よりロードする。尚、ウエハボート90を下方へ降下させている時には、上記プラズマ処理装置42と熱処理装置44の各処理容器94、150の下端の開口部94A、150Aは、それぞれのシャッタ部材142、172により閉じておく。
上述のように、中間体膜の形成されたウエハWを熱処理装置44の処理容器150内へロードしたならば、外側加熱手段152及び内側加熱手段154によってこのウエハWを所定の温度まで昇温して維持することにより、ウエハ表面の中間体膜を分解、或いは昇華させることによってこれを除去する。この時に発生したガスは、容器内へ導入されているN ガスと共に、或いは単独で真空排気される。これにより、ウエハWの表面は、自然酸化膜の付着していない活性な状態となる。ここで、この一連の操作中、分離区画壁6に設けた開閉ドア14は閉じられているので、ウエハWに悪影響を与える上記中間体膜の飛沫等が主処理エリア8に流入することを防止できる。
このようにして、中間体膜除去ステップが完了することによって前処理工程が終了したならば、上記保持手段搬送機構50を再度駆動することによって、このウエハボート90を熱処理装置44の処理容器150からアンロードすると共に、これを水平移動させて、図4に示すようにプラズマ処理装置42の下方であるホームポジションに位置させる。
次に、分離区画壁6の開閉ドア14を開くことによって主処理エリア8と前処理エリア10とを連通し、主処理エリア8内の移載アーム手段46を駆動することによって、上記ウエハボート90内のウエハWを、主処理装置40の下方へ降下されている主処理装置40のウエハボート66(図3参照)へ全て移載する。
そして、上記ウエハボート66への移載が完了したならば、このウエハボート66を主処理装置40の処理容器60内へロードし、主処理として例えば所定の膜種、例えばゲート絶縁膜等の成膜処理を活性状態の表面になっているウエハW上に施すことになる。尚、上記ゲート絶縁膜としてはSiO の他に、例えばHfSiO、HfO 等のいわゆるhigh−k(高比誘電率)の薄膜を形成することができる。
このようにして、主処理が完了したならば、上記ウエハボート66を降下させて処理容器60からアンロードし、上記移載アーム手段46を用いて上記処理済みのウエハWを、上記密閉ボックス22内の空の収納容器18に移載し、移載完了後に、この収納容器18を外側の搬出入ポート16側へ取り出すことによって一連の動作が終了する。
このように、この処理システムによれば、被処理体の表面の酸化膜の除去処理(前処理)と、成膜等の主処理とを連続的に効率的に行うことができる。
次に図5に示すプラズマ処理装置42における動作について詳しく説明する。
図5に示すように、蓋部92により処理容器94内を密閉した状態でウエハボート90を回転させ、この処理容器94内を真空引きしつつエッチングガス導入手段108よりエッチングガスとしてNF ガスを導入し、また、活性種用ガス導入手段122により活性種用ガスとしてN ガス、H ガス及びNH ガスをそれぞれ導入する。尚、NF ガスはキャリアガスとして不活性ガス、例えばN ガスと共に流すようにしてもよい。この活性種用ガスは、活性種用配管110内を流れつつ活性種供給手段112のマイクロ波発生源120より伝達されてくる例えば2.45GHzのマイクロ波によってプラズマ化されると共に、活性化されて活性種が形成される。
この活性種は、ガス穴114から上下方向に延びる活性種用領域106内に流れ込んでこの領域106内にて上下方向へ拡散して行く。そして、この活性種は、分散ノズル110の各ガス孔110Aより噴射されるNF ガスと混合され、この混合ガスは多数の整流板124によって水平方向へ整流されつつ層流状態となってウエハボート90に保持されている各ウエハW間に流れ込むことになる。この際、上記混合ガスがウエハWの表面の自然酸化膜と後述するように反応してケイフッ化アンモニウムよりなる中間体膜が形成されることになる。そして、ウエハW間を通過した残留ガスは、活性種用領域106の反対側に形成されて細長い排気口128を介して真空排気系130から系外へ排出されることになる。
この時の反応メカニズムは次のようになる。すなわち、活性種用ガスの活性種、例えばN*、H*、NH*、NH *、NH *(以下、”*”は活性種を示す)がNF と反応してNHxFy(x、y:正数)が形成され、このNHxFyがウエハ表面の自然酸化膜(SiO )と反応して中間体膜であるケイフッ化アンモニウム[(NH SiF ]と水(H O)とが生成されることになる。
この時の処理容器94内の圧力は、例えば100〜400Pa程度である。またこの時のウエハWは温度の高い混合ガスによって加熱される傾向にあるが、処理容器94に設けた冷却手段136の熱媒体通路138に冷却媒体として例えば冷却水を流すことにより、ウエハ温度を室温程度、例えば20〜30℃に冷却維持し、中間体膜を選択性良く効率的に生成する。
ここでウエハWの温度を室温程度に維持する理由を図9を参照して説明する。図9はシリコン酸化膜(自然酸化膜)とシリコン膜(ポリシリコン)に対するエッチング量の温度依存性を示すグラフである。尚、ここでエッチング量とはエッチングガス等と反応して形成される中間体膜の膜厚を示す。図9に示すように、シリコン膜に対するエッチング量は温度に関係なく略一定であるのに対して、自然酸化膜のエッチング量は温度が低下する程増加しており、シリコン膜に対する選択性が大きくなっている。また過度にウエハWを冷却すると、プラズマ処理装置42の処理容器94の外壁に大気中の水分による結露が発生するので好ましくない。
従って、下地のシリコン膜にダメージを与えることなくこのシリコン膜に対して選択性良く自然酸化膜をエッチングするためには、上述のようにウエハ温度を20〜30℃程度の範囲内に設定するのが好ましいことが理解できる。
このように、このプラズマ処理装置42によれば、ウエハWの表面の自然酸化膜を効率的に中間体膜へと変換することができる。
また活性種用領域106から処理容器94の中心に向けて流出するエッチングガスと活性種との混合ガスは、多数の整流板124によって整流されて層流状態となるので、これに乱流が生ずることを防止でき、ウエハ表面に均一に混合ガスを晒して接触させることができる。
更には、処理容器94、蓋部92、ウエハボート90及び整流板124等の容器内雰囲気に晒される部材は、耐腐食性のある例えばアルマイト皮膜により覆われた金属材料(アルミニウム)により形成したので、これらの各部材が腐食されることを防止することができる。尚、処理済みのウエハWを下方へアンロードした後には、処理容器94の下端の開口部94Aをシャッタ部材142(図4参照)で閉じ、中間体膜の飛散を防止する。
次に、図8に示す熱処理装置44における動作について詳しく説明する。
図8に示すように、蓋部92により処理容器150内を密閉した状態でウエハボート90を回転させ、ウエハWを所定の温度まで昇温維持しつつこの処理容器150内を真空引きする。この場合、この熱処理装置44のアイドリング時にも外側加熱手段152はオン状態にして処理容器150を一定の温度以上に設定しておき、プラズマ処理装置42で処理の完了した室温のウエハWがこの処理容器150内へロードされた時に、内側加熱手段154をオンし、ウエハWを昇温する。これにより、容器自体は予め加熱されているのでウエハWの温度を所定の温度まで迅速に昇温することができる。
このように、ウエハWを高温に加熱することにより、ウエハ表面に形成されていたケイフッ化アンモニウム[(NH SiF ]よりなる中間体膜は、SiF 、NH 、HF、H O等のガスに分解したり、或いはそのまま昇華してガスとなって除去されて行くことになる。これにより、ウエハ表面は水素終端された清浄な活性状態のシリコン表面が露出した状態となる。この場合、分解ガスや昇華ガスの排出を促進するために不活性ガス導入手段164より不活性ガス、例えばN ガスを流量制御しつつ導入するようにしてもよい。
またこの時の処理容器150内のプロセス圧力は、できるだけ低い方がよく、例えば1〜1000Pa程度に維持する。またウエハ温度は、150〜250℃の範囲が好ましい。その理由は、図10に示す蒸気圧曲線に示すように、温度が高くなる程、ケイフッ化アンモニウムの分解、或いは昇華が早くなり、上述のように150〜250℃の範囲が好ましい。またウエハ温度が250℃よりも高くなると、ウエハに前工程で形成されている各種の膜に熱的ダメージを与えるので好ましくない。また、ウエハ温度が150℃よりも低いと、分解或いは昇華が大幅に低下し、好ましくない。
また処理容器150内にカーボンワイヤヒータ154Aよりなる内側加熱手段154を設けているので、上述したように、ウエハWを迅速に昇温して効率的に中間体膜を除去できるのみならず、ウエハWに対して汚染が生ずることも防止することができる。
また処理容器150を、先のプラズマ処理装置42の処理容器94と同様に、内側表面をアルマイト皮膜などの耐腐食性膜により覆った金属材料(アルミニウム)で構成することにより、中間体膜の分解や昇華に伴って発生した腐食性ガスに対して耐久性を持たせることができる。
尚、上述のように中間体膜除去ステップが完了したウエハWを下方へ降下させてアンロードした後には、この処理容器150の下端の開口部150Aをシャッタ部材172(図4参照)で閉じて、これから前処理エリア10内に対する放熱やパーティクルの飛散が生じないようにする。
そして、ここで表面が活性化状態となったウエハWは、筐体4内の不活性ガスであるN ガス雰囲気中を搬送されて直ちに主処理装置40内へロードされるので、再度、ウエハ表面に自然酸化膜が付着することはない。
[プラズマ処理装置の第2実施例]
次にプラズマ処理装置の第2実施例について説明する。図11は本発明に係るプラズマ処理装置の第2実施例を示す断面図、図12は図11に示すプラズマ処理装置に用いるプラズマ形成手段を設けた部分の一例を示す拡大断面図である。この第2実施例では、プラズマを立てる方法が異なる点を除いて図5に示す先の第1実施例と同じ構成なので、図5に示す構成部分と同一構成部分については同一符号を付し、その説明を省略する。すなわち、先の第1実施例ではプラズマを立てるために例えば2.45GHzのマイクロ波を用いたが、これに代えて、この第2実施例では例えば13.56MHzの高周波を用いている。
すなわち、この第2実施例のプラズマ処理装置200では、活性種用配管116にはマイクロ波発生源120(図5参照)を取り付けておらず、N 、H 、NH ガス等の活性種用ガスをガス穴114から直接的に縦長の活性種用領域106内へ導入して、ここで上下方向へ拡散させるようになっている。
そして、この活性種用領域106には、ここでプラズマを立てて活性種を形成するためのプラズマ形成手段202が設けられている。具体的には、このプラズマ形成手段202は、上記活性種用領域106の長手方向に沿って設けられた例えば表面がアルマイト処理されたアルミニウム製の電極204を有しており、この電極204に例えば13.56MHzの高周波電力を発生する高周波電源206が給電線208を介して接続されて、この電極204に高周波を印加できるようになっている。また、この給電線208の途中には、高周波によるプラズマの生成効率を高めるためにインピーダンスを整合させるマッチング回路210が介設されている。
上記電極204の配列方式は、図12(A)及び図12(B)に示すように2種類ある。図12(A)に示す場合には、上記活性種用領域106を区画する区画壁の対向面に、互いに対向するようにして一対の電極204を設けている。この電極204を設ける際には、活性種用領域106の区画壁に対して電気的に絶縁を図るために、例えばアルミナ等よりなる絶縁部材212が間に介設され、更にこの絶縁部材212の両側には、シール性を確保するために例えばOリング等のシール部材214が介在されている。そして、上記電極204には、稼働時にこれを冷却するための冷却水路216が形成されており、この電極204が高周波電力により加熱されることを防止している。そして、上記一対の電極204間に上記給電線208を介して上記高周波電源206が接続されている。従って、図12(A)中の矢印218に示すように、一対の電極204間に電界が発生することになる。
これに対して、図12(B)に示す場合には、上記図12(A)に示す一対の電極204の内のいずれか一方のみを設ける。図12(B)では、図中、下側の電極204が設けられた場合を示している。そして、この電極204と接地されている処理容器94との間に給電線208を介して高周波電源206を接続している。従って、図12(B)中の矢印220に示すように、電極204と処理容器94側の接地されている部分(活性種用領域106の区画壁や整流板(イオンシールドプレート)124を含む)との間に電界が発生することになる。
そして、この活性種用領域106の縦長の開口部分には、この領域内で発生したプラズマが処理容器94の内側へ洩れて入ることを防止するために接地されたイオンシールドプレート224が設けられている。具体的には、このイオンシールドプレート224は、第1実施例の整流板124と全く同じものであり、図7にも示すように例えば厚さが10mm程度のアルミニウム板に所定の間隔で多数のガス流通孔126を穿設することにより形成されており、このガス流通孔126を有するアルミニウム板を上記活性種用領域106の縦長の開口部分に嵌め込むことにより取り付けられている。このイオンシールドプレート224の表面全体も例えばアルマイト皮膜により覆われており、耐腐食性を高めるようになっている。またこのイオンシールドプレート224の全体も接地されている。
このイオンシールドプレート224を設けることにより、プラズマが活性種用領域106から洩れ出ることがなくなるので、処理容器94内のウエハWがプラズマダメージを受けることを防止することができる。尚、のイオンシールドプレート224が第1実施例の場合と同様に、活性種の流れを層流状に整流する整流機能を有しているのは勿論である。
また、ここではエッチングガス導入手段108の分散ノズル110は、第1実施例の場合と異なって、活性種用領域106内ではなく、上記イオンシールドプレート224よりも容器内側に設けており、エッチングガスであるNF ガスがプラズマにより分解されることを防止するようになっている。
このように構成された第2実施例のプラズマ処理装置200も、先の第実施例1と同じ作用を示すことになる。すなわち、活性種用ガス導入手段122により活性種用ガスとしてN ガス、H ガス及びNH ガスが活性種用配管110を介して活性種用領域106内に流れ込んでこの領域106内にて上下方向へ拡散して行く。この時、高周波電源206より供給される高周波電力が電極204に印加されているので、この高周波の作用により、上記活性種用ガスはプラズマ化されると共に活性化されて活性種が形成される。そして、この活性種は拡散しつつ整流機能を有するイオンシールドプレート224の整流板によって水平方向へ整流されつつ層流状態となって流れて行く。そして、この活性種は、分散ノズル110の各ガス孔110Aより噴射されるNF ガスと混合され、層流状態を保ってウエハボート90に保持されている各ウエハW間に流れ込むことになる。この際、上記混合ガスがウエハWの表面の自然酸化膜と前述したように反応してケイフッ化アンモニウムよりなる中間体膜が形成されることになる。そして、ウエハW間を通過した残留ガスは、活性種用領域106の反対側に形成されて細長い排気口128を介して真空排気系130から系外へ排出されることになる。
この場合、前述したように活性種用領域106の開口部にイオンシールドプレート224を設けていることから、プラズマが活性種用領域106から洩れ出ることがなくなるので、処理容器94内のウエハWがプラズマダメージを受けることを防止することができる。尚、上記高周波電力の周波数は13.56MHzに限定されず、他の周波数、例えば27MHz、40MHz等を用いるようにしてもよい。
<処理システムの第2実施例>
[前処理装置の第1実施例]
次に本発明に係る処理システムの第2実施例と前処理装置の第1実施例について説明する。
この処理システムの第2実施例では、処理システムの第1実施例で説明したプラズマ処理装置と熱処理装置とを組み合わせて1台の前処理装置としているので、装置の設備コストや占有面積を削減することが可能となる。
図13は本発明に係る処理システムの第2実施例を示す概略斜視図、図14は図13に示す第2実施例の概略横断面図、図15は本発明に係る前処理装置の第1実施例を示す断面図である。尚、図1に示す処理システムの第1実施例、図2に示す断面図及び図5に示すプラズマ処理装置の第1実施例の各構成部分と同一構成部分については同一符号を付してその説明を省略する。
上述したように、この第2実施例の処理システム230では、先のプラズマ処理装置と熱処理装置とを組み合わせて1台の前処理装置としているので、図13及び図14に示すように、先のプラズマ処理装置42(図1参照)に代えて上記前処理装置232を設けるだけであり、従って、図1において熱処理装置44を設けた部分及びその下方の前処理エリア10は不要となり、前処理エリア10の領域を半分に減少している。具体的には、上記前処理装置232は、新たに加熱不活性ガス導入手段を設けた点を除いて、図5に示すプラズマ処理装置の第1実施例と全く同じである。すなわち、この前処理装置232は、図5に示すプラズマ処理装置に対して、加熱不活性ガス導入手段233を設けることにより構成されている。
この加熱不活性ガス導入手段233は、整流板124よりも容器内側に容器長さ方向に沿って設けられた分散ノズル234を有しており、この分散ノズル234には複数のガス孔234Aが所定の間隔を隔てて多数形成されており、各ガス孔234Aより水平方向にエッチングガスを噴射して処理容器94の中心方向へ向けて供給できるようになっている。この分散ノズル234は上記エッチングガスに対して耐腐食性の大きな材料、例えば表面がアルマイト皮膜で覆われたアルミニウムにより構成されている。
そして、この分散ノズル234には、途中に気体加熱器236を介設したガス通路238が接続されており、不活性ガスとして例えばN ガスを必要に応じて加熱して供給できるようになっている。この気体加熱器236は例えば800〜1000℃程度にN ガスを加熱できるような能力を有している。
そして、ここでは処理容器94に設けた熱媒体通路138と、この熱媒体通路138に流す熱媒体を制御する媒体制御部240とよりなる温調手段242が設けられる。この温調手段242は、上記熱媒体通路138に対して、中間体膜の形成時には冷却媒体を流して冷却するようにし、中間体膜を加熱して除去する時には加熱媒体を流して加熱するように、必要に応じて冷却媒体と加熱媒体とを切り替えて流し得るようになっている。このような温調手段242としては、例えばチラーを用いることができる。
尚、この前処理装置232では、ウエハボート90は横方向へ移動させる必要がないことから、保持手段搬送機構50は、水平移動機構50B(図2参照)は不要であり、昇降機構50Aだけで構成されている。
次に、このように構成された前処理装置232の動作について説明する。
まず、中間体膜形成ステップを行う場合には、上記加熱不活性ガス導入手段233の動作を完全に停止させた状態で、図5に示したプラズマ処理装置と同様に動作させる。すなわち、活性種用ガス導入手段122から活性種用ガスを導入すると共に、これをマイクロ波発生源120からのマイクロ波により生じたプラズマによって活性化させて活性種を形成し、この活性種をエッチングガス導入手段108より導入されるNF ガスと混合させつつ活性種用領域106にて拡散してウエハWに晒し、これによってウエハ表面の自然酸化膜(SiO )と反応させてケイフッ化アンモニウムよりなる中間体膜を形成する。この際、温調手段242の媒体制御部240は、冷却媒体を熱媒体通路138に流して処理容器94を冷却することによってウエハWの温度を例えば10〜20℃程度の室温に保持する。
このようにして、所定の時間だけ中間体膜形成ステップに行ったならば、次に、中間体膜除去ステップへ移行する。すなわち、上記NF ガスや活性種用ガスの供給を停止すると共に、マイクロ波の供給も停止し、この代わりに、加熱不活性ガス導入手段233の動作を開始して、気体加熱器236で加熱したN ガスを分散ノズル234の各ガス孔234Aから噴射し、ウエハWを加熱することによって上記中間体膜を分解、或いは昇華させて除去する。
この際、上記気体加熱器236ではN ガスを例えば800〜1000℃程度に加熱することによってウエハWを150〜250℃程度まで加熱する。またこの時の処理容器94内のプロセス圧力は100〜80kPa程度の範囲内であり、中間体膜の分解速度、或いは昇華速度が十分に大きくなるように設定すればよい。この場合、媒体制御部240は熱媒体通路138に冷却媒体に代えて加熱媒体を流して処理容器94を例えば60〜80℃程度に加熱しておき、中間体膜の分解、或いは昇華を促進させる。
このように、所定の時間だけ中間体膜除去ステップを行うことにより、前処理工程、すなわち自然酸化膜除去工程を完了する。これ以降は、主処理装置40での処理が行われる。
以上のように、この前処理装置232及び処理システム230では、中間体膜形成ステップと中間体膜除去ステップとを一台の前処理装置232内で連続して行うようにしたので、装置の設備コストを削減できるのみならず、スループットも向上させることができ、しかも処理システム230の占有面積も削減することができる。
[前処理装置の第2実施例]
次に前処理装置の第2実施例について説明する。図16は本発明に係る前処理装置の第2実施例を示す断面図である。
上記第1実施例の前処理装置232に代えて、ここで説明する第2実施例の前処理装置250を用いることができる。この前処理装置250は、図11に示すプラズマ処理装置200の第2実施例に、図15で示す前処理装置の第1実施例で用いられた加熱不活性ガス導入手段234と温調手段242とを追加して設けることにより形成されているので、同一構成部分については同一符号を付してその説明を省略する。すなわち、図16に示すように、エッチングガス導入手段108の分散ノズル110に並べて加熱不活性ガス導入手段233の分散ノズル234を設けており、前述したように中間体膜除去ステップの時に、この分散ノズル234の各ガス孔234Aから加熱された不活性ガス、例えばN ガスを噴射できるようになっている。
次に、このように構成された前処理装置250の動作について説明する。
まず、中間体膜形成ステップを行う場合には、上記加熱不活性ガス導入手段233の動作を完全に停止させた状態で、図11に示したプラズマ処理装置と同様に動作させる。すなわち、活性種用ガス導入手段122から活性種用ガスを活性種用領域106へ導入すると共に、これを高周波電源206からの高周波により生じたプラズマによって活性化させて活性種を形成し、この活性種をイオンシールドプレート224を介して処理容器94の内側へ供給し、エッチングガス導入手段108より導入されるNF ガスと混合させつつウエハWに晒し、これによってウエハ表面の自然酸化膜(SiO )と反応させてケイフッ化アンモニウムよりなる中間体膜を形成する。この際、温調手段242の媒体制御部240は、冷却媒体を熱媒体通路138に流して処理容器94を冷却することによってウエハWの温度を例えば10〜20℃程度の室温に保持する。
このようにして、所定の時間だけ中間体膜形成ステップに行ったならば、次に、中間体膜除去ステップへ移行する。すなわち、上記NF ガスや活性種用ガスの供給を停止すると共に、高周波の供給も停止し、この代わりに、加熱不活性ガス導入手段233の動作を開始して、気体加熱器236で加熱したN ガスを分散ノズル234の各ガス孔234Aから噴射し、ウエハWを加熱することによって上記中間体膜を分解、或いは昇華させて除去する。
この際、上記気体加熱器236ではN ガスを例えば800〜1000℃程度に加熱することによってウエハWを150〜250℃程度まで加熱する。またこの時の処理容器94内のプロセス圧力は100〜80kPa程度の範囲内であり、中間体膜の分解速度、或いは昇華速度が十分に大きくなるように設定すればよい。この場合、媒体制御部240は熱媒体通路138に冷却媒体に代えて加熱媒体を流して処理容器94を例えば60〜80℃程度に加熱しておき、中間体膜の分解、或いは昇華を促進させる。
このように、所定の時間だけ中間体膜除去ステップを行うことにより、前処理工程、すなわち自然酸化膜除去工程を完了する。これ以降は、主処理装置40での処理が行われる。
以上のように、この前処理装置250及び処理システム230では、中間体膜形成ステップと中間体膜除去ステップとを一台の前処理装置250内で連続して行うようにしたので、装置の設備コストを削減できるのみならず、スループットも向上させることができ、しかも処理システム230の占有面積も削減することができる。
<処理システムの第3実施例>
[前処理装置の第3実施例]
次に本発明に係る処理システムの第3実施例と前処理装置の第3実施例について説明する。
この処理システムの第3実施例では、処理システムの第2実施例で説明した前処理装置を筐体側と一体としているので、装置の設備コストや占有面積(占有空間)を更に削減することが可能となる。
図17は本発明に係る処理システムの第3実施例を示す概略斜視図、図18は図17に示す第3実施例の概略横断面図、図19は本発明に係る前処理装置の第3実施例を示す断面図である。尚、図1に示す処理システムの第1実施例、図2に示す断面図及び図5に示すプラズマ処理装置の第1実施例及び図15に示す前処理装置の第1実施例の各構成部分と同一構成部分については同一符号を付してその説明を省略する。
図17及び図18に示すように、この処理システム260では、この外側を形成する筐体4は主処理エリア8のみを囲むようにして形成されており、前処理エリア8(図2及び図14参照)は設けられていない。従って、図2中の分離区画壁6の部分がそのまま筐体4の外周壁の一部となる。そして、この分離区画壁6に設けた開閉ドア14の外側に、第3実施例の前処理装置262の処理容器が直接的に取り付けられることになる。
すなわち、この前処理装置262の構成は、処理容器の形態やウエハボートの昇降機構(保持手段搬送機構)を不要にした点を除いて図15に示す前処理装置の第1実施例と基本的構成は同じである。
まずこの処理容器94は、断面が略半楕円形状に成形されると共に、その一側壁にウエハボート90を搬出入できる程度の大きさの搬出入口264(図18参照)が縦長に形成されている。そして、この搬出入口264を筐体4に設けた開閉ドア14に臨ませるようにして、この処理容器94を筐体4の側面に直接的に取り付け固定している。従って、この開閉ドア14は上記処理容器94の搬出入口264を気密に開閉できる構造となっている。このように、開閉ドア14も処理容器94を区画する一部となっているので、クリーニングガス等に晒されるこの開閉ドア14の表面にも例えばアルマイト処理等が施されて耐腐食性膜に覆われた状態となっている。
ここで、この処理容器94内のウエハボート90に対するウエハWの移載は、上記開閉ドア14を例えば横方向にスライドさせて搬出入口264を開き、この状態で搬出入口264を介して行う。従って、この前処理装置262では、ウエハボート90を処理容器94に対してロード・アンロードする必要がないので、この処理容器94の底部は固定状態になっており、この底部に磁性流体シール98を介して回転軸100が回転自在に支持される。このため、ここでは先の各実施例で必要とされた保持手段搬送機構50(図2に示す昇降機構50Aや水平移動機構50Bも含む)は不要となるので設けられていない。
この前処理装置262の全体動作は、図15に示す前処理装置の第1実施例の場合と同じであるので、ここではその記載を省略する。
このようにこの前処理装置262では、これを筐体4側と一体化して接合するようにしているので、装置の設備コストや占有面積(占有空間)を更に削除することができる。
[前処理装置の第4実施例]
次に前処理装置の第4実施例について説明する。図20は本発明に係る前処理装置の第4実施例を示す断面図である。
上記第3実施例の前処理装置262に代えて、ここで説明する第4実施例の前処理装置270を用いることができる。この前処理装置270は、図16に示す前処理装置250の第2実施例に、図20において説明したと同様な構成を適応している。すなわち、この処理容器94は、断面が略半楕円形状に成形されると共に、その一側壁にウエハボート90を搬出入できる程度の大きさの搬出入口264(図18参照)が縦長に形成されている。
そして、この搬出入口264を筐体4に設けた開閉ドア14に臨ませるようにして、この処理容器94を筐体4の側面に直接的に取り付け固定している。従って、この開閉ドア14は上記処理容器94の搬出入口264を気密に開閉できる構造となっている。このように、開閉ドア14も処理容器94を区画する一部となっているので、クリーニングガス等に晒されるこの開閉ドア14の表面にも例えばアルマイト処理等が施されて耐腐食性膜に覆われた状態となっている。この処理容器94の底部は固定状態になっており、この底部に磁性流体シール98を介して回転軸100が回転自在に支持される。
この前処理装置270の全体動作は、図16に示す前処理装置の第2実施例の場合と同じであるので、ここではその記載を省略する。
このようにこの前処理装置270では、これを筐体4側と一体化して接合するようにしているので、装置の設備コストや占有面積(占有空間)を更に削除することができる。
ここで前処理装置の第3及び第4実施例の場合、処理容器94の断面形状は略半楕円状(図17及び図18参照)に限定されず、他の形状でもよく、例えば断面4角形状に形成してもよい。
尚、以上の各実施例では、シリコン基板上に形成された自然酸化膜を除去する場合を例にとって説明したが、これに限定されず、熱CVDやプラズマCVD等のプロセスによって形成したSiO 膜を除去する場合にも本発明を適用することができる。
またエッチングガスとしてはNF ガスに限定されず、他のガス、例えばN (テトラフルオロヒドラジン)等を用いることができる。また、使用される不活性ガスとしてはN ガスに限定されず、Arガス、Heガス等の他の不活性ガスも使用することができる。
更には、主処理装置40で行う主処理としては、各種の成膜処理に限定されず、ウエハの活性面に処理を行う必要のある全ての処理に対して本発明を適用することができる。
また、ここでは筐体4内の全体に不活性ガス(N )を充填した場合を例にとって説明したが、これに限定されず、筐体4内をO ガス成分をほとんど含まない真空状態に維持するようにしてもよい。
また更には、被処理体導入手段20として密閉ボックス22を用いた場合を例にとって説明したが、これに限定されず、例えば収納容器18として例えばスミフボックス(商標)やFOOP(商標)のようにN ガスが充填密封されている容器を用いた場合には、被処理体導入手段20は、搬出入ポート16との間を区画する筐体の区画壁に形成された搬入口と、この搬入口に気密に開閉可能に設けられた搬入ドアと、この搬入ドアに設けられて上記スミフボックス等の開閉蓋を開閉する開閉機構とよりなり、上記スミフボックス等を上記搬入口に気密に押し付けた状態でこの開閉蓋を上記開閉機構で取り外して搬入ドアを容器内へ退避させることにより、上記スミフボックス内を筐体内へ解放するようになっている。
本発明に係る処理システムの第1実施例を示す概略斜視図である。 図1に示す第1実施例の概略横断面図である。 主処理装置を示す概略構成図である。 図1に示す第1実施例のプラズマ処理装置と熱処理装置側を示す縦断面図である。 本発明に係るプラズマ処理装置の第1実施例を示す断面図である。 図5に示すプラズマ処理装置の横断面図である。 図5に示すプラズマ処理装置の整流板を示す平面図である。 本発明に係る熱処理装置を示す断面図である。 シリコン酸化膜とシリコン膜に対するエッチング量の温度依存性を示すグラフである。 ケイフッ化アンモニウムの蒸気圧曲線を示すグラフである。 本発明に係るプラズマ処理装置の第2実施例を示す断面図である。 図11に示すプラズマ処理装置に用いるプラズマ形成手段を設けた部分の一例を示す拡大断面図である。 本発明に係る処理システムの第2実施例を示す概略斜視図である。 図13に示す第2実施例の概略横断面図である。 本発明に係る前処理装置の第1実施例を示す断面図である。 本発明に係る前処理装置の第2実施例を示す断面図である。 本発明に係る処理システムの第3実施例を示す概略斜視図である。 図17に示す第3実施例の概略横断面図である。 本発明に係る前処理装置の第3実施例を示す断面図である。 本発明に係る前処理装置の第4実施例を示す断面図である。
符号の説明
2 処理システム
4 筐体
6 分離区画壁
8 主処理エリア
10 前処理エリア
14 開閉ドア
16 搬出入ポート
18 収納容器
20 被処理体導入手段
22 密閉ボックス
40 主処理装置
42 プラズマ処理装置
44 熱処理装置
46 移載アーム手段
50 保持手段搬送機構
50A 昇降機構
50B 水平移動機構
52 システム制御手段
54 記憶媒体
90 ウエハボート(被処理体保持手段)
94 処理容器
106 活性種用領域
108 エッチングガス導入手段
110 分散ノズル
112 活性種供給手段
120 マイクロ波発生源
122 活性種用ガス導入手段
124 整流板
128 排気口
130 真空排気系
136 冷却手段
142 シャッタ部材
144 制御手段
146 記憶媒体
150 処理容器
152 外側加熱手段
154 内側加熱手段
154A カーボンワイヤヒータ
160 真空排気系
164 不活性ガス導入手段
170 制御手段
172 シャッタ部材
173 記憶媒体
200 プラズマ処理装置
202 プラズマ形成手段
204 電極
206 高周波電源
230 処理システム
232 前処理装置
233 加熱不活性ガス導入手段
234 分散ノズル
236 気体加熱器
240 媒体制御部
242 温調手段
250 前処理装置
260 処理システム
262 前処理装置
270 前処理装置
W 半導体ウエハ(被処理体)

Claims (55)

  1. 表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成するプラズマ処理装置において、
    内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、
    前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、
    活性種用ガスを導入する活性種用ガス導入手段と、
    前記活性種用領域に連結されてその内部に前記活性種用ガス導入手段から供給された活性種用ガスを流すための活性種用配管を有し、前記活性種用ガスをその流れの途中でプラズマにより活性化することにより活性種を形成し、該活性種を前記活性種用領域へ供給する活性種供給手段と、
    前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、
    前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、
    前記排気口に接続される真空排気系と、
    前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、
    装置全体の動作を制御する制御手段と、
    を備えたことを特徴とするプラズマ処理装置。
  2. 前記活性種供給手段は、前記活性種用ガスをマイクロ波により活性化するマイクロ波発生源を有することを特徴とする請求項1記載のプラズマ処理装置。
  3. 前記マイクロ波は2.45GHzまたは400MHzであることを特徴とする請求項2記載のプラズマ処理装置。
  4. 前記活性種用領域に前記活性種の流れを層流状に整流する整流板が設けられることを特徴とする請求項1乃至3のいずれかに記載のプラズマ処理装置。
  5. 表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成するプラズマ処理装置において、
    内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、
    前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、
    前記活性種用領域へ活性種用ガスを導入する活性種用ガス導入手段と、
    前記活性種用領域へ導入された活性種用ガスに対してプラズマを立てて活性種を形成するプラズマ形成手段と、
    前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、
    前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、
    前記排気口に接続される真空排気系と、
    前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、
    装置全体の動作を制御する制御手段と、
    を備えたことを特徴とするプラズマ処理装置。
  6. 前記プラズマ形成手段は、前記活性種用領域に沿って設けられた電極と、該電極に接続された高周波電源とよりなることを特徴とする請求項5記載のプラズマ処理装置。
  7. 前記高周波電源で発生する高周波の周波数は13.56MHzであることを特徴とする請求項6記載のプラズマ処理装置。
  8. 前記活性種用領域には、該活性種用領域内で発生したプラズマが前記処理容器の内側へ洩れることを防止するためのイオンシールドプレートが設けられることを特徴とする請求項5乃至7のいずれかに記載のプラズマ処理装置。
  9. 前記イオンシールドプレートは、前記活性種の流れを層流状に整流する整流機能を有していることを特徴とする請求項8記載のプラズマ処理装置。
  10. 前記処理容器には、該処理容器を冷却するための冷却手段が設けられていることを特徴とする請求項1乃至9のいずれかに記載のプラズマ処理装置。
  11. 前記被処理体保持手段は、前記エッチングガスに対して耐腐食性になされていることを特徴とする請求項1乃至10のいずれかに記載のプラズマ処理装置。
  12. 前記エッチングガス導入手段は、複数のガス孔が所定の間隔を隔てて形成されると共に、前記処理容器の長さ方向に沿って設けられた分散ノズルを有することを特徴とする請求項1乃至11のいずれかに記載のプラズマ処理装置。
  13. 前記処理容器の下端には、該処理容器の下端の開口部を必要に応じて開閉するシャッタ部材が設けられることを特徴とする請求項1乃至12のいずれかに記載のプラズマ処理装置。
  14. 被処理体の表面に形成されてい中間体膜を加熱することによって除去する熱処理装置において、
    下端に密閉状態に開閉可能になされた蓋部を有する縦長の処理容器と、
    前記処理容器内を真空引きする真空排気系と、
    前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、
    前記処理容器内に設けられて前記被処理体を加熱する内側加熱手段と、
    前記処理容器の外側に設けられて前記処理容器を加熱する外側加熱手段と、
    装置全体の動作を制御する制御手段と、
    を備えたことを特徴とする熱処理装置。
  15. 前記処理容器内へ不活性ガスを導入する不活性ガス導入手段が設けられることを特徴とする請求項14記載の熱処理装置。
  16. 前記内側加熱手段は、前記処理容器の長さ方向に沿って挿入された複数のU字状のカーボンワイヤヒータよりなることを特徴とする請求項14または15記載の熱処理装置。
  17. 前記処理容器の下端には、該処理容器の下端の開口部を必要に応じて開閉するシャッタ部材が設けられることを特徴とする請求項14乃至16のいずれかに記載の熱処理装置。
  18. 内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、
    前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、
    前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、
    前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、
    前記前処理エリアを区画する天井部に設けられた請求項1乃至13のいずれかに記載のプラズマ処理装置と、
    前記前処理エリアを区画する天井部に前記プラズマ処理装置と並んで設けられた請求項14乃至17のいずれかに記載の熱処理装置と、
    前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、
    前記前処理エリアに設けられて複数枚の被処理体を多段に保持する被処理体保持手段を前記プラズマ処理装置と前記処理装置との間で移動させる保持手段搬送機構と、
    システム全体の動作を制御するシステム制御手段と、
    を備えたことを特徴とする処理システム。
  19. 前記前処理エリアと前記主処理エリアとは分離区画壁により区画されると共に、前記分離区画壁には、前記前処理エリアと前記主処理エリアとの間で前記移載アーム手段により前記被処理体の移載を行う時に開閉される開閉ドアが設けられていることを特徴とする請求項18記載の処理システム。
  20. 前記被処理体導入手段は、両側に気密に開閉可能になされたゲートバルブを有して前記筐体を区画する区画壁に設けられた密閉ボックスよりなることを特徴とする請求項18または19記載の処理システム。
  21. 前記筐体内の全体は、真空雰囲気または不活性ガス雰囲気になされていることを特徴とする請求項18乃至20のいずれかに記載の処理システム。
  22. 表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、
    内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、
    前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、
    活性種用ガスを導入する活性種用ガス導入手段と、
    前記活性種用ガス導入手段より導入された活性種用ガスをプラズマにより活性化することにより活性種を形成し、該活性種を前記活性種用領域へ供給する活性種供給手段と、
    前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、
    前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、
    前記排気口に接続される真空排気系と、
    前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、
    前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、
    前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、
    を備えたことを特徴とする前処理装置。
  23. 前記活性種供給手段は、前記活性種用ガスをマイクロ波により活性化するマイクロ波発生源を有することを特徴とする請求項22記載の前処理装置。
  24. 前記マイクロ波は2.45GHzまたは400MHzであることを特徴とする請求項23記載の前処理装置。
  25. 前記活性種用領域に前記活性種の流れを層流状に整流する整流板が設けられることを特徴とする請求項22乃至24のいずれかに記載の前処理装置。
  26. 表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、
    内面が耐腐食性膜により覆われると共に下端に密閉状態に開閉可能になされた蓋部を有する金属材料よりなる縦長の処理容器と、
    前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、
    前記活性種用領域へ活性種用ガスを導入する活性種用ガス導入手段と、
    前記活性種用領域へ導入された活性種用ガスに対してプラズマを立てて活性種を形成するプラズマ形成手段と、
    前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、
    前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、
    前記排気口に接続される真空排気系と、
    前記被処理体を複数枚多段に保持すると共に、前記処理容器内へその下方より挿脱可能に収容される被処理体保持手段と、
    前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、
    前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、
    を備えたことを特徴とする前処理装置。
  27. 前記プラズマ形成手段は、前記活性種用領域に沿って設けられた電極と、該電極に接続された高周波電源とよりなることを特徴とする請求項26記載の前処理装置。
  28. 前記高周波電源で発生する高周波の周波数は13.56MHzであることを特徴とする請求項27記載の前処理装置。
  29. 前記活性種用領域には、該活性種用領域内で発生したプラズマが前記処理容器の内側へ洩れることを防止するためのイオンシールドプレートが設けられることを特徴とする請求項26乃至28のいずれかに記載の前処理装置。
  30. 前記イオンシールドプレートは、前記活性種の流れを層流状に整流する整流機能を有していることを特徴とする請求項29記載の前処理装置。
  31. 前記被処理体保持手段は、前記エッチングガスに対して耐腐食性になされていることを特徴とする請求項26乃至30のいずれかに記載の前処理装置。
  32. 前記エッチングガス導入手段は、複数のガス孔が所定の間隔を隔てて形成されると共に、前記処理容器の長さ方向に沿って設けられた分散ノズルを有することを特徴とする請求項26乃至31のいずれかに記載の前処理装置。
  33. 前記処理容器の下端には、該処理容器の下端の開口部を必要に応じて開閉するシャッタ部材が設けられることを特徴とする請求項26乃至32のいずれかに記載の前処理装置。
  34. 前記処理容器には、該処理容器に設けられた熱媒体通路と、前記熱媒体通路に、前期中間体膜の形成時には冷却媒体を流し、前記中間体膜の除去時には加熱媒体を流すように制御する媒体制御部とよりなる温調手段が設けられることを特徴とする請求項26乃至33のいずれかに記載の前処理装置。
  35. 前記加熱不活性ガス導入手段は、複数のガス孔が所定の間隔を隔てて形成されると共に、前記処理容器の長さ方向に沿って設けられた分散ノズルを有することを特徴とする請求項26乃至34のいずれかに記載の前処理装置。
  36. 内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、
    前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、
    前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、
    前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、
    請求項22乃至35のいずれかに記載された前処理装置と、
    前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、
    前記前処理エリアに設けられて前記被処理体を多段に保持する被処理体保持手段を昇降移動させる昇降手段と、
    システム全体の動作を制御するシステム制御手段と、
    を備えたことを特徴とする処理システム。
  37. 前記前処理エリアと前記主処理エリアとは分離区画壁により区画されると共に、前記分離区画壁には、前記前処理エリアと前記主処理エリアとの間で前記移載アーム手段により前記被処理体の移載を行う時に開閉される開閉ドアが設けられていることを特徴とする請求項36記載の処理システム。
  38. 前記被処理体導入手段は、両側に気密に開閉可能になされたゲートバルブを有して前記筐体を区画する区画壁に設けられた密閉ボックスよりなることを特徴とする請求項36または37記載の処理システム。
  39. 前記筐体内の全体は、真空雰囲気または不活性ガス雰囲気になされていることを特徴とする請求項36乃至38のいずれかに記載の処理システム。
  40. 表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、
    一側壁に縦長に搬出入口が形成されると共に、該搬出入口に気密に開閉可能になされた開閉ドアが設けられ、その内面が耐腐食性膜により覆われた金属材料よりなる縦長の処理容器と、
    前記処理容器の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、
    活性種用ガスを導入する活性種用ガス導入手段と、
    前記活性種用ガス導入手段より導入された活性種用ガスをプラズマにより活性化することにより活性種を形成し、該活性種を前記活性種用領域へ供給する活性種供給手段と、
    前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、
    前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、
    前記排気口に接続される真空排気系と、
    前記処理容器の底部に回転可能に設けられる回転台と、
    前記回転台上に起立させて設けられると共に前記被処理体を複数枚多段に保持する被処理体保持手段と、
    前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、
    前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、
    を備えたことを特徴とする前処理装置。
  41. 前記活性種供給手段は、前記活性種用ガスをマイクロ波により活性化するマイクロ波発生源を有することを特徴とする請求項40記載の前処理装置。
  42. 前記マイクロ波は2.45GHzまたは400MHzであることを特徴とする請求項41記載の前処理装置。
  43. 前記活性種用領域に前記活性種の流れを層流状に整流する整流板が設けられることを特徴とする請求項40乃至42のいずれかに記載の前処理装置。
  44. 表面に酸化膜を有する被処理体に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成し、該中間体膜を加熱することによって除去する前処理装置において、
    一側壁に縦長に搬出入口が形成されると共に、該搬出入口に気密に開閉可能になされた開閉ドアが設けられ、その内面が耐腐食性膜により覆われた金属材料よりなる縦長の処理容器と、
    前記処理容器の他の一側壁に、その外側へ凸状に突出させて形成された活性種用領域と、
    前記活性種用領域へ活性種用ガスを導入する活性種用ガス導入手段と、
    前記活性種用領域へ導入された活性種用ガスに対してプラズマを立てて活性種を形成するプラズマ形成手段と、
    前記処理容器内へエッチングガスを導入するエッチングガス導入手段と、
    前記活性種用領域に対向する容器側壁に設けられて前記処理容器内の雰囲気を排気する排気口と、
    前記排気口に接続される真空排気系と、
    前記処理容器の底部に回転可能に設けられる回転台と、
    前記回転台上に起立させて設けられると共に前記被処理体を複数枚多段に保持する被処理体保持手段と、
    前記処理容器内へ加熱された不活性ガスを導入する加熱不活性ガス導入手段と、
    前記被処理体に対して活性種とエッチングガスとを晒して前記酸化膜と反応させることにより中間体膜を形成した後に、前記加熱不活性ガス導入手段を作動させて前期中間体膜を加熱することにより前記中間体膜を除去するように制御する制御手段と、
    を備えたことを特徴とする前処理装置。
  45. 前記プラズマ形成手段は、前記活性種用領域に沿って設けられた電極と、該電極に接続された高周波電源とよりなることを特徴とする請求項44記載の前処理装置。
  46. 前記高周波電源で発生する高周波の周波数は13.56MHzであることを特徴とする請求項45記載の前処理装置。
  47. 前記活性種用領域には、該活性種用領域内で発生したプラズマが前記処理容器の内側へ洩れることを防止するためのイオンシールドプレートが設けられることを特徴とする請求項44乃至46のいずれかに記載のプラズマ処理装置。
  48. 前記イオンシールドプレートは、前記活性種の流れを層流状に整流する整流機能を有していることを特徴とする請求項47記載のプラズマ処理装置。
  49. 内部が主処理エリアになされた筐体と、
    前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、
    前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、
    前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、
    前記筐体の一側に連設された請求項40乃至48のいずれかに記載された前処理装置と、
    前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、
    システム全体の動作を制御するシステム制御手段と、
    を備えたことを特徴とする処理システム。
  50. 前記被処理体導入手段は、両側に気密に開閉可能になされたゲートバルブを有して前記筐体を区画する区画壁に設けられた密閉ボックスよりなることを特徴とする請求項49記載の処理システム。
  51. 前記筐体内の全体は、真空雰囲気または不活性ガス雰囲気になされていることを特徴とする請求項49乃至50のいずれかに記載の処理システム。
  52. 内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、
    前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、
    前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、
    前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、
    前記前処理エリアを区画する天井部に設けられた請求項1乃至13のいずれかに記載のプラズマ処理装置と、
    前記前処理エリアを区画する天井部に前記プラズマ処理装置と並んで設けられた請求項14乃至17のいずれかに記載の熱処理装置と、
    前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、
    前記前処理エリアに設けられて複数枚の被処理体を多段に保持する被処理体保持手段を前記プラズマ処理装置と前記処理装置との間で移動させる保持手段搬送機構と、
    システム全体の動作を制御するシステム制御手段と、を有する処理システムにより前記被処理体に対して所定の処理を施すに際して、
    前記被処理体の表面に形成されている酸化膜に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成する工程と、
    前記中間体膜を加熱することにより前記中間体膜を除去する工程と、
    前記中間体膜が除去された前記被処理体に前記主処理装置により所定の主処理を施す工程とを順次実行するように前記処理システムを制御するプログラムを記憶する記憶媒体。
  53. 内部が前処理エリアと主処理エリアとに区分された密閉状態の筐体と、
    前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、
    前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、
    前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、
    請求項22乃至35のいずれかに記載された前処理装置と、
    前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、
    前記前処理エリアに設けられて前記被処理体を多段に保持する被処理体保持手段を昇降移動させる昇降手段と、
    システム全体の動作を制御するシステム制御手段と、を有する処理システムにより前記被処理体に対して所定の処理を施すに際して、
    前記被処理体の表面に形成されている酸化膜に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成する工程と、
    前記中間体膜を加熱することにより前記中間体膜を除去する工程と、
    前記中間体膜が除去された前記被処理体に前記主処理装置により所定の主処理を施す工程とを順次実行するように前記処理システムを制御するプログラムを記憶する記憶媒体。
  54. 内部が主処理エリアになされた筐体と、
    前記主処理エリアの区画壁の外側に設けられて複数の被処理体を収容した収納容器を載置する搬出入ポートと、
    前記搬出入ポートと前記区画壁との境界部分に設けられて前記収納容器内の被処理体を前記主処理エリア内の密閉状態を破ることなく導入するための被処理体導入手段と、
    前記主処理エリアを区画する天井部に設けられて前記被処理体に対して所定の処理を施すための主処理装置と、
    前記筐体の一側に連設された請求項40乃至48のいずれかに記載された前処理装置と、
    前記被処理体導入手段に位置された前記収納容器内の被処理体を前記筐体内へ取り込むために屈曲、旋回及び上下移動可能になされた移載アーム手段と、
    システム全体の動作を制御するシステム制御手段と、を有する処理システムにより前記被処理体に対して所定の処理を施すに際して、
    前記被処理体の表面に形成されている酸化膜に対してプラズマにより発生した活性種と共にエッチングガスを晒して前記酸化膜と反応させることにより中間体膜を形成する工程と、
    前記中間体膜を加熱することにより前記中間体膜を除去する工程と、
    前記中間体膜が除去された前記被処理体に前記主処理装置により所定の主処理を施す工程とを順次実行するように前記処理システムを制御するプログラムを記憶する記憶媒体。
  55. 前記活性種用ガスは、NH ガス、H ガスとN ガス、H ガスとN ガスとNH ガスの3つのグループより選択される1つのグループが用いられることを特徴とする請求項52乃至54のいずれかに記載の記憶媒体。

JP2005043245A 2005-02-18 2005-02-18 処理システム、前処理装置及び記憶媒体 Expired - Fee Related JP4475136B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2005043245A JP4475136B2 (ja) 2005-02-18 2005-02-18 処理システム、前処理装置及び記憶媒体
US11/354,966 US7815739B2 (en) 2005-02-18 2006-02-16 Vertical batch processing apparatus
KR1020060015506A KR100908777B1 (ko) 2005-02-18 2006-02-17 종형 뱃치 처리 장치 및 반도체 처리 시스템
TW095105523A TWI353020B (en) 2005-02-18 2006-02-17 Vertical batch processing apparatus
CNB2006100077526A CN100550319C (zh) 2005-02-18 2006-02-20 立式分批处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005043245A JP4475136B2 (ja) 2005-02-18 2005-02-18 処理システム、前処理装置及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2006229085A true JP2006229085A (ja) 2006-08-31
JP4475136B2 JP4475136B2 (ja) 2010-06-09

Family

ID=36911280

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005043245A Expired - Fee Related JP4475136B2 (ja) 2005-02-18 2005-02-18 処理システム、前処理装置及び記憶媒体

Country Status (5)

Country Link
US (1) US7815739B2 (ja)
JP (1) JP4475136B2 (ja)
KR (1) KR100908777B1 (ja)
CN (1) CN100550319C (ja)
TW (1) TWI353020B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008044577A1 (fr) * 2006-10-04 2008-04-17 Ulvac, Inc. procédé et appareil de formation de film
JP2009094165A (ja) * 2007-10-04 2009-04-30 Ulvac Japan Ltd 熱処理方法、及び熱処理装置
JP2009176807A (ja) * 2008-01-22 2009-08-06 Ulvac Japan Ltd 基板処理装置、及び基板処理方法
JP2010165954A (ja) * 2009-01-16 2010-07-29 Ulvac Japan Ltd 真空処理装置及び真空処理方法
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
WO2012002393A1 (ja) * 2010-06-28 2012-01-05 株式会社アルバック 酸化膜の除去方法
CN102634773A (zh) * 2011-02-09 2012-08-15 东京毅力科创株式会社 成膜装置
JP2012248779A (ja) * 2011-05-31 2012-12-13 Spp Technologies Co Ltd 酸化シリコンのエッチング装置、そのエッチング方法、及びそのエッチングプログラム
JP2013038109A (ja) * 2011-08-03 2013-02-21 Ulvac Japan Ltd 酸化膜の除去方法及びバッチ式半導体デバイス製造装置
JP2020113746A (ja) * 2019-01-10 2020-07-27 東京エレクトロン株式会社 処理装置

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
KR20140091768A (ko) * 2005-11-07 2014-07-22 브룩스 오토메이션 인코퍼레이티드 반도체 작업대상물 공정처리 시스템
US8267634B2 (en) 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
KR101841753B1 (ko) 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP5259125B2 (ja) * 2006-08-24 2013-08-07 富士通セミコンダクター株式会社 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
KR100932964B1 (ko) * 2006-12-12 2009-12-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 반응 용기
US20080173238A1 (en) * 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20120156887A1 (en) * 2009-08-27 2012-06-21 Youhei Ono Vacuum processing apparatus and vacuum processing method
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2465972B1 (en) * 2010-12-15 2017-05-03 NCD Co., Ltd. Method and system for thin film deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2012174782A (ja) * 2011-02-18 2012-09-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
WO2013147481A1 (ko) * 2012-03-28 2013-10-03 국제엘렉트릭코리아 주식회사 선택적 에피택셜 성장을 위한 장치 및 클러스터 설비
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104103485B (zh) * 2013-04-15 2016-09-07 中微半导体设备(上海)有限公司 电感耦合等离子体装置
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101720620B1 (ko) * 2015-04-21 2017-03-28 주식회사 유진테크 기판처리장치 및 챔버 세정방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6600408B2 (ja) * 2016-03-24 2019-10-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US20180108642A1 (en) * 2016-10-13 2018-04-19 Globalfoundries Inc. Interposer heater for high bandwidth memory applications
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN106622824B (zh) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 一种等离子体聚合涂层装置
US11339477B2 (en) * 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
CN106756888B (zh) * 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN111373519B (zh) * 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
US11011401B2 (en) * 2017-11-28 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Modular pressurized workstation
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
CN110408914B (zh) * 2019-08-28 2021-07-20 理想晶延半导体设备(上海)股份有限公司 管式沉积***
KR102516340B1 (ko) * 2020-09-08 2023-03-31 주식회사 유진테크 기판 처리 장치 및 기판 처리 장치의 운용 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP3479020B2 (ja) * 2000-01-28 2003-12-15 東京エレクトロン株式会社 熱処理装置
JP2001284307A (ja) 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP2002100574A (ja) 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理装置
JP3929261B2 (ja) 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP2003059899A (ja) 2001-08-09 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
JP2003188149A (ja) 2001-12-17 2003-07-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP3912208B2 (ja) 2002-02-28 2007-05-09 東京エレクトロン株式会社 熱処理装置
JP2004128380A (ja) 2002-10-07 2004-04-22 Tokyo Electron Ltd 処理装置
JP2004128382A (ja) 2002-10-07 2004-04-22 Tokyo Electron Ltd 処理方法及び処理装置
JP3910151B2 (ja) 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI447251B (zh) * 2006-10-04 2014-08-01 Ulvac Inc 膜形成方法及膜形成裝置
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
WO2008044577A1 (fr) * 2006-10-04 2008-04-17 Ulvac, Inc. procédé et appareil de formation de film
JP2009094165A (ja) * 2007-10-04 2009-04-30 Ulvac Japan Ltd 熱処理方法、及び熱処理装置
JP2009176807A (ja) * 2008-01-22 2009-08-06 Ulvac Japan Ltd 基板処理装置、及び基板処理方法
JP2010165954A (ja) * 2009-01-16 2010-07-29 Ulvac Japan Ltd 真空処理装置及び真空処理方法
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
WO2012002393A1 (ja) * 2010-06-28 2012-01-05 株式会社アルバック 酸化膜の除去方法
CN102634773A (zh) * 2011-02-09 2012-08-15 东京毅力科创株式会社 成膜装置
JP2012248779A (ja) * 2011-05-31 2012-12-13 Spp Technologies Co Ltd 酸化シリコンのエッチング装置、そのエッチング方法、及びそのエッチングプログラム
JP2013038109A (ja) * 2011-08-03 2013-02-21 Ulvac Japan Ltd 酸化膜の除去方法及びバッチ式半導体デバイス製造装置
JP2020113746A (ja) * 2019-01-10 2020-07-27 東京エレクトロン株式会社 処理装置
JP7105751B2 (ja) 2019-01-10 2022-07-25 東京エレクトロン株式会社 処理装置

Also Published As

Publication number Publication date
CN100550319C (zh) 2009-10-14
TW200636855A (en) 2006-10-16
KR20060093057A (ko) 2006-08-23
TWI353020B (en) 2011-11-21
KR100908777B1 (ko) 2009-07-22
CN1822328A (zh) 2006-08-23
JP4475136B2 (ja) 2010-06-09
US7815739B2 (en) 2010-10-19
US20060185592A1 (en) 2006-08-24

Similar Documents

Publication Publication Date Title
JP4475136B2 (ja) 処理システム、前処理装置及び記憶媒体
KR102576634B1 (ko) 에칭 방법 및 에칭 장치
JP4836780B2 (ja) 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
KR101364834B1 (ko) 플라즈마 질화 처리 방법
KR100989974B1 (ko) 클리닝 방법 및 플라즈마 처리 방법
US20070062646A1 (en) Method and apparatus for processing substrates
JP5511536B2 (ja) 基板処理装置及び半導体装置の製造方法
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
JP2009038155A (ja) プラズマ処理装置
US20080233764A1 (en) Formation of Gate Insulation Film
KR100605884B1 (ko) 표면 처리 방법 및 장치
JP2002170823A (ja) 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材
JP7349861B2 (ja) エッチング方法、ダメージ層の除去方法、および記憶媒体
JP2008311555A (ja) 基板処理装置
JP2003059861A (ja) 成膜方法および成膜装置
JP2022094914A (ja) エッチング方法およびエッチング装置
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR102052337B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2002008991A (ja) クリーニング方法
JP2005259902A (ja) 基板処理装置
JPH05109654A (ja) 成膜処理装置
US20050284572A1 (en) Heating system for load-lock chamber
JP7286847B1 (ja) 成膜装置及び膜付きウェハの製造方法
JPH04111417A (ja) 縦型熱処理装置
JPH09306899A (ja) 気相反応装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060915

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100216

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100301

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160319

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees